From 85d1d103b758ed47024a78981f5d8d8a0688c8a6 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Tue, 29 Mar 2022 04:23:54 -0400 Subject: [PATCH] Better CPLD stuff --- .gitignore | 4 +- MXSE.v.textClipping | Bin 0 -> 185 bytes cpld/{MXSE.ucf => WarpSE-XC95144XL.ucf} | 0 cpld/{MXSE.v => WarpSE.v} | 2 +- cpld/XC95144XL/{MXSE.lso => .lso} | 0 cpld/XC95144XL/CNT_summary.html | 81 + cpld/XC95144XL/MXSE.cmd_log | 96 - cpld/XC95144XL/MXSE.gyd | 122 - cpld/XC95144XL/MXSE.jed | 1714 -- cpld/XC95144XL/MXSE.mfd | 2843 --- cpld/XC95144XL/MXSE.nga | 3 - cpld/XC95144XL/MXSE.ngc | 3 - cpld/XC95144XL/MXSE.ngd | 3 - cpld/XC95144XL/MXSE.ngr | 3 - cpld/XC95144XL/MXSE.rpt | 1816 -- cpld/XC95144XL/MXSE.stx | 0 cpld/XC95144XL/MXSE.svf | 5207 ----- cpld/XC95144XL/MXSE.tim | 0 cpld/XC95144XL/MXSE.vm6 | 8524 -------- cpld/XC95144XL/MXSE.xml | 3 - cpld/XC95144XL/MXSE_html/fit/ascii.htm | 1824 -- cpld/XC95144XL/MXSE_html/fit/defeqns.htm | 990 - cpld/XC95144XL/MXSE_html/fit/time.htm | 4 - .../XC95144XL/MXSE_html/tim/timing_report.htm | 2993 --- cpld/XC95144XL/MXSE_summary.html | 102 - cpld/XC95144XL/{MXSE.bld => WarpSE.bld} | 18 +- cpld/XC95144XL/WarpSE.cmd_log | 24 + cpld/XC95144XL/WarpSE.data | 1387 ++ cpld/XC95144XL/WarpSE.gise | 138 +- cpld/XC95144XL/WarpSE.gyd | 122 + cpld/XC95144XL/WarpSE.lso | 1 + cpld/XC95144XL/WarpSE.mfd | 2914 +++ cpld/XC95144XL/WarpSE.mod | 233 + cpld/XC95144XL/WarpSE.nga | 3 + cpld/XC95144XL/WarpSE.ngc | 3 + cpld/XC95144XL/WarpSE.ngd | 3 + cpld/XC95144XL/WarpSE.ngr | 3 + cpld/XC95144XL/{MXSE.pad => WarpSE.pad} | 6 +- cpld/XC95144XL/{MXSE.pnx => WarpSE.pnx} | 2 +- cpld/XC95144XL/{MXSE.prj => WarpSE.prj} | 2 +- cpld/XC95144XL/WarpSE.rpt | 1836 ++ cpld/XC95144XL/{MXSE.lfp => WarpSE.stx} | 0 cpld/XC95144XL/{MXSE.syr => WarpSE.syr} | 162 +- cpld/XC95144XL/WarpSE.tim | 919 + cpld/XC95144XL/{MXSE.tspec => WarpSE.tspec} | 424 +- cpld/XC95144XL/WarpSE.vm6 | 8813 +++++++++ cpld/XC95144XL/WarpSE.xise | 47 +- cpld/XC95144XL/WarpSE.xml | 3 + cpld/XC95144XL/{MXSE.xst => WarpSE.xst} | 10 +- cpld/XC95144XL/WarpSE_bld.html | 1 + .../{MXSE_build.xml => WarpSE_build.xml} | 14 +- ...vsettings.html => WarpSE_envsettings.html} | 12 +- .../{MXSE_html => WarpSE_html}/fit/applet.js | 0 .../fit/appletref.htm | 0 cpld/XC95144XL/WarpSE_html/fit/ascii.htm | 1844 ++ .../fit/asciidoc.htm | 0 cpld/XC95144XL/WarpSE_html/fit/backtop.jpg | Bin 0 -> 1651 bytes .../WarpSE_html/fit/beginstraight.gif | Bin 0 -> 352 bytes .../{MXSE_html => WarpSE_html}/fit/blank.gif | Bin cpld/XC95144XL/WarpSE_html/fit/blank.htm | 1 + cpld/XC95144XL/WarpSE_html/fit/briefview.jpg | Bin 0 -> 1254 bytes .../{MXSE_html => WarpSE_html}/fit/check.htm | 0 .../fit/checkNS4.htm | 0 .../fit/contact.gif | Bin .../WarpSE_html/fit/coolrunnerII_logo.jpg | Bin 0 -> 9562 bytes .../WarpSE_html/fit/coolrunner_logo.jpg | Bin 0 -> 11278 bytes cpld/XC95144XL/WarpSE_html/fit/defeqns.htm | 1015 + cpld/XC95144XL/WarpSE_html/fit/education.gif | Bin 0 -> 816 bytes cpld/XC95144XL/WarpSE_html/fit/endmkt.gif | Bin 0 -> 1560 bytes .../{MXSE_html => WarpSE_html}/fit/eqns.htm | 1194 +- .../{MXSE_html => WarpSE_html}/fit/eqns.js | 0 .../fit/equations.gif | Bin .../fit/equations.htm | 0 .../fit/equationsdoc.htm | 0 .../{MXSE_html => WarpSE_html}/fit/errors.js | 0 .../fit/errors1.gif | Bin .../fit/errors2.gif | Bin .../fit/errorsdoc.htm | 0 .../{MXSE_html => WarpSE_html}/fit/errs.htm | 2 +- .../fit/failtable.htm | 0 cpld/XC95144XL/WarpSE_html/fit/failtable.js | 1 + .../fit/failtabledoc.htm | 0 .../{MXSE_html => WarpSE_html}/fit/fb.gif | Bin .../{MXSE_html => WarpSE_html}/fit/fb1.gif | Bin .../{MXSE_html => WarpSE_html}/fit/fbs.htm | 24 +- .../{MXSE_html => WarpSE_html}/fit/fbs.js | 0 .../fit/fbs_FB1.htm | 43 +- .../fit/fbs_FB2.htm | 35 +- .../fit/fbs_FB3.htm | 99 +- .../fit/fbs_FB4.htm | 76 +- .../fit/fbs_FB5.htm | 79 +- .../fit/fbs_FB6.htm | 20 +- .../fit/fbs_FB7.htm | 81 +- .../fit/fbs_FB8.htm | 27 +- .../fit/fbs_FBdoc.htm | 0 .../{MXSE_html => WarpSE_html}/fit/fbsdoc.htm | 0 .../{MXSE_html => WarpSE_html}/fit/fbview.jpg | Bin .../fit/functionblock.gif | Bin .../{MXSE_html => WarpSE_html}/fit/genmsg.htm | 0 cpld/XC95144XL/WarpSE_html/fit/header.gif | Bin 0 -> 7878 bytes cpld/XC95144XL/WarpSE_html/fit/home.gif | Bin 0 -> 940 bytes .../{MXSE_html => WarpSE_html}/fit/index.htm | 0 .../fit/inputleft.htm | 0 cpld/XC95144XL/WarpSE_html/fit/inputleft.js | 1 + .../fit/inputleftdoc.htm | 0 .../fit/leftnav.htm | 6 +- .../{MXSE_html => WarpSE_html}/fit/leftnav.js | 0 .../{MXSE_html => WarpSE_html}/fit/legend.gif | Bin cpld/XC95144XL/WarpSE_html/fit/legend.jpg | Bin 0 -> 1256 bytes .../WarpSE_html/fit/logic_legXC95.htm | 2 + .../WarpSE_html/fit/logic_legXbr.htm | 16 + .../WarpSE_html/fit/logic_legXpla3.htm | 3 + .../fit/logicleft.htm | 0 cpld/XC95144XL/WarpSE_html/fit/logicleft.js | 1 + .../fit/logicleftdoc.htm | 0 .../fit/logiclegend.htm | 0 .../fit/logiclegendV.htm | 0 .../fit/macrocell.gif | Bin .../fit/mapinput_00.htm | 0 .../fit/mapinput_01.htm | 0 .../fit/mapinput_02.htm | 0 .../fit/mapinputdoc.htm | 0 .../fit/maplogic.js | 0 .../fit/maplogic_00.htm | 679 +- .../fit/maplogic_01.htm | 367 +- .../fit/maplogic_02.htm | 484 +- .../fit/maplogicdoc.htm | 0 .../fit/newappletref.htm | 0 cpld/XC95144XL/WarpSE_html/fit/next.jpg | Bin 0 -> 1079 bytes .../fit/ns4plugin.js | 0 .../fit/options.htm | 2 +- .../fit/optionsdoc.htm | 0 .../{MXSE_html => WarpSE_html}/fit/paths.js | 0 .../{MXSE_html => WarpSE_html}/fit/pin.gif | Bin .../XC95144XL/WarpSE_html/fit/pin_legXC95.htm | 13 + cpld/XC95144XL/WarpSE_html/fit/pin_legXbr.htm | 21 + .../WarpSE_html/fit/pin_legXpla3.htm | 13 + .../fit/pindiagram.gif | Bin .../fit/pinlegend.htm | 0 .../fit/pinlegendV.htm | 0 .../{MXSE_html => WarpSE_html}/fit/pins.htm | 0 .../{MXSE_html => WarpSE_html}/fit/pins.js | 0 .../fit/pinsdoc.htm | 0 .../fit/pinview.jpg | Bin .../{MXSE_html => WarpSE_html}/fit/plugin.js | 0 .../{MXSE_html => WarpSE_html}/fit/prev.jpg | Bin cpld/XC95144XL/WarpSE_html/fit/print.jpg | Bin 0 -> 1683 bytes cpld/XC95144XL/WarpSE_html/fit/products.gif | Bin 0 -> 828 bytes cpld/XC95144XL/WarpSE_html/fit/purchase.gif | Bin 0 -> 642 bytes .../{MXSE_html => WarpSE_html}/fit/report.htm | 0 .../{MXSE_html => WarpSE_html}/fit/result.htm | 0 .../{MXSE_html => WarpSE_html}/fit/search.gif | Bin cpld/XC95144XL/WarpSE_html/fit/spacer.gif | Bin 0 -> 233 bytes .../{MXSE_html => WarpSE_html}/fit/style.css | 0 .../fit/summary.htm | 14 +- .../{MXSE_html => WarpSE_html}/fit/summary.js | 0 .../fit/summarydoc.htm | 0 cpld/XC95144XL/WarpSE_html/fit/support.gif | Bin 0 -> 760 bytes cpld/XC95144XL/WarpSE_html/fit/time.htm | 923 + .../fit/tooltips.js | 0 .../{MXSE_html => WarpSE_html}/fit/topnav.htm | 0 .../{MXSE_html => WarpSE_html}/fit/topnav.js | 0 .../WarpSE_html/fit/unmapinputdoc.htm | 65 + .../WarpSE_html/fit/unmaplogicdoc.htm | 68 + .../XC95144XL/WarpSE_html/fit/verboseview.jpg | Bin 0 -> 1262 bytes .../{MXSE_html => WarpSE_html}/fit/view.gif | Bin .../{MXSE_html => WarpSE_html}/fit/wait.htm | 0 .../XC95144XL/WarpSE_html/fit/xc9500_logo.gif | Bin 0 -> 8103 bytes .../XC95144XL/WarpSE_html/fit/xc9500_logo.jpg | Bin 0 -> 8318 bytes .../WarpSE_html/fit/xc9500xl_logo.gif | Bin 0 -> 9315 bytes .../fit/xc9500xl_logo.jpg | Bin .../WarpSE_html/fit/xc9500xv_logo.jpg | Bin 0 -> 9336 bytes cpld/XC95144XL/WarpSE_html/fit/xcenter.gif | Bin 0 -> 868 bytes cpld/XC95144XL/WarpSE_html/fit/xlogo.gif | Bin 0 -> 3407 bytes .../{MXSE_html => WarpSE_html}/fit/xml5.jpg | Bin .../{MXSE_html => WarpSE_html}/fit/xml6.jpg | Bin .../{MXSE_html => WarpSE_html}/fit/xml7.jpg | Bin .../{MXSE_html => WarpSE_html}/fit/xml8.jpg | Bin .../WarpSE_html/images/acr2_logo.jpg | Bin 0 -> 2865 bytes .../XC95144XL/WarpSE_html/images/blackBar.jpg | Bin 0 -> 750 bytes .../WarpSE_html/images/cpldBanner.jpg | Bin 0 -> 5897 bytes .../WarpSE_html/images/cr2s_logo.jpg | Bin 0 -> 2884 bytes .../WarpSE_html/images/fitterRpt.jpg | Bin 0 -> 1341 bytes cpld/XC95144XL/WarpSE_html/images/logo.jpg | Bin 0 -> 2922 bytes cpld/XC95144XL/WarpSE_html/images/spacer.jpg | Bin 0 -> 444 bytes .../WarpSE_html/images/timingRpt.jpg | Bin 0 -> 1480 bytes .../WarpSE_html/images/xa9500xl_logo.jpg | Bin 0 -> 2922 bytes .../XC95144XL/WarpSE_html/images/xbr_logo.jpg | Bin 0 -> 2865 bytes .../WarpSE_html/images/xc9500_logo.jpg | Bin 0 -> 1979 bytes .../WarpSE_html/images/xc9500xl_logo.jpg | Bin 0 -> 2922 bytes .../WarpSE_html/images/xc9500xv_logo.jpg | Bin 0 -> 2499 bytes .../WarpSE_html/images/xpla3_logo.jpg | Bin 0 -> 4130 bytes .../WarpSE_html/tim/cpldta_glossary.htm | 250 + .../WarpSE_html/tim/cpldta_style.css | 144 + cpld/XC95144XL/WarpSE_html/tim/genreport.htm | 17 + cpld/XC95144XL/WarpSE_html/tim/leftnav.htm | 37 + cpld/XC95144XL/WarpSE_html/tim/report.htm | 17 + .../WarpSE_html/tim/timing_report.htm | 16265 ++++++++++++++++ cpld/XC95144XL/WarpSE_html/tim/toc.css | 36 + cpld/XC95144XL/WarpSE_html/tim/topnav.htm | 31 + ...XSE_ngdbuild.xrpt => WarpSE_ngdbuild.xrpt} | 42 +- .../{MXSE_pad.csv => WarpSE_pad.csv} | 6 +- cpld/XC95144XL/WarpSE_summary.html | 81 + cpld/XC95144XL/WarpSE_tim.html | 1 + .../{MXSE_xst.xrpt => WarpSE_xst.xrpt} | 36 +- cpld/XC95144XL/_impact.cmd | 41 - cpld/XC95144XL/_impact.log | 77 - cpld/XC95144XL/_ngo/netlist.lst | 2 +- cpld/XC95144XL/_xmsgs/cpldfit.xmsgs | 2 +- cpld/XC95144XL/_xmsgs/hprep6.xmsgs | 9 - cpld/XC95144XL/_xmsgs/pn_parser.xmsgs | 20 +- cpld/XC95144XL/_xmsgs/xst.xmsgs | 4 +- cpld/XC95144XL/iseconfig/MXSE.xreport | 98 +- cpld/XC95144XL/iseconfig/WarpSE.projectmgr | 88 + .../{MXSE 2.xreport => WarpSE.xreport} | 100 +- cpld/XC95144XL/webtalk_pn.xml | 17 +- cpld/XC95144XL/xlnx_auto_0_xdb/cst 2.xbcd | Bin 4466 -> 0 bytes cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd | Bin 8316 -> 8004 bytes cpld/XC95144XL/xst/work/hdllib.ref | 15 +- cpld/XC95144XL/xst/work/vlg14/_r_a_m 2.bin | Bin 18234 -> 0 bytes cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin | Bin 20942 -> 20942 bytes cpld/XC95144XL/xst/work/vlg15/_m_x_s_e 2.bin | Bin 16105 -> 0 bytes cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin | Bin 21678 -> 21678 bytes cpld/XC95144XL/xst/work/vlg22/_c_s 2.bin | Bin 12744 -> 0 bytes cpld/XC95144XL/xst/work/vlg22/_c_s.bin | Bin 13511 -> 13511 bytes cpld/XC95144XL/xst/work/vlg37/_f_s_b 2.bin | Bin 6917 -> 0 bytes cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin | Bin 7217 -> 7217 bytes cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin | Bin 0 -> 21682 bytes cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin | Bin 4280 -> 4280 bytes cpld/XC95144XL/xst/work/vlg73/_i_o_b_m 2.bin | Bin 17476 -> 0 bytes cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin | Bin 19519 -> 19519 bytes cpld/XC95144XL/xst/work/vlg79/_i_o_b_s 2.bin | Bin 12663 -> 0 bytes cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin | Bin 12663 -> 12663 bytes cpld/auto_project.ipf | Bin 21260 -> 0 bytes cpld/auto_project_1.ipf | Bin 21260 -> 0 bytes cpld/impact.xsl | 55 - cpld/impact_impact.xwbt | 8 - cpld/usage_statistics_webtalk.html | 73 - cpld/webtalk.log | 16 - cpld/webtalk_impact.xml | 41 - 240 files changed, 39500 insertions(+), 28785 deletions(-) create mode 100644 MXSE.v.textClipping rename cpld/{MXSE.ucf => WarpSE-XC95144XL.ucf} (100%) rename cpld/{MXSE.v => WarpSE.v} (99%) rename cpld/XC95144XL/{MXSE.lso => .lso} (100%) create mode 100644 cpld/XC95144XL/CNT_summary.html delete mode 100644 cpld/XC95144XL/MXSE.cmd_log delete mode 100644 cpld/XC95144XL/MXSE.gyd delete mode 100644 cpld/XC95144XL/MXSE.jed delete mode 100644 cpld/XC95144XL/MXSE.mfd delete mode 100644 cpld/XC95144XL/MXSE.nga delete mode 100644 cpld/XC95144XL/MXSE.ngc delete mode 100644 cpld/XC95144XL/MXSE.ngd delete mode 100644 cpld/XC95144XL/MXSE.ngr delete mode 100644 cpld/XC95144XL/MXSE.rpt delete mode 100644 cpld/XC95144XL/MXSE.stx delete mode 100644 cpld/XC95144XL/MXSE.svf delete mode 100644 cpld/XC95144XL/MXSE.tim delete mode 100644 cpld/XC95144XL/MXSE.vm6 delete mode 100644 cpld/XC95144XL/MXSE.xml delete mode 100644 cpld/XC95144XL/MXSE_html/fit/ascii.htm delete mode 100644 cpld/XC95144XL/MXSE_html/fit/defeqns.htm delete mode 100644 cpld/XC95144XL/MXSE_html/fit/time.htm delete mode 100644 cpld/XC95144XL/MXSE_html/tim/timing_report.htm delete mode 100644 cpld/XC95144XL/MXSE_summary.html rename cpld/XC95144XL/{MXSE.bld => WarpSE.bld} (59%) create mode 100644 cpld/XC95144XL/WarpSE.cmd_log create mode 100644 cpld/XC95144XL/WarpSE.data create mode 100644 cpld/XC95144XL/WarpSE.gyd create mode 100644 cpld/XC95144XL/WarpSE.lso create mode 100644 cpld/XC95144XL/WarpSE.mfd create mode 100644 cpld/XC95144XL/WarpSE.mod create mode 100644 cpld/XC95144XL/WarpSE.nga create mode 100644 cpld/XC95144XL/WarpSE.ngc create mode 100644 cpld/XC95144XL/WarpSE.ngd create mode 100644 cpld/XC95144XL/WarpSE.ngr rename cpld/XC95144XL/{MXSE.pad => WarpSE.pad} (95%) rename cpld/XC95144XL/{MXSE.pnx => WarpSE.pnx} (83%) rename cpld/XC95144XL/{MXSE.prj => WarpSE.prj} (81%) create mode 100644 cpld/XC95144XL/WarpSE.rpt rename cpld/XC95144XL/{MXSE.lfp => WarpSE.stx} (100%) rename cpld/XC95144XL/{MXSE.syr => WarpSE.syr} (82%) create mode 100644 cpld/XC95144XL/WarpSE.tim rename cpld/XC95144XL/{MXSE.tspec => WarpSE.tspec} (65%) create mode 100644 cpld/XC95144XL/WarpSE.vm6 create mode 100644 cpld/XC95144XL/WarpSE.xml rename cpld/XC95144XL/{MXSE.xst => WarpSE.xst} (80%) create mode 100644 cpld/XC95144XL/WarpSE_bld.html rename cpld/XC95144XL/{MXSE_build.xml => WarpSE_build.xml} (94%) rename cpld/XC95144XL/{MXSE_envsettings.html => WarpSE_envsettings.html} (98%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/applet.js (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/appletref.htm (100%) create mode 100644 cpld/XC95144XL/WarpSE_html/fit/ascii.htm rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/asciidoc.htm (100%) create mode 100644 cpld/XC95144XL/WarpSE_html/fit/backtop.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/fit/beginstraight.gif rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/blank.gif (100%) create mode 100644 cpld/XC95144XL/WarpSE_html/fit/blank.htm create mode 100644 cpld/XC95144XL/WarpSE_html/fit/briefview.jpg rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/check.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/checkNS4.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/contact.gif (100%) create mode 100644 cpld/XC95144XL/WarpSE_html/fit/coolrunnerII_logo.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/fit/coolrunner_logo.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/fit/defeqns.htm create mode 100644 cpld/XC95144XL/WarpSE_html/fit/education.gif create mode 100644 cpld/XC95144XL/WarpSE_html/fit/endmkt.gif rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/eqns.htm (53%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/eqns.js (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/equations.gif (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/equations.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/equationsdoc.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/errors.js (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/errors1.gif (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/errors2.gif (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/errorsdoc.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/errs.htm (52%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/failtable.htm (100%) create mode 100644 cpld/XC95144XL/WarpSE_html/fit/failtable.js rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/failtabledoc.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/fb.gif (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/fb1.gif (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/fbs.htm (88%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/fbs.js (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/fbs_FB1.htm (88%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/fbs_FB2.htm (93%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/fbs_FB3.htm (58%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/fbs_FB4.htm (80%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/fbs_FB5.htm (73%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/fbs_FB6.htm (92%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/fbs_FB7.htm (66%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/fbs_FB8.htm (84%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/fbs_FBdoc.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/fbsdoc.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/fbview.jpg (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/functionblock.gif (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/genmsg.htm (100%) create mode 100644 cpld/XC95144XL/WarpSE_html/fit/header.gif create mode 100644 cpld/XC95144XL/WarpSE_html/fit/home.gif rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/index.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/inputleft.htm (100%) create mode 100644 cpld/XC95144XL/WarpSE_html/fit/inputleft.js rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/inputleftdoc.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/leftnav.htm (94%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/leftnav.js (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/legend.gif (100%) create mode 100644 cpld/XC95144XL/WarpSE_html/fit/legend.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/fit/logic_legXC95.htm create mode 100644 cpld/XC95144XL/WarpSE_html/fit/logic_legXbr.htm create mode 100644 cpld/XC95144XL/WarpSE_html/fit/logic_legXpla3.htm rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/logicleft.htm (100%) create mode 100644 cpld/XC95144XL/WarpSE_html/fit/logicleft.js rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/logicleftdoc.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/logiclegend.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/logiclegendV.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/macrocell.gif (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/mapinput_00.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/mapinput_01.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/mapinput_02.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/mapinputdoc.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/maplogic.js (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/maplogic_00.htm (93%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/maplogic_01.htm (93%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/maplogic_02.htm (93%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/maplogicdoc.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/newappletref.htm (100%) create mode 100644 cpld/XC95144XL/WarpSE_html/fit/next.jpg rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/ns4plugin.js (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/options.htm (95%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/optionsdoc.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/paths.js (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/pin.gif (100%) create mode 100644 cpld/XC95144XL/WarpSE_html/fit/pin_legXC95.htm create mode 100644 cpld/XC95144XL/WarpSE_html/fit/pin_legXbr.htm create mode 100644 cpld/XC95144XL/WarpSE_html/fit/pin_legXpla3.htm rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/pindiagram.gif (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/pinlegend.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/pinlegendV.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/pins.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/pins.js (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/pinsdoc.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/pinview.jpg (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/plugin.js (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/prev.jpg (100%) create mode 100644 cpld/XC95144XL/WarpSE_html/fit/print.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/fit/products.gif create mode 100644 cpld/XC95144XL/WarpSE_html/fit/purchase.gif rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/report.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/result.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/search.gif (100%) create mode 100644 cpld/XC95144XL/WarpSE_html/fit/spacer.gif rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/style.css (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/summary.htm (91%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/summary.js (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/summarydoc.htm (100%) create mode 100644 cpld/XC95144XL/WarpSE_html/fit/support.gif create mode 100644 cpld/XC95144XL/WarpSE_html/fit/time.htm rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/tooltips.js (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/topnav.htm (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/topnav.js (100%) create mode 100644 cpld/XC95144XL/WarpSE_html/fit/unmapinputdoc.htm create mode 100644 cpld/XC95144XL/WarpSE_html/fit/unmaplogicdoc.htm create mode 100644 cpld/XC95144XL/WarpSE_html/fit/verboseview.jpg rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/view.gif (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/wait.htm (100%) create mode 100644 cpld/XC95144XL/WarpSE_html/fit/xc9500_logo.gif create mode 100644 cpld/XC95144XL/WarpSE_html/fit/xc9500_logo.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/fit/xc9500xl_logo.gif rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/xc9500xl_logo.jpg (100%) create mode 100644 cpld/XC95144XL/WarpSE_html/fit/xc9500xv_logo.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/fit/xcenter.gif create mode 100644 cpld/XC95144XL/WarpSE_html/fit/xlogo.gif rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/xml5.jpg (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/xml6.jpg (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/xml7.jpg (100%) rename cpld/XC95144XL/{MXSE_html => WarpSE_html}/fit/xml8.jpg (100%) create mode 100644 cpld/XC95144XL/WarpSE_html/images/acr2_logo.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/images/blackBar.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/images/cpldBanner.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/images/cr2s_logo.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/images/fitterRpt.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/images/logo.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/images/spacer.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/images/timingRpt.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/images/xa9500xl_logo.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/images/xbr_logo.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/images/xc9500_logo.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/images/xc9500xl_logo.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/images/xc9500xv_logo.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/images/xpla3_logo.jpg create mode 100644 cpld/XC95144XL/WarpSE_html/tim/cpldta_glossary.htm create mode 100644 cpld/XC95144XL/WarpSE_html/tim/cpldta_style.css create mode 100644 cpld/XC95144XL/WarpSE_html/tim/genreport.htm create mode 100644 cpld/XC95144XL/WarpSE_html/tim/leftnav.htm create mode 100644 cpld/XC95144XL/WarpSE_html/tim/report.htm create mode 100644 cpld/XC95144XL/WarpSE_html/tim/timing_report.htm create mode 100644 cpld/XC95144XL/WarpSE_html/tim/toc.css create mode 100644 cpld/XC95144XL/WarpSE_html/tim/topnav.htm rename cpld/XC95144XL/{MXSE_ngdbuild.xrpt => WarpSE_ngdbuild.xrpt} (95%) rename cpld/XC95144XL/{MXSE_pad.csv => WarpSE_pad.csv} (95%) create mode 100644 cpld/XC95144XL/WarpSE_summary.html create mode 100644 cpld/XC95144XL/WarpSE_tim.html rename cpld/XC95144XL/{MXSE_xst.xrpt => WarpSE_xst.xrpt} (87%) delete mode 100644 cpld/XC95144XL/_impact.cmd delete mode 100644 cpld/XC95144XL/_impact.log delete mode 100644 cpld/XC95144XL/_xmsgs/hprep6.xmsgs create mode 100644 cpld/XC95144XL/iseconfig/WarpSE.projectmgr rename cpld/XC95144XL/iseconfig/{MXSE 2.xreport => WarpSE.xreport} (78%) delete mode 100644 cpld/XC95144XL/xlnx_auto_0_xdb/cst 2.xbcd delete mode 100644 cpld/XC95144XL/xst/work/vlg14/_r_a_m 2.bin delete mode 100644 cpld/XC95144XL/xst/work/vlg15/_m_x_s_e 2.bin delete mode 100644 cpld/XC95144XL/xst/work/vlg22/_c_s 2.bin delete mode 100644 cpld/XC95144XL/xst/work/vlg37/_f_s_b 2.bin create mode 100644 cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin delete mode 100644 cpld/XC95144XL/xst/work/vlg73/_i_o_b_m 2.bin delete mode 100644 cpld/XC95144XL/xst/work/vlg79/_i_o_b_s 2.bin delete mode 100644 cpld/auto_project.ipf delete mode 100644 cpld/auto_project_1.ipf delete mode 100644 cpld/impact.xsl delete mode 100644 cpld/impact_impact.xwbt delete mode 100644 cpld/usage_statistics_webtalk.html delete mode 100644 cpld/webtalk.log delete mode 100644 cpld/webtalk_impact.xml diff --git a/.gitignore b/.gitignore index adc040a..a512faf 100644 --- a/.gitignore +++ b/.gitignore @@ -8,8 +8,8 @@ _autosave-* *-save.pro *-save.kicad_pcb fp-info-cache -Warp-SE-backups/* +WarpSE-backups/* *.DS_Store Documentation/Thumbs.db -Warp-SE.kicad_prl +WarpSE.kicad_prl diff --git a/MXSE.v.textClipping b/MXSE.v.textClipping new file mode 100644 index 0000000000000000000000000000000000000000..d3b00dc93e35753a67f0f78cab98f4f8afa809e4 GIT binary patch literal 185 zcmYc)$jK}&F)+Bu$P^J8;;HMBSdw^|nT3^&og-dAB{@G=FR`E?CsnVcC^03oBr`uR zF-JEyFh($ literal 0 HcmV?d00001 diff --git a/cpld/MXSE.ucf b/cpld/WarpSE-XC95144XL.ucf similarity index 100% rename from cpld/MXSE.ucf rename to cpld/WarpSE-XC95144XL.ucf diff --git a/cpld/MXSE.v b/cpld/WarpSE.v similarity index 99% rename from cpld/MXSE.v rename to cpld/WarpSE.v index 48ad708..49ffa5e 100644 --- a/cpld/MXSE.v +++ b/cpld/WarpSE.v @@ -1,4 +1,4 @@ -module MXSE( +module WarpSE( input [23:1] A_FSB, input nAS_FSB, input nLDS_FSB, diff --git a/cpld/XC95144XL/MXSE.lso b/cpld/XC95144XL/.lso similarity index 100% rename from cpld/XC95144XL/MXSE.lso rename to cpld/XC95144XL/.lso diff --git a/cpld/XC95144XL/CNT_summary.html b/cpld/XC95144XL/CNT_summary.html new file mode 100644 index 0000000..08e59ac --- /dev/null +++ b/cpld/XC95144XL/CNT_summary.html @@ -0,0 +1,81 @@ +Xilinx Design Summary + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
MXSE Project Status (03/28/2022 - 09:28:10)
Project File:WarpSE.xiseParser Errors: No Errors
Module Name:CNTImplementation State:Fitted
Target Device:xc95144xl-10TQ100
  • Errors:
 
Product Version:ISE 14.7
  • Warnings:
 
Design Goal:Balanced
  • Routing Results:
Design Strategy:Xilinx Default (unlocked)
  • Timing Constraints:
 
Environment: 
  • Final Timing Score:
  
+ + + + + + + + + + + + 
+ + + + + + + + + + + +
Detailed Reports [-]
Report NameStatusGeneratedErrorsWarningsInfos
Synthesis Report     
Translation Report     
Map Report     
Place and Route Report     
CPLD Fitter Report (Text)     
Power Report     
Post-PAR Static Timing Report     
Bitgen Report     

+ + + +
Secondary Reports [-]
Report NameStatusGenerated
Post-Fit Simulation Model Report  
+ + +
Date Generated: 03/28/2022 - 09:28:10
+ \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE.cmd_log b/cpld/XC95144XL/MXSE.cmd_log deleted file mode 100644 index a763bb5..0000000 --- a/cpld/XC95144XL/MXSE.cmd_log +++ /dev/null @@ -1,96 +0,0 @@ -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -hprep6 -s IEEE1149 -n MXSE -i MXSE -hprep6 -s IEEE1149 -n MXSE -i MXSE diff --git a/cpld/XC95144XL/MXSE.gyd b/cpld/XC95144XL/MXSE.gyd deleted file mode 100644 index 576f81f..0000000 --- a/cpld/XC95144XL/MXSE.gyd +++ /dev/null @@ -1,122 +0,0 @@ -Pin Freeze File: version P.20131013 - -95144XL100 XC95144XL-10-TQ100 -A_FSB<10> S:PIN8 -A_FSB<11> S:PIN9 -A_FSB<12> S:PIN10 -A_FSB<13> S:PIN11 -A_FSB<14> S:PIN12 -A_FSB<15> S:PIN13 -A_FSB<16> S:PIN14 -A_FSB<17> S:PIN15 -A_FSB<18> S:PIN16 -A_FSB<19> S:PIN17 -A_FSB<1> S:PIN94 -A_FSB<20> S:PIN18 -A_FSB<21> S:PIN19 -A_FSB<22> S:PIN20 -A_FSB<23> S:PIN24 -A_FSB<2> S:PIN95 -A_FSB<3> S:PIN96 -A_FSB<4> S:PIN97 -A_FSB<5> S:PIN2 -A_FSB<6> S:PIN3 -A_FSB<7> S:PIN4 -A_FSB<8> S:PIN6 -A_FSB<9> S:PIN7 -SW<0> S:PIN61 -CLK2X_IOB S:PIN22 -CLK_FSB S:PIN27 -CLK_IOB S:PIN23 -E_IOB S:PIN25 -SW<1> S:PIN60 -nAS_FSB S:PIN32 -nBERR_IOB S:PIN76 -nBG_IOB S:PIN73 -nDTACK_IOB S:PIN78 -nIPL2 S:PIN92 -nLDS_FSB S:PIN30 -nRES S:PIN91 -nUDS_FSB S:PIN33 -nVPA_IOB S:PIN77 -nWE_FSB S:PIN29 -RA<11> S:PIN63 -RA<10> S:PIN55 -CLK20EN S:PIN59 -CLK25EN S:PIN58 -nBR_IOB S:PIN72 -RA<0> S:PIN53 -RA<1> S:PIN50 -RA<2> S:PIN43 -RA<3> S:PIN41 -RA<4> S:PIN40 -RA<5> S:PIN42 -RA<6> S:PIN46 -RA<7> S:PIN52 -RA<8> S:PIN54 -RA<9> S:PIN56 -nADoutLE0 S:PIN85 -nADoutLE1 S:PIN82 -nAS_IOB S:PIN81 -nAoutOE S:PIN87 -nBERR_FSB S:PIN70 -nCAS S:PIN36 -nDTACK_FSB S:PIN28 -nDinLE S:PIN86 -nDinOE S:PIN90 -nDoutOE S:PIN89 -nLDS_IOB S:PIN79 -nOE S:PIN37 -nRAMLWE S:PIN65 -nRAMUWE S:PIN66 -nRAS S:PIN64 -nROMCS S:PIN35 -nROMWE S:PIN34 -nUDS_IOB S:PIN80 -nVMA_IOB S:PIN74 -nVPA_FSB S:PIN93 - - -;The remaining section of the .gyd file is for documentation purposes only. -;It shows where your internal equations were placed in the last successful fit. - -PARTITION FB1_1 ram/BACTr iobm/RESrr iobm/RESrf fsb/ASrf - cnt/RefCnt<2> cnt/RefCnt<1> RefAck RESr2 - RESr1 RESr0 IPL2r1 IPL2r0 - ALE0S $OpTx$FX_DC$708 iobs/IOU1 iobs/IOL1 - iobm/IOS_FSM_FFd1 IOU0 -PARTITION FB2_6 iobs/IOACTr iobm/VPArr iobm/VPArf iobm/IOREQr - iobm/Er2 iobm/Er iobm/DTACKrr iobm/DTACKrf - iobm/BGr1 iobm/BGr0 iobm/BERRrr iobm/BERRrf - cnt/RefCnt<0> -PARTITION FB3_1 fsb/Ready1r EXP14_ iobs/IORW1 EXP15_ - fsb/Ready2r EXP16_ EXP17_ EXP18_ - nDTACK_FSB_OBUF EXP19_ EXP20_ -PARTITION FB3_13 EXP21_ EXP22_ fsb/VPA EXP23_ - nROMWE_OBUF iobs/Clear1 -PARTITION FB4_1 cnt/RefCnt<6> nAoutOE_OBUF cnt/RefCnt<5> cnt/RefCnt<4> - nDoutOE_OBUF nDinOE_OBUF cnt/RefCnt<3> iobs/PS_FSM_FFd1 - fsb/BERR1r cs/nOverlay1 nVPA_FSB_OBUF cnt/RefDone - cs/nOverlay0 cnt/TimeoutBPre TimeoutA IOL0 - iobs/IOReady BERR_IOBS -PARTITION FB5_1 ram/RASEL nROMCS_OBUF cnt/RefCnt<7> ram/RAMDIS2 - nCAS_OBUF nOE_OBUF EXP24_ ram/RAMDIS1 - RA_4_OBUF EXP25_ RA_3_OBUF RA_5_OBUF - iobs/Load1 RA_2_OBUF RA_6_OBUF ram/Once - EXP26_ EXP27_ -PARTITION FB6_1 iobm/ETACK nVMA_IOBout iobm/IOS_FSM_FFd3 iobm/ES<3> - iobm/ES<1> iobm/ES<0> ALE0M iobm/ES<4> - nLDS_IOBout iobm/IOS_FSM_FFd2 nUDS_IOBout nAS_IOBout - iobm/ES<2> nADoutLE1_OBUF nADoutLE0_OBUF IOACT - nDinLE_OBUF IOBERR -PARTITION FB7_1 ram/RAMReady RA_1_OBUF TimeoutB fsb/Ready0r - RA_7_OBUF RA_0_OBUF ram/RS_FSM_FFd1 RA_8_OBUF - A_FSB_21_IBUF$BUF0 $OpTx$$OpTx$FX_DC$182_INV$783 RA_9_OBUF CLK25EN_OBUF - ram/RS_FSM_FFd3 CLK20EN_OBUF$BUF0 EXP28_ EXP29_ - ram/RS_FSM_FFd2 EXP30_ -PARTITION FB8_1 EXP31_ A_FSB_19_IBUF$BUF0 EXP32_ iobs/PS_FSM_FFd2 - nRAS_OBUF nRAMLWE_OBUF RESDone nRAMUWE_OBUF - IOREQ EXP33_ EXP34_ nBERR_FSB_OBUF - EXP35_ iobs/Once Park fsb/BERR0r - EXP36_ IORW0 - diff --git a/cpld/XC95144XL/MXSE.jed b/cpld/XC95144XL/MXSE.jed deleted file mode 100644 index 9162b71..0000000 --- a/cpld/XC95144XL/MXSE.jed +++ /dev/null @@ -1,1714 +0,0 @@ -Programmer Jedec Bit Map -Date Extracted: Sun Mar 27 10:12:11 2022 - -QF93312* -QP100* -QV0* -F0* -X0* -J0 0* -N VERSION P.20131013* -N DEVICE XC95144XL-10-TQ100* -N PPMAP 2 1* -N PPMAP 17 10* -N PPMAP 18 11* -N PPMAP 19 12* -N PPMAP 21 13* -N PPMAP 22 14* -N PPMAP 23 15* -N PPMAP 24 16* -N PPMAP 26 17* -N PPMAP 28 18* -N PPMAP 29 19* -N PPMAP 4 2* -N PPMAP 30 20* -N PPMAP 33 22* -N PPMAP 35 23* -N PPMAP 36 24* -N PPMAP 37 25* -N PPMAP 42 27* -N PPMAP 44 28* -N PPMAP 47 29* -N PPMAP 6 3* -N PPMAP 49 30* -N PPMAP 54 32* -N PPMAP 56 33* -N PPMAP 57 34* -N PPMAP 58 35* -N PPMAP 59 36* -N PPMAP 60 37* -N PPMAP 62 39* -N PPMAP 8 4* -N PPMAP 63 40* -N PPMAP 64 41* -N PPMAP 68 42* -N PPMAP 69 43* -N PPMAP 72 46* -N PPMAP 77 49* -N PPMAP 79 50* -N PPMAP 82 52* -N PPMAP 86 53* -N PPMAP 88 54* -N PPMAP 90 55* -N PPMAP 92 56* -N PPMAP 95 58* -N PPMAP 96 59* -N PPMAP 11 6* -N PPMAP 97 60* -N PPMAP 98 61* -N PPMAP 102 63* -N PPMAP 103 64* -N PPMAP 104 65* -N PPMAP 105 66* -N PPMAP 107 67* -N PPMAP 109 68* -N PPMAP 12 7* -N PPMAP 112 70* -N PPMAP 114 71* -N PPMAP 116 72* -N PPMAP 117 73* -N PPMAP 118 74* -N PPMAP 123 76* -N PPMAP 125 77* -N PPMAP 127 78* -N PPMAP 130 79* -N PPMAP 13 8* -N PPMAP 134 80* -N PPMAP 135 81* -N PPMAP 136 82* -N PPMAP 139 85* -N PPMAP 140 86* -N PPMAP 141 87* -N PPMAP 143 89* -N PPMAP 15 9* -N PPMAP 144 90* -N PPMAP 145 91* -N PPMAP 146 92* -N PPMAP 147 93* -N PPMAP 149 94* -N PPMAP 153 95* -N PPMAP 155 96* -N PPMAP 157 97* -N PPMAP 160 99* -L0000000 00000100 00000000 00010100 00000000 00010000 00000000 00000000 00000000* -L0000064 00000000 00000000 10010100 00000000 00000000 00000000 01000000 00000000* -L0000128 00000000 00000000 10010000 00000000 00000000 00000000 01000000 00010000* -L0000192 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0000256 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00001000* -L0000320 00000000 00000000 00001000 00000000 01010000 00000000 00000000 00000000* -L0000384 00000000 00000000 00101000 00001000 00000000 00000000 00000000 00100000* -L0000448 00000000 00000000 00101000 00001000 00010000 00000000 00010000 01000000* -L0000512 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0000576 000000 000000 001010 000000 000000 000000 000000 000001* -L0000624 000000 000000 000000 000000 000010 000000 000000 000000* -L0000672 000000 000000 000001 000110 000000 000000 000000 100000* -L0000720 000000 000000 000001 000010 000010 000000 000000 000101* -L0000768 000000 000000 000001 000000 000000 000000 000000 000000* -L0000816 000000 000000 000001 000000 000000 000000 000000 000000* -L0000864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000928 00000000 00000000 00000000 00000000 00010000 00010000 00000000 00000000* -L0000992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0001056 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0001120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001248 00000000 00000000 00000100 00000000 00010000 00000000 00000000 00010000* -L0001312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001376 00000000 00000000 00010000 00000000 00010000 00000000 00000000 00000000* -L0001440 000000 000000 000000 000000 000000 000000 000000 000000* -L0001488 000000 000000 000000 000000 000000 000000 000000 000000* -L0001536 000000 000000 000000 000000 000010 000001 000000 000000* -L0001584 000000 000000 000000 000000 000000 000001 000000 000000* -L0001632 000000 000000 000000 000000 000010 000000 000000 000000* -L0001680 000000 000000 000000 000000 000000 000000 000000 000000* -L0001728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001792 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001856 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001920 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0001984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002176 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0002240 00000000 00000000 00000000 00000000 00001000 00000000 00000000 01000000* -L0002304 000000 000000 000000 000000 000000 000000 000000 000000* -L0002352 000000 000000 000000 000000 000000 000000 000000 000000* -L0002400 000000 000000 000000 000000 000000 000000 000000 000000* -L0002448 000000 000000 000000 000000 000000 000000 000000 000000* -L0002496 000000 000000 000000 000000 000000 000000 000000 000101* -L0002544 000000 000000 000000 000000 000000 000000 000000 000000* -L0002592 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0002656 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0002720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002848 00000000 00000000 00000000 00000000 00000000 10010000 00000000 00000000* -L0002912 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0002976 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0003040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003168 000000 000000 000000 000000 000000 000000 000000 000000* -L0003216 000000 000000 000000 000000 000000 000000 000000 000000* -L0003264 000000 000000 000000 000000 000000 000000 000000 000000* -L0003312 000000 000000 000000 000000 000000 000000 000000 000000* -L0003360 000000 000000 000000 000000 000000 000000 000000 000000* -L0003408 000000 000000 000000 000000 000000 000000 000000 000000* -L0003456 00000000 00000000 00000000 00001000 00000000 00000000 00000100 00000000* -L0003520 00000000 00000000 00000000 00001000 00000000 00000000 00000100 00000000* -L0003584 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00001100* -L0003648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0003712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0003840 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0003904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004032 000000 000000 000000 000000 000000 000000 000000 000000* -L0004080 000000 000000 000000 000000 000000 000000 000000 100000* -L0004128 000000 000000 000000 010000 000000 000000 100000 000000* -L0004176 000000 000000 000000 010000 000000 000000 100000 000000* -L0004224 000000 000000 100000 000000 000000 000000 000000 000000* -L0004272 000000 000000 100000 000000 000000 000000 000000 000000* -L0004320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0004384 00000000 00000000 00000000 00000000 01000000 10000000 00000000 00010000* -L0004448 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0004512 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00010000* -L0004576 00000000 00000000 00000000 00000000 11000000 10010000 00000000 10011000* -L0004640 00000100 00000000 00000000 00000000 00000000 00000000 00000000 01000100* -L0004704 00000000 00000000 00000000 00000000 01000000 00000100 00001000 01100100* -L0004768 00000000 00000000 00000000 00000000 01000100 00000000 00001000 00100100* -L0004832 00000000 00000000 00000000 00000000 10000100 00000000 00000000 01100100* -L0004896 000000 000000 000000 000000 100001 000000 000001 011000* -L0004944 000000 000000 000000 000000 101000 000000 000000 000010* -L0004992 000000 000000 000000 000000 010000 000000 000000 100110* -L0005040 000000 000000 100000 000000 011000 000000 000000 100011* -L0005088 000000 000000 000000 000000 011000 000000 000010 110101* -L0005136 000000 000000 000000 000000 001000 000000 000000 100111* -L0005184 00000010 00000000 10000000 00000000 01000100 10000000 01000000 00100000* -L0005248 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0005312 00000011 00000000 00000000 00000000 00001000 00000000 00000100 00000000* -L0005376 00000010 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0005440 00000010 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0005504 00000010 00000000 10100000 00000000 00000000 10000000 00000000 00000000* -L0005568 00000001 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0005632 00000000 00000000 00000000 00000100 00000000 10000000 00000000 00000000* -L0005696 00000000 00000000 00000000 00000100 10000000 00100000 00000000 00000000* -L0005760 000000 000000 000000 000000 100000 000000 000000 000000* -L0005808 000000 000000 010000 000000 000000 000000 100000 010000* -L0005856 000000 000000 000000 000001 000000 010000 000000 000000* -L0005904 000000 000000 000000 000001 000000 010000 000000 010000* -L0005952 000000 000000 000000 000001 000000 000000 000010 010000* -L0006000 000000 000000 000000 000000 001000 000000 000100 000000* -L0006048 00001010 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0006112 00000010 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0006176 00000000 00000000 00000000 00000000 01000100 00000000 00100000 00000000* -L0006240 00000011 00000000 00000000 00000100 10100000 00000000 10000000 00000000* -L0006304 00000010 00000000 00000000 00000000 01000100 00000000 00100000 00000000* -L0006368 00000000 00000000 00000000 00100000 10000000 00000000 00000000 00000000* -L0006432 00000010 00000000 00000000 00000000 00000100 00100000 00000000 00000000* -L0006496 00000010 00000000 00000000 00000000 11000000 00100000 00001000 00000000* -L0006560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006624 000000 000000 000000 000000 001000 000000 100000 000000* -L0006672 000000 000000 000000 000000 000000 000000 000010 000000* -L0006720 000000 000000 000000 000000 001001 000000 000001 000000* -L0006768 000000 000000 000000 000000 000000 000000 100011 000000* -L0006816 000001 000000 000000 000000 000001 000000 000001 000000* -L0006864 000000 000000 000000 000000 000000 000000 000001 000000* -L0006912 00010000 00000000 00101000 00000000 00000000 10000000 00000000 00000000* -L0006976 00000000 00000000 00101000 00000000 00000000 00001000 00000000 00000000* -L0007040 00000000 00000000 00101100 00001000 00000000 00000000 00000000 00001000* -L0007104 00000000 00000000 00011100 00000000 00000000 00000000 00000000 10001000* -L0007168 00000000 00000000 00011100 00000000 00000000 00000000 00000000 01000000* -L0007232 10000000 00000000 01010000 00000000 00000000 10000000 00000000 00100000* -L0007296 00000000 00000000 01010000 00000000 00000000 01000000 00000000 00000000* -L0007360 00000000 00000000 01010000 00000000 00000000 00000000 00000000 00000000* -L0007424 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0007488 000000 000000 000000 000000 000000 000000 000000 000000* -L0007536 100000 000000 000000 000000 000000 000000 000100 100000* -L0007584 000000 000000 010000 000000 000000 010000 000000 000001* -L0007632 000000 000000 010000 000000 000000 010000 000000 010000* -L0007680 000000 000000 010000 000000 000000 000000 000000 000000* -L0007728 000000 000000 010000 000000 000000 000000 000000 001000* -L0007776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007840 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0007904 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000100* -L0007968 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0008032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0008096 00000000 00000000 00000000 00000000 00000000 01000000 00001000 00000000* -L0008160 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00010000* -L0008224 00000000 00000000 00000000 00000000 00000000 10100000 00000000 00001000* -L0008288 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00001000* -L0008352 000000 000000 000000 000000 000000 000000 000000 010000* -L0008400 000000 000000 000000 000000 100000 000000 000000 000000* -L0008448 000000 000000 000000 000000 000000 000000 000000 000000* -L0008496 000000 000000 000000 000000 000000 000000 000000 000000* -L0008544 000000 000000 000000 000000 000000 000000 000000 010000* -L0008592 000000 000000 000000 000000 000000 000000 000000 000101* -L0008640 00000000 00000000 00000000 00000000 00000000 10001000 00000000 00000000* -L0008704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008832 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0008896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008960 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0009024 00000000 00000000 00000000 00000100 00000000 01000000 00000000 00000000* -L0009088 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0009152 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0009216 000000 000000 000000 000000 000000 000000 000000 000000* -L0009264 000000 000000 000000 000000 000000 000000 000000 000000* -L0009312 000000 000000 000000 000001 000000 010000 100000 000000* -L0009360 000000 000000 000000 000001 000000 010000 100000 000000* -L0009408 000000 000000 000000 000001 000000 000000 000000 000000* -L0009456 000000 000000 000000 000000 000000 000000 000000 000000* -L0009504 00000000 00000000 00111100 00000000 00000000 00000000 00000000 00000000* -L0009568 00000000 00000000 00111100 00000000 00000000 01000000 00000000 00000000* -L0009632 00000000 00000000 00111100 00000000 00000000 01000000 00000000 00000000* -L0009696 00000000 00000000 00011100 00000000 00000000 00001000 00000000 00000000* -L0009760 00000000 00000000 00011100 00000000 00000000 00000000 00000000 00000000* -L0009824 00000000 00000000 01010000 00000000 00000000 01000000 00000000 00000000* -L0009888 00000000 00000000 01010100 00100000 00000000 00100000 00000000 00000000* -L0009952 00000000 00000000 01010100 00000000 00000000 10100000 00000000 00000000* -L0010016 00000000 00000000 01011000 00000000 00000000 00100000 00000000 00000000* -L0010080 000000 000000 001110 000000 000000 000000 000000 000000* -L0010128 000000 000000 000000 000000 100000 010000 000000 000000* -L0010176 000000 000000 010001 000000 000000 000000 000000 000000* -L0010224 000000 000000 010001 000000 000000 000000 000000 000000* -L0010272 000000 000000 010001 000000 000000 000000 000000 000000* -L0010320 000000 000000 010001 000000 000000 000000 000000 000000* -L0010368 00100011 00000001 00100010 00000011 00000010 00000011 00000011 00000000* -L0010432 00000011 00000001 00001000 00000011 00000001 00000011 00000001 00000010* -L0010496 00000011 00000001 00101010 00000011 00000010 00000011 00000011 00000001* -L0010560 00000011 00000001 00000000 00000011 00000011 00000011 00000011 00000010* -L0010624 00000011 00000001 00001110 00000011 00000010 00000011 00000011 00000001* -L0010688 00000011 00000011 00000001 00000011 00000011 00000011 00000010 00000010* -L0010752 00000011 00000011 00010000 00000011 00000001 00000011 00000010 00000001* -L0010816 00000011 00000011 01010001 00000011 00000010 00000011 00000011 00000000* -L0010880 00000011 00000010 01000011 00000011 00000000 00000011 00000010 00000011* -L0010944 000000 000000 000000 000000 000000 000000 000000 000000* -L0010992 000000 000000 000000 000100 000000 000000 000000 000000* -L0011040 000000 000000 000000 000000 000000 000000 100000 000000* -L0011088 000000 000000 000000 000000 000000 000000 100000 000000* -L0011136 000000 000000 010000 000000 000000 000000 000000 000010* -L0011184 000000 000000 010000 000000 000000 000000 000000 000000* -L0011232 00000000 00000000 00001001 00000000 00000001 00000000 00000000 00000011* -L0011296 00000000 00000000 00100011 00000000 00000010 00000000 00000010 00000001* -L0011360 00000000 00000000 00000100 00000000 00000001 00000000 00000000 00000010* -L0011424 00000000 00000000 00011111 00000000 00000000 00000000 00000000 00000001* -L0011488 00000000 00000000 00010001 00000000 00000001 00000000 00000000 00000010* -L0011552 00000000 00000000 01010010 00000000 00000000 00000000 00000001 00000001* -L0011616 00000000 00000000 01000111 00000000 00000010 00000000 00000001 00000010* -L0011680 00000000 00000000 00000110 00000000 00000001 10000000 00000000 00000011* -L0011744 00000000 00000000 00010000 00000000 00000011 00000000 00000001 00000000* -L0011808 000000 000000 000100 000000 000000 000000 000000 000000* -L0011856 000000 000000 000000 000000 100000 000000 000000 000000* -L0011904 000000 000000 010000 000000 000000 000000 000000 000000* -L0011952 000000 000000 010000 000000 000000 000000 000000 000000* -L0012000 000000 000000 000000 000000 000000 000000 000000 000000* -L0012048 000000 000000 000000 000000 000000 000000 000000 000000* -L0012096 00001000 00001000 00000010 00000000 00000010 00000001 00000011 00000000* -L0012160 00000000 00000000 00000000 00000010 00000001 00000011 00000001 00000000* -L0012224 00000000 00000000 00000010 00000001 00000000 00000011 00000010 00000000* -L0012288 00000000 00000000 00000000 00000001 00001011 00000011 00000011 00000010* -L0012352 00000000 00000000 00000010 00000011 00000000 00000011 00000010 00000001* -L0012416 00000000 00000000 00000001 00000011 00000001 00000010 00000010 00000000* -L0012480 00000000 00000000 10000000 00000001 00000001 00000011 00000010 00000001* -L0012544 00000001 00000000 00000000 00000011 00000010 00000010 00000011 00000000* -L0012608 00000001 00000000 00000010 00000011 00000000 00000011 00000000 00000011* -L0012672 000000 000000 000000 000000 000000 000000 000000 000000* -L0012720 000000 000100 000001 000000 000000 000000 000000 000000* -L0012768 000000 000000 000000 000000 000000 000000 000000 000000* -L0012816 000000 000000 000000 000000 000000 000000 000000 000000* -L0012864 000001 000000 000000 000000 000000 000000 000000 000000* -L0012912 000000 000000 000000 000000 000000 000000 000000 000000* -L0012960 00000000 00000000 00000001 00000000 00000001 00000010 00000000 00000011* -L0013024 00000000 00000000 00000011 00000000 00000010 00000011 00000010 00000011* -L0013088 00000000 00000000 00000000 00000000 00000011 00000001 00000001 00000011* -L0013152 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00100001* -L0013216 00000000 00000000 00000001 00000000 00000011 00000000 00000001 00000010* -L0013280 00000000 00000000 00000010 00000000 00000010 00000001 00000001 00000011* -L0013344 00000000 00000000 00000011 00000000 00000010 00000000 01000001 00000000* -L0013408 00000000 00000000 00000011 00000000 00000001 10000001 00000000 00000011* -L0013472 00000000 00000000 00000001 00000000 00000011 00000010 00000011 00000000* -L0013536 000000 000000 000000 000000 000000 000000 000000 000000* -L0013584 000000 000000 000000 000000 000000 000000 000000 000000* -L0013632 000000 000000 000000 000000 000000 000000 000000 000000* -L0013680 000000 000000 000000 000000 000000 000000 000000 000000* -L0013728 000000 000000 000000 000000 000000 000000 000000 000010* -L0013776 000000 000000 000000 000000 000000 000000 000000 000000* -L0013824 01000000 00000000 00000010 00001000 00000010 00000001 10000011 00000000* -L0013888 00000000 00000000 00000000 00000010 00000000 00000011 10100000 00000000* -L0013952 00000000 00000000 00000010 00000000 00000000 00000111 10000010 00000000* -L0014016 00000000 00000000 00000000 00000001 00000011 00000011 00100011 00100010* -L0014080 00000000 00000000 00000010 00000001 00000000 00000010 10000100 00000001* -L0014144 00000000 00000000 00000001 00010011 00000000 00000010 00000000 00000000* -L0014208 00000000 00000000 00000000 00001000 00000001 00000011 00001010 00000001* -L0014272 00000000 00000000 00000000 00000101 00000010 00000010 00000011 00000000* -L0014336 00000000 00000000 00000010 00000001 00000000 00000011 10000000 00000011* -L0014400 000000 000000 000000 000000 000000 000000 000000 000000* -L0014448 000000 000000 000000 010000 000000 000000 000001 000000* -L0014496 000000 000000 000000 010010 000000 000000 100010 000000* -L0014544 000000 000000 000000 010001 000000 000000 000000 000000* -L0014592 000000 000000 000000 000000 000000 000000 000000 000000* -L0014640 000000 000000 000000 000000 000000 000000 000000 000000* -L0014688 10000000 00000000 00110001 00000000 00000000 00000010 01000000 00000011* -L0014752 00000000 00000000 00110011 00000000 00000011 00000000 00000111 00000010* -L0014816 00000000 00000000 00110000 00000000 00000011 00100000 00000101 00000011* -L0014880 00000000 00000000 00010011 00010001 00000000 00000000 00000100 00000001* -L0014944 00000000 00000000 00010001 00000000 00000011 00000000 00000001 00000010* -L0015008 00001000 00000000 00010010 00001100 00000011 00000000 00000001 00000011* -L0015072 00000000 00000000 00110011 00000000 00000010 00000000 00000001 00000000* -L0015136 00000000 00000000 00110011 00000000 00000001 00000000 00000000 00000011* -L0015200 00000000 00000000 00100001 00000000 00000011 00000000 00000011 00000000* -L0015264 000000 000000 001000 000000 000000 000000 000000 000000* -L0015312 000000 001000 001000 001011 000000 000000 100000 000000* -L0015360 000000 000000 001000 000000 000000 000000 000000 000000* -L0015408 000000 000000 001000 000000 000000 100000 000000 000000* -L0015456 000000 000000 001000 000000 000010 000000 000010 000010* -L0015504 000000 000000 001000 000000 000000 000000 000100 000000* -L0015552 00000000 00000000 00000010 00000001 00000010 00000001 00000011 00000000* -L0015616 00000000 00000000 00000000 00000000 00000000 00000001 10000000 00000000* -L0015680 00000000 00000000 00000010 00000000 00000000 01000000 11000000 00000000* -L0015744 00000000 00000000 00000000 00000000 00000111 00000001 10100001 00100010* -L0015808 00000000 00000000 00000010 00000000 00101100 00000000 10100000 00000001* -L0015872 00000001 00000000 00000001 00000000 00000100 00000000 00000000 00000001* -L0015936 00000001 00000000 00000100 01000001 11000101 00000001 00000010 00000010* -L0016000 00000000 00000000 00000100 00000001 11000010 00100010 00010011 00000000* -L0016064 00000001 00000000 00010010 00000001 00000000 00000011 00000000 00000011* -L0016128 000000 000000 000100 000000 000001 000000 001000 000000* -L0016176 000000 000000 000000 000000 000000 000000 000000 000000* -L0016224 000000 000000 000000 000000 001000 000000 000010 000000* -L0016272 000000 000000 000000 000000 000000 010000 001010 000000* -L0016320 000000 000000 000000 000000 110000 000000 000101 000000* -L0016368 000000 000000 000000 000000 000000 000000 000001 000000* -L0016416 00000000 00000000 00000001 00000001 00000000 01000010 10000000 00000011* -L0016480 00000000 00000000 00000011 00000000 00000111 00000000 01100010 00000010* -L0016544 00000000 00000000 00000000 00000000 00100111 00001000 00100011 00000011* -L0016608 00000000 00000000 00000011 00000000 01100000 00000000 00000000 00000001* -L0016672 00000000 00000000 00000001 00000000 01000001 00000000 00010100 00000010* -L0016736 00000001 00000000 00000010 00000000 10000011 00100000 00000001 00000011* -L0016800 00000101 00000000 00000001 00000001 00000010 00000000 00000001 00000010* -L0016864 00000000 00000000 00000010 00000000 00000001 01000000 00000000 00000011* -L0016928 00000001 00000000 00000001 00000000 00000111 00000000 00100001 00000000* -L0016992 000000 000000 000000 000000 000010 000000 000000 000000* -L0017040 000000 000000 000000 000000 000001 010000 000011 000000* -L0017088 000000 000000 000000 000000 000001 000000 001001 000000* -L0017136 000000 000000 000000 000000 010000 000000 000101 000000* -L0017184 000000 000000 000000 000000 000000 000000 000000 000010* -L0017232 000000 000000 001010 000000 000001 000000 000000 000000* -L0017280 00000000 00000000 00100000 00000000 00000010 01000001 00000011 00000000* -L0017344 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0017408 00000000 00000000 00101010 00000000 00000000 00001000 00000000 00000000* -L0017472 00000000 00000000 00000000 00000000 00000011 00000001 00000001 00000010* -L0017536 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000001* -L0017600 00000000 00000000 00000001 00000000 00000000 00100000 00000000 00000000* -L0017664 00000000 00000000 00010000 00000000 00000001 00000001 00000010 00000000* -L0017728 00000000 00000000 01010000 00000000 00000010 01000000 00000010 00000000* -L0017792 00000000 00000000 01000010 00000000 00000000 00000001 00000000 00000011* -L0017856 000000 000000 000000 000000 000000 000000 000000 000000* -L0017904 000000 000000 000000 000000 000000 010000 000000 000000* -L0017952 000000 000000 000000 000000 000000 000000 000000 000000* -L0018000 000000 000000 000000 000000 000000 000000 000000 000000* -L0018048 000000 000000 010000 000000 000000 000000 000000 000000* -L0018096 000000 000000 010000 000000 000000 000000 000000 000000* -L0018144 00000000 00000000 00001011 00000000 00000000 00000010 00000000 00000011* -L0018208 00000000 00000000 00100011 00000000 00000010 01000000 00000010 00000010* -L0018272 00000000 00000000 00000100 00000000 00000001 00000000 00000011 00000011* -L0018336 00000000 00000000 00011111 00000000 00000000 00000000 00000000 00000001* -L0018400 00000000 00000000 00010011 00000000 00000001 00000000 00000000 00000010* -L0018464 00000000 00000000 01010010 00000000 00000000 00000000 00000000 00000001* -L0018528 00000000 00000000 01000101 00000000 00000010 00100000 00000001 00000000* -L0018592 00000000 00000000 00000110 00000000 00000001 00000000 00000001 00000011* -L0018656 00000000 00000000 00010001 00000000 00010011 00000000 00000001 00000000* -L0018720 000000 000000 000100 000000 000000 000000 000000 000000* -L0018768 000000 000000 000000 000000 000000 000000 000000 000000* -L0018816 000000 000000 010000 000000 000000 010000 000000 000000* -L0018864 000000 000000 010000 000000 000000 000000 000000 000000* -L0018912 000000 000000 000000 000000 000000 000000 000000 000010* -L0018960 000000 000000 000000 000000 000000 000000 000000 000000* -L0019008 00000010 00100000 00000010 00000000 00100000 00000000 10000010 00000000* -L0019072 00000000 00000000 00000000 00000001 01100010 00000001 10000000 00000000* -L0019136 00000000 00000000 00000010 00000001 11100000 00000000 10000000 00000000* -L0019200 00000000 00000000 00000000 00000000 11100001 00000001 10000011 00000010* -L0019264 00000000 00000000 00000010 00000000 11100000 00000011 00000000 00000011* -L0019328 00000000 00100000 00000000 00000000 10000010 00000010 00000000 00000010* -L0019392 00000000 00000000 00000000 00000000 11000000 00000001 00001000 00000011* -L0019456 00000000 00000000 00000001 10000000 11000100 00000000 00001001 00000010* -L0019520 00000000 00000001 00000000 00000010 10100100 00000010 10000000 00000011* -L0019584 000000 000000 000000 000000 101001 000000 100001 000000* -L0019632 000000 000000 000000 000000 001000 000000 000000 000000* -L0019680 000000 000000 000000 000000 011000 000000 000000 000000* -L0019728 000000 000000 000000 000000 011001 000000 000001 000000* -L0019776 000000 000000 000000 000000 011001 000000 000011 000000* -L0019824 000000 000000 000000 000000 001001 000000 000000 000000* -L0019872 00000000 00000000 00000010 00000000 00000010 00000000 00000010 00000000* -L0019936 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0020000 00000000 00000000 00000010 00000000 00000001 00000000 00000000 00000001* -L0020064 00000000 00000000 00000000 00000000 00000001 10010000 00000001 00000010* -L0020128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0020192 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* -L0020256 00000000 00000000 00000000 00000000 00000000 00000001 00000100 00000000* -L0020320 00000000 00000000 00000000 00000000 00000010 00000000 00000001 00000010* -L0020384 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000011* -L0020448 000000 000000 000000 000000 000000 000000 000000 000000* -L0020496 000000 000000 000000 000000 000000 000000 000000 000000* -L0020544 000000 000000 000000 000000 000000 000010 000000 000000* -L0020592 000000 000000 000000 000000 000000 000000 000000 000000* -L0020640 000000 000000 000000 000000 000000 000000 000000 000010* -L0020688 000000 000000 000000 000000 000000 000001 000000 000000* -L0020736 00000000 00000000 00000000 00001000 00000010 00000000 00000011 00100000* -L0020800 00000000 00000000 00000000 00001000 10000110 00000000 00000010 00000000* -L0020864 00000000 00000000 00000010 00000000 00000100 00000000 00000000 00000000* -L0020928 00000000 00000000 00000000 00000000 01000111 00000000 00000001 00000010* -L0020992 00000000 00000000 00000010 00000000 01000101 00000000 00000000 00000011* -L0021056 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* -L0021120 00000000 00000000 00000000 00000000 01000000 00000001 00000000 00000000* -L0021184 00000000 00000000 00000000 00000000 01100010 00000000 00000010 00000000* -L0021248 00000000 00000000 00000010 00000000 00000010 00000001 00000000 00000011* -L0021312 000000 000000 000000 000000 000000 000000 000000 000000* -L0021360 000000 000000 000000 000000 000000 000010 000000 000000* -L0021408 000000 000000 000000 000000 000000 000000 000000 000000* -L0021456 000000 000000 000000 000000 000000 000000 000000 000000* -L0021504 000000 000000 000000 000000 000000 000000 000000 000000* -L0021552 000000 000000 000000 000000 000000 000000 000000 000000* -L0021600 00000000 00000000 00000001 00010000 10000001 00000010 00000000 00000011* -L0021664 00000000 00000000 00000001 00000000 01000010 00000000 00000011 00000010* -L0021728 00000000 00000000 00000000 00000000 01000010 00000000 00000010 00000000* -L0021792 00000100 00000000 00000010 00000000 00000000 00000000 00000000 00000000* -L0021856 00000000 00000000 00000010 00000000 00000011 00000000 00000001 00000010* -L0021920 00000000 00000000 00000010 00000000 00000001 00000000 00000000 00000011* -L0021984 00000000 00000000 00000001 00000000 00100000 00000000 00000000 00000000* -L0022048 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000000* -L0022112 00000000 00000000 00000000 00000000 00000010 00000000 00000010 00000000* -L0022176 000000 000000 000000 000000 100000 000000 000000 000000* -L0022224 000000 000000 000000 000000 000000 000000 000000 000000* -L0022272 000000 000000 000000 000000 000000 000000 010000 000000* -L0022320 000000 000000 000000 000000 000000 000000 000000 000000* -L0022368 000010 000000 000000 000000 001000 000000 000000 000000* -L0022416 000000 000000 000000 000000 000000 000000 000000 000000* -L0022464 00000011 00000001 00001110 00000011 00000010 00000011 00000011 10000000* -L0022528 00000011 00000001 00001100 00000011 00001011 00000011 00000011 10001010* -L0022592 00000011 00000001 00001110 00000011 00000011 00000011 00000011 00000001* -L0022656 00000011 00000001 00001100 00000011 00000011 00000011 00000011 00000010* -L0022720 00000011 00000001 00001110 00000011 00000011 00000011 00000011 00000011* -L0022784 00000011 00000011 00001001 10000011 00000011 00000011 00000010 00000011* -L0022848 00000011 00000011 00001100 10000011 00000001 00000011 00000010 00000011* -L0022912 00000011 00000011 00001101 00000011 00000010 00000011 00000011 00000010* -L0022976 00000011 00000011 00001011 00000011 00000010 00000011 00000010 00000011* -L0023040 000000 000000 000010 000000 000000 000000 000000 000010* -L0023088 000000 000000 000010 000000 000000 000000 000000 000000* -L0023136 000001 000000 000010 000000 000000 000000 000000 000000* -L0023184 000000 000000 000010 000000 000000 000000 000000 000000* -L0023232 000000 000000 000010 000000 000000 000000 000000 000000* -L0023280 000000 000000 000010 000000 000000 000000 000000 000000* -L0023328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0023392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0023456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11010000* -L0023520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11010000* -L0023584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11010000* -L0023648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01100100* -L0023712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01100100* -L0023776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01100100* -L0023840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11100100* -L0023904 000000 000000 000000 000000 000000 000000 000000 111001* -L0023952 000001 000000 000000 000000 000000 000000 000000 100001* -L0024000 000000 000000 000000 000000 000000 000000 100000 100001* -L0024048 000000 000000 000000 000000 000000 000000 100000 101001* -L0024096 000000 000000 000000 000000 000000 000000 000000 101001* -L0024144 000000 000000 000000 000000 000000 000000 000000 101001* -L0024192 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00010000* -L0024256 00000000 00000000 00000000 00001000 00000000 00000000 01100000 00010000* -L0024320 00000000 00000000 00000000 00000000 00000000 00000000 01100000 00011100* -L0024384 00000000 00000000 00000000 00000000 00000000 00000000 00100000 10011000* -L0024448 00000000 00000000 00000000 00000000 00000000 00000000 10110100 11011000* -L0024512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01100100* -L0024576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01110100* -L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00010000 01100100* -L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01100100* -L0024768 000000 000000 000000 000000 000000 000000 000000 011001* -L0024816 000000 000000 000000 000000 000000 000000 000011 100010* -L0024864 000000 000000 000000 000000 000000 000000 001011 100111* -L0024912 000000 000000 000000 000000 000000 000000 001010 110111* -L0024960 000000 000000 000000 000000 000000 000000 000000 110101* -L0025008 000000 000000 000000 000000 000000 000000 000001 101111* -L0025056 00000000 00000000 00001100 00000000 00000000 00000000 00011000 00001000* -L0025120 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0025184 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0025248 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0025312 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0025376 00000000 00000000 00001000 00000000 00000000 00000000 00100000 00000000* -L0025440 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00001000* -L0025504 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0025568 00000000 00000000 00001000 00000000 00000000 00000000 10000000 00000000* -L0025632 000000 000000 000010 000000 000000 000000 100000 000000* -L0025680 000000 000000 000010 010000 000000 000000 000000 000000* -L0025728 000001 000000 000010 000000 000010 000000 000000 000000* -L0025776 000000 000000 000010 000000 000000 000000 000000 000000* -L0025824 000000 000000 000010 000000 000000 000000 000000 000000* -L0025872 000000 000000 000010 000000 000000 000000 000000 000000* -L0025920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025984 00000000 00000000 00000000 00000011 00000111 00000000 00000011 00000010* -L0026048 00000000 00000000 00000000 00000000 00000101 00000000 00000001 00000001* -L0026112 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0026176 00000000 00000000 00000000 00000010 00000111 00000001 00000011 00000010* -L0026240 00000000 00000000 00000000 00000010 00000111 00000001 00000010 00000011* -L0026304 00000000 00000000 00000100 00000000 00000100 00000000 00000000 00000000* -L0026368 00000000 00000000 00000101 00000000 00000000 00000001 00000010 00000010* -L0026432 00000000 00000000 00010010 00000000 00000010 00000000 00000010 00000000* -L0026496 000000 000000 000100 000000 000000 000000 000000 000000* -L0026544 000000 000000 000000 000000 000001 000000 000000 000000* -L0026592 000000 000000 000000 000000 000001 000000 000000 000000* -L0026640 000000 000000 000000 000000 000000 000000 000000 000000* -L0026688 000000 000000 000000 000000 000000 000000 000000 000000* -L0026736 000000 000000 000000 000000 000000 000000 000000 000000* -L0026784 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0026848 00000000 00000000 00000000 00000100 01000000 00000000 00000000 00000000* -L0026912 00000000 00000000 00000000 00000000 01000000 10010000 00000000 00000000* -L0026976 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0027040 00000000 00000000 00000000 00000000 01000000 00000100 00000000 00000000* -L0027104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027168 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0027232 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0027296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027360 000000 000000 000000 000000 000000 000000 000000 000000* -L0027408 000000 010000 000000 000000 000000 000000 000000 000000* -L0027456 000000 000000 001010 000000 000000 000000 000000 000000* -L0027504 000000 000000 000000 000000 001000 000000 000000 000000* -L0027552 000000 000000 000000 000000 000000 000001 000000 000000* -L0027600 000000 000000 000000 000000 000000 000000 000000 000000* -L0027648 00000000 00000000 00000000 00000000 10000000 00010000 00000001 00000000* -L0027712 00000000 00000000 00000000 00000001 00000111 00100000 00000011 00000010* -L0027776 00000000 00000000 00000000 00000000 10000101 00100000 00000001 00000001* -L0027840 00000000 00000000 00000000 00000000 11000100 00000000 00000000 00010000* -L0027904 00000001 00000000 00000000 00000000 11000101 00000000 00000011 00000010* -L0027968 00000000 00000000 00000000 00000010 10000111 00000001 00000010 00000010* -L0028032 00000000 00000000 00000000 00000000 11000100 00000000 00000000 00000000* -L0028096 00000000 00000000 00000001 00000100 11100100 00000000 00000010 00000010* -L0028160 00000000 00000000 00000000 00000100 10000110 00010000 00000010 01000000* -L0028224 000000 000000 010000 000000 000001 000000 000000 000000* -L0028272 000000 000000 000000 000000 000001 000001 000000 000000* -L0028320 000000 000000 000000 000000 000001 100000 000000 000000* -L0028368 000000 000000 000000 000000 000001 100000 000000 000000* -L0028416 000000 000000 000000 000000 000001 001000 000000 000101* -L0028464 000000 000000 000000 000000 000001 000000 000000 000000* -L0028512 00100011 00000000 00111010 00000011 00000010 00000100 00000010 00000000* -L0028576 00000001 00000000 00101100 00000000 11000000 10010000 00000000 00000000* -L0028640 00000001 00000000 00111110 00000011 01000010 10010000 00000010 00000000* -L0028704 00000011 00000000 00011100 00000011 00000011 10010100 00000011 00000010* -L0028768 00000010 00000000 00011110 00000001 00000010 10010101 00000000 00000001* -L0028832 00000011 00010010 01010001 00000101 00100000 00010100 00000000 00000001* -L0028896 00000011 00000000 01010100 00000111 00000001 00000100 00000010 00000011* -L0028960 00000010 00000000 01010100 00000011 00000010 00000000 00000001 00000000* -L0029024 00000011 00000001 01010011 00000011 00000000 00000000 00000000 00000011* -L0029088 000000 000000 000110 000000 000000 000000 000000 000000* -L0029136 000000 000000 000000 000000 000000 101100 000000 000000* -L0029184 000000 000000 010000 000000 000000 000011 000000 000000* -L0029232 000000 000000 010001 000000 000000 000001 000000 000000* -L0029280 000000 000000 010000 000000 001000 000001 000000 000000* -L0029328 000000 000000 010001 000000 000000 000001 000000 000000* -L0029376 00000000 00000001 00000000 00000000 00000000 00000011 00000000 00000000* -L0029440 00000010 00000000 00000000 00000010 00000000 00000011 00000000 10000000* -L0029504 00000010 00000001 00000000 00000000 00000000 00000011 00000000 00000000* -L0029568 00000000 00000001 00000000 00000000 00000000 00000011 00000000 00000000* -L0029632 00000000 00000001 00000000 00000010 00000000 00000010 00000000 10000000* -L0029696 00000000 00000001 00000000 00000000 00000000 00000010 00000000 00000000* -L0029760 00000000 00000011 00000000 00000000 00000000 00000011 00000000 00000000* -L0029824 00000001 00000011 00000000 00000100 00000000 00000011 00000000 00000000* -L0029888 00000000 00000010 00000000 00000100 00000000 00000011 00000000 00000000* -L0029952 000000 000000 000000 000000 000000 000000 000000 000000* -L0030000 000000 000000 000000 000000 000000 000000 000000 000001* -L0030048 000000 000000 000000 000001 000000 000000 100000 000000* -L0030096 000000 000000 000000 000000 000000 000000 100000 000000* -L0030144 000000 000000 000000 000000 000000 000000 000000 000000* -L0030192 000000 000000 000000 000000 000000 000000 000000 000000* -L0030240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0030304 00000000 00000001 00000000 00000000 00000000 00000001 00000000 00000000* -L0030368 00000010 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0030432 00000010 00000001 00000000 00000000 00000000 00000000 00000000 00000000* -L0030496 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* -L0030560 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0030624 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0030688 00000000 00000011 00000000 00000000 00000000 00010001 00000000 00000000* -L0030752 00000000 00000010 00000000 00000000 00000000 00010010 00000000 00000000* -L0030816 000000 000000 000000 000000 000000 000000 000000 100000* -L0030864 000000 000000 000000 000000 100000 000000 000000 000000* -L0030912 000000 000000 000000 000000 000000 000000 000000 000000* -L0030960 000000 000000 000000 000001 000000 000000 000000 000000* -L0031008 000000 000000 000000 000001 000000 000000 000000 000000* -L0031056 000000 000000 000000 000000 000000 000000 000000 000000* -L0031104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031488 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0031552 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031680 000000 000000 000000 000000 000000 000000 000000 000000* -L0031728 000000 000000 000000 000000 000000 000000 000000 000000* -L0031776 000000 000000 000000 000110 000000 000000 100000 000000* -L0031824 000000 000000 000000 000010 000000 000000 100000 000000* -L0031872 000000 000000 000000 000000 000000 000000 000000 000000* -L0031920 000000 000000 000000 000000 000000 000000 000000 000000* -L0031968 00000000 00000000 00000000 10000001 00000000 00000000 00000000 00000000* -L0032032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032288 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0032352 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000010* -L0032416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032480 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032544 000000 000000 000000 000000 000000 000000 000000 000000* -L0032592 000000 000000 000000 100000 000000 000000 000000 000000* -L0032640 000000 000000 000000 000000 000000 000000 000000 000000* -L0032688 000000 000000 000000 000000 000000 000000 000000 000000* -L0032736 000000 000000 000000 000000 000000 000000 000000 000000* -L0032784 000000 000000 000000 000000 000000 000000 000000 000000* -L0032832 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0032896 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0032960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033088 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0033152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033280 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0033344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033408 000000 000000 100000 000000 000000 000000 000000 000000* -L0033456 000000 000000 000000 000000 000000 000000 000000 000000* -L0033504 000000 000000 000000 000000 100100 000000 000000 000000* -L0033552 000000 000000 000000 000000 100000 000000 000000 000000* -L0033600 000000 000000 000000 000000 100000 000000 000000 000000* -L0033648 000000 000000 000000 000000 000000 000000 000000 000000* -L0033696 00000000 00000000 00111100 00000010 00000000 00000001 00000000 00000000* -L0033760 00000000 00000000 00111100 00000000 00000000 00000010 00000000 00000000* -L0033824 00000000 00000000 10111110 00000010 00000010 00000000 00000010 00000000* -L0033888 00000000 00000000 00011100 00000011 00000010 00000010 00000001 00000000* -L0033952 00000010 00000000 00011100 00000001 00000000 00000000 00000000 00000000* -L0034016 00000010 00000000 01010000 00000001 00000000 00000010 00000000 00000000* -L0034080 00000000 00000000 01011100 00000010 00000001 00000000 00000010 00000000* -L0034144 00000000 00000000 01110100 00000001 00000000 00000010 00000001 00000000* -L0034208 00000000 00000001 01011000 00000001 00000000 00000001 00000000 00000001* -L0034272 000000 000000 001110 000000 000000 000000 000000 000000* -L0034320 000000 000000 000000 000000 000000 000000 000000 000000* -L0034368 000000 000000 010001 010000 000000 000000 000000 000000* -L0034416 000000 000000 010001 000000 000000 000000 000000 000000* -L0034464 000000 000000 010001 000000 000000 000000 000000 000000* -L0034512 000000 000000 010001 000000 000000 000000 100000 000000* -L0034560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034688 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0034752 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0034816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035008 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0035072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035136 000000 000000 000000 000000 000000 000000 000000 000000* -L0035184 000000 000000 000000 000000 000000 000000 000000 000000* -L0035232 000000 000000 000000 000000 000000 000000 100000 000000* -L0035280 000000 000000 000000 000000 000000 000000 100000 000000* -L0035328 000000 000000 000000 000000 000000 000000 000000 000000* -L0035376 000000 000000 000000 000000 000000 000000 000000 000000* -L0035424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035488 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0035552 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0035616 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0035680 00000000 00000000 00000000 00000000 11000000 00000000 00000000 00000000* -L0035744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035808 00000000 00000000 00000000 00000000 01000000 00000000 00001000 00000000* -L0035872 00000000 00000000 00000000 00000000 01000100 00000000 00001000 00000000* -L0035936 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0036000 000000 000000 000000 000000 100001 000000 000001 000000* -L0036048 000000 000000 000000 000000 101000 000000 000000 000000* -L0036096 000000 000000 000000 000000 010000 000000 000000 000000* -L0036144 000000 000000 000000 000000 011000 000000 000000 000000* -L0036192 000000 000000 000000 000000 011000 000000 000010 000000* -L0036240 000000 000000 000000 000000 001000 000000 000000 000000* -L0036288 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0036352 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* -L0036416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036544 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0036608 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000101* -L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0036800 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0036864 000000 000000 000000 000000 000000 000000 000000 000000* -L0036912 000000 000000 000000 000000 000000 000000 000000 000010* -L0036960 000000 000000 000000 000000 000000 000000 000000 000000* -L0037008 000000 000000 000000 000000 000100 000000 000000 100000* -L0037056 000000 000000 000000 000000 000000 001000 000000 000000* -L0037104 000000 000000 000000 000000 000000 000000 000000 000000* -L0037152 00000000 00000000 00111100 00000000 00000000 00000000 00000000 00000000* -L0037216 00000000 00000000 00111100 00000000 00000000 00000000 00000000 00000000* -L0037280 00000000 00000000 00111100 00000000 00000000 00000000 00000000 00000000* -L0037344 00000000 00000000 00011100 00000000 00000000 00000000 00000000 00000000* -L0037408 00000000 00000000 00011100 00000000 00000000 00000000 00000000 00000000* -L0037472 00000000 00000000 01010000 00000000 00000000 00000000 00000000 10000000* -L0037536 00000000 00000000 01010100 00000000 00000000 00000000 00010000 00000000* -L0037600 00000000 00000000 01010100 00000000 00000000 00000000 00000000 00000000* -L0037664 00000000 00000000 01011000 00000000 00000000 00000000 00000000 00000000* -L0037728 000000 000000 001110 000000 000000 000000 000000 000000* -L0037776 000000 000000 000000 000000 000000 000000 000000 000000* -L0037824 000000 000000 010001 000000 000000 000000 000000 000000* -L0037872 000000 000000 010001 000000 000000 000000 000000 000000* -L0037920 000000 000000 010001 000000 000000 000000 000000 000000* -L0037968 000000 000000 010001 000000 000000 000000 000000 000000* -L0038016 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0038080 00000000 00000000 00001000 00000011 00000011 00000011 00000011 00000010* -L0038144 00000000 00000000 00101000 00000000 00000001 00000001 00000001 00000001* -L0038208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038272 00000000 00000000 00001100 00000010 00000011 00000001 00000011 00000010* -L0038336 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000011* -L0038400 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0038464 00000000 00000000 01010001 00000000 00000000 00000001 00000010 00000010* -L0038528 00000000 00000000 01000010 00000000 00000010 00000010 00000010 00000000* -L0038592 000000 000000 000000 000000 000000 000000 000000 000000* -L0038640 000000 000000 000000 000000 000000 000000 000000 000000* -L0038688 000000 000000 000000 000000 000000 000000 000000 000000* -L0038736 000000 000000 000000 000000 000000 000000 000000 000000* -L0038784 000000 000000 010000 000000 000000 000000 000000 000000* -L0038832 000000 000000 010000 000000 000000 000000 000000 000000* -L0038880 00000011 00000001 00001011 00000011 00000011 00000011 00000011 00000011* -L0038944 00000011 00000001 00100011 00000011 00000011 00000011 00000011 00000011* -L0039008 00000011 00000001 00000110 00000011 00000011 00000011 00000011 00000011* -L0039072 00000011 00000001 00011111 00000011 00000011 00000011 00000011 00000011* -L0039136 00000011 00000001 00010011 00000011 00000011 00000011 00000011 00000011* -L0039200 00000011 00000011 01010011 00000011 00000011 00000011 00000011 00000011* -L0039264 00000011 00000011 01000111 00000011 00000011 00000011 00000011 00000011* -L0039328 00000011 00000011 00000111 00000011 00000011 00000011 00000011 00000011* -L0039392 00000011 00000010 00010011 00000011 00000011 00000011 00000011 00000011* -L0039456 000000 000000 000100 000000 000000 000000 000000 000000* -L0039504 000000 000000 000000 000000 000000 000000 000000 000000* -L0039552 000000 000000 010000 000000 000000 000000 000000 000000* -L0039600 000000 000000 010000 000000 000010 000000 000000 000000* -L0039648 000000 000000 000000 000000 000000 000000 000000 000000* -L0039696 000000 000000 000000 000000 000000 000000 000000 000000* -L0039744 00000000 00000100 01101011 00000000 00000011 00000011 00000011 00000011* -L0039808 00000000 00000000 01101011 00000010 00000011 00000011 00000011 00000011* -L0039872 00000000 00000000 01101110 00000001 00000011 10000011 00000011 00000011* -L0039936 00000000 00000000 01011111 00000001 00000011 10000011 00000011 00000011* -L0040000 00000000 00000000 11011111 00000011 00000011 10000011 00000011 00000011* -L0040064 00000000 00000100 01010011 00000011 00000011 00000011 00000011 00000011* -L0040128 00000000 00000000 01010011 00000001 00000011 00000011 00000011 00000001* -L0040192 00000001 00000000 11010011 00000011 00000011 00000011 00000011 00000011* -L0040256 00000001 00000000 11000011 00000011 00000011 00000011 00000011 00000011* -L0040320 000000 000000 110000 000000 000000 000000 000000 000000* -L0040368 000000 000000 000000 000000 000000 000000 000000 000000* -L0040416 000000 000000 010000 000000 100000 000000 000000 000000* -L0040464 000000 000000 010000 010000 000000 000001 000000 000000* -L0040512 000000 000000 010000 000000 000000 000000 000000 000000* -L0040560 000000 000000 110000 000000 000000 000000 000000 000000* -L0040608 00000000 00000000 00000011 00000000 00000010 00000011 00000011 00000011* -L0040672 00000000 00000000 10000011 00000010 00000011 10000011 00000011 00000010* -L0040736 00000000 00000000 00000010 00000000 00000011 00000011 00000011 00010011* -L0040800 00000000 00000000 00000011 00000001 00000011 00000011 00000011 00000011* -L0040864 00000000 00000000 00000011 00000001 00000011 00000010 00000001 00000011* -L0040928 00000000 00000000 00001011 00000011 00000011 00000010 00000001 00000011* -L0040992 00000000 00000000 00100111 00000000 00000011 00000011 00000011 00000001* -L0041056 00000000 00000000 00000111 00000001 00001011 00000010 00000011 01000011* -L0041120 00000000 00000000 00010011 00000001 00000011 00000011 00000011 00000011* -L0041184 000000 000000 000100 000000 000000 000000 000000 000001* -L0041232 000000 000000 000000 000000 000000 000000 000000 000000* -L0041280 000000 000000 000000 000000 000000 000001 000000 000000* -L0041328 000000 000000 000000 000000 100000 000000 000000 000100* -L0041376 000000 000000 000000 000000 000000 000001 000000 000000* -L0041424 000000 000000 000000 000000 000000 000001 000000 000000* -L0041472 00000000 00000000 00100111 00000001 00000010 00000011 00000011 00000011* -L0041536 00000000 00000000 00010111 00000000 00000111 00000001 11110010 00000010* -L0041600 00000000 00000000 00111110 00000000 00000111 00000000 00100011 00000011* -L0041664 00000000 00000000 00010111 00000000 01000011 00000001 00000001 00000011* -L0041728 00000000 00000000 00010111 00000000 01101001 00000000 00010100 00000011* -L0041792 00000001 00000000 00000011 00000000 00000011 00000000 00000001 00000011* -L0041856 00000001 00000000 01000001 00000001 10000011 00000001 00000011 00000010* -L0041920 00000000 00000000 10000010 00000001 10000011 00000010 00000011 00000011* -L0041984 00000001 00000000 11000011 00000001 00000011 00000011 00000001 00000011* -L0042048 000000 000000 000000 000000 000001 000000 001000 000000* -L0042096 000000 000000 000000 000000 000101 000000 000011 000000* -L0042144 000000 000000 000000 000000 101001 000000 001001 000000* -L0042192 000000 000000 010000 000000 000000 000000 000000 000000* -L0042240 000000 000000 000001 000000 110000 000000 000101 000000* -L0042288 000000 000000 010001 000000 000000 000000 000000 000000* -L0042336 00000000 00000000 00011011 00001000 00100010 00000011 10000011 00000011* -L0042400 00000000 00000000 00101011 00001000 00100010 00000000 00000010 00000010* -L0042464 00000000 00000000 10000010 00000000 00100001 00000000 01000011 00000011* -L0042528 00000000 00000000 10001011 00000000 00100111 00000001 00100001 00000011* -L0042592 00000000 00000000 10001011 00000000 00000101 00000000 10100000 00000011* -L0042656 00000000 00000000 01010011 00000000 10000100 00000000 00000000 00000001* -L0042720 00000000 00000000 00011101 00000000 01000111 00000001 00000011 00000000* -L0042784 00000000 00000000 01111110 00000000 01000111 00000000 00110011 00000011* -L0042848 00000000 00000000 00111011 00000000 00000111 00000001 00100001 00000011* -L0042912 000000 000000 001110 000000 000010 000000 000000 000000* -L0042960 000000 000000 000000 000000 000000 000000 000000 000000* -L0043008 000000 000000 010001 010000 010000 000000 000110 000000* -L0043056 000000 000000 000001 010000 110001 000000 001111 000000* -L0043104 000000 000000 010000 000000 000001 000000 000000 000000* -L0043152 000000 000000 000000 000000 000001 000000 000001 000000* -L0043200 00000000 00000000 00000010 00000010 00000000 00000000 00000010 00001000* -L0043264 00000000 00000000 00000000 00000000 00000010 00000010 00000010 00001010* -L0043328 00000000 00000000 00000000 00000010 00000000 00000010 00000000 00001010* -L0043392 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0043456 00000000 00000000 00000010 00000010 00000010 00000010 00000010 00001000* -L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0043712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0043776 000000 000000 000000 000000 000000 000000 000000 000010* -L0043824 000000 000000 000000 000000 000000 000000 000000 000010* -L0043872 000000 000000 000000 000000 000000 000000 000000 000110* -L0043920 000000 000000 000000 000000 000000 000000 000000 000110* -L0043968 000000 000000 000000 000000 000000 000000 000000 000100* -L0044016 000000 000000 000000 000000 000000 000000 000000 000110* -L0044064 00000000 00000000 00000001 00000011 00000011 00000000 00000000 00000011* -L0044128 00000000 00000000 00000001 00000001 00000001 00000000 00000000 00000001* -L0044192 00000000 00000001 00000001 00000011 00000011 00000000 00000000 01000011* -L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044320 00000000 00000001 00000000 00000010 00000000 00000010 00000000 00000000* -L0044384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0044640 000000 000000 000000 000000 000000 000000 000000 000000* -L0044688 000000 000000 000000 000000 000000 000000 000000 000000* -L0044736 000000 000000 000000 000000 000000 000000 000000 000000* -L0044784 000000 000000 000000 000000 000000 000000 000000 001000* -L0044832 000000 000000 000000 000000 000000 000000 000000 000000* -L0044880 000000 000000 000000 000000 000000 000000 000000 000000* -L0044928 00000000 00000000 00000010 00000000 00000010 00000000 00000011 00000000* -L0044992 00000010 00000000 00000000 00000010 00000000 00000001 00000001 00000010* -L0045056 00000010 00000000 00000000 00000010 00000010 00000001 00000010 00000010* -L0045120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045184 00000010 00000000 00000010 00000000 00000010 00000011 00000011 00000000* -L0045248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0045312 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00010000* -L0045376 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0045440 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0045504 000000 000000 000100 000000 000000 000000 000000 000000* -L0045552 000000 000000 000000 000000 000000 000000 000000 000000* -L0045600 000000 000000 000000 001000 000000 000000 000000 000000* -L0045648 000000 000000 000000 000001 000000 000000 000000 000000* -L0045696 000000 000000 000000 000001 000000 000000 000000 000000* -L0045744 000000 000000 000000 000000 000000 000000 000000 000000* -L0045792 00000010 00000000 00000000 00000010 00000000 00000000 00000000 00000001* -L0045856 00000010 00000000 00000000 00000010 00000001 00000011 00000001 00000011* -L0045920 00000010 00000000 00000000 00000010 00000000 00000011 00000001 00000001* -L0045984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046048 00000010 00000000 00000010 00000010 00000010 00000011 00000010 00000011* -L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046368 000000 000000 000000 000000 000000 000000 000000 000000* -L0046416 000000 000000 001010 000001 000000 000000 000000 000000* -L0046464 000000 000000 000000 000001 000000 000000 000000 000000* -L0046512 000000 000000 000000 000000 000000 000000 000000 000000* -L0046560 000000 000000 000000 000000 000000 000000 000000 000000* -L0046608 000000 000000 000000 000000 000000 000000 000000 000000* -L0046656 00000010 00000000 00000011 00000011 00000000 00000000 00000010 00000000* -L0046720 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000010* -L0046784 00000000 00000000 00000001 00000001 00000000 00000010 00000011 00000010* -L0046848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046912 00000000 00000000 00000011 00000001 00000010 00000010 00000000 00000000* -L0046976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047232 000000 000000 000000 000000 000000 000000 000000 000000* -L0047280 000011 000000 000000 000000 000000 000000 000000 000000* -L0047328 000000 000000 000000 000000 000000 000000 000000 000000* -L0047376 000000 000000 000000 000000 000000 000000 000000 000000* -L0047424 000000 000000 000000 000000 000000 000000 000000 000000* -L0047472 000000 000000 000000 000000 000000 000000 000000 000000* -L0047520 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000000* -L0047584 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0047648 00000000 00000000 00000010 00000011 00000000 00000011 00000000 00000000* -L0047712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047776 00000000 00000000 00000000 00000011 00000010 00000011 00000010 00000000* -L0047840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048096 000000 000000 000000 000000 000000 000000 000000 000000* -L0048144 000000 000000 000000 000000 000000 000000 000000 000000* -L0048192 000000 000000 000000 000000 000000 000000 000000 000000* -L0048240 000000 000000 000000 000000 000000 000000 000000 000000* -L0048288 000000 000000 000000 000000 000000 000000 000000 000000* -L0048336 000000 000000 000000 000000 000000 000000 000000 000000* -L0048384 00000010 00000000 00111100 00000001 00000010 00000000 00000010 00100010* -L0048448 00000010 00000000 00111100 00000000 00000000 00000000 00000000 00000010* -L0048512 00000000 00000000 00111110 00000001 00000010 00000010 00000010 00000010* -L0048576 00000000 00000000 00011101 00000000 00000001 00000000 00000000 00000001* -L0048640 00000011 00000000 00011100 00000011 00000010 00000010 00000010 00000010* -L0048704 00000000 00000000 01010100 00000000 00000000 00000000 00000000 00000000* -L0048768 00000000 00000000 01010000 00000000 00000000 00000000 00000000 00000000* -L0048832 00000000 00000000 01010000 00000000 00000000 00000000 00000000 00000000* -L0048896 00000000 00000000 01001000 00000000 00000000 00000000 00000000 00000000* -L0048960 000000 000000 001010 000000 000000 000000 000000 000000* -L0049008 000000 000000 000000 000000 000000 000000 000000 010001* -L0049056 000000 000000 010001 000000 000000 000000 000000 000000* -L0049104 000000 000000 010001 000000 000000 000000 000000 000000* -L0049152 000000 000000 110001 000000 000000 000000 000000 000000* -L0049200 000000 000000 010001 000000 000000 000000 000000 000000* -L0049248 00000010 00010000 00000011 00000001 00000011 00000000 00000010 00000001* -L0049312 00000000 00000010 00000000 00000000 00000001 00000000 00000000 00010000* -L0049376 00000010 00000000 00000011 00000001 00000010 00000010 00000010 00000001* -L0049440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049504 00000010 00000000 00000010 00000001 00000010 00000010 00000000 00000011* -L0049568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049632 00000000 00000000 00000000 00000000 00001000 00000000 00000000 01000000* -L0049696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049824 000000 000000 000000 000000 000000 000000 000000 100000* -L0049872 000000 000000 000000 010000 010000 000000 000000 000000* -L0049920 000000 000000 000000 010000 000000 000000 000000 000100* -L0049968 000000 000000 100000 010000 000000 000000 000000 000000* -L0050016 000000 000000 000000 000000 000000 000000 000000 000000* -L0050064 000000 000000 000000 000000 000000 000000 000000 000000* -L0050112 00000000 00000010 00000010 00000001 00000000 10001000 00001000 00000000* -L0050176 00000000 00000010 00000000 00000000 00000010 00001000 00101000 00000000* -L0050240 00000000 00000010 00000000 00000001 00000000 00000010 00101000 00000000* -L0050304 00000010 00000000 00000000 00000010 00000000 00000000 00101010 00000000* -L0050368 00000000 00000010 00000010 00000001 00000000 00001010 00101000 00000010* -L0050432 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0050496 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0050560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050624 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0050688 000000 000000 000000 000000 000000 000000 000000 000000* -L0050736 000000 000000 000000 000000 000000 000000 000000 000000* -L0050784 000000 000000 000000 000000 000000 010000 000100 000000* -L0050832 000000 000000 000000 000000 000000 010000 000100 000000* -L0050880 000000 000000 000000 000000 000000 000000 000100 000000* -L0050928 000000 000000 000000 000000 000000 000000 000100 000000* -L0050976 00000000 00000000 00000011 00000000 00000010 00000000 00100010 00000001* -L0051040 00000010 00000000 00000010 00000010 00000001 01000000 00000000 00000000* -L0051104 00000010 00000000 00000001 00000010 00000011 01000010 00000010 00000001* -L0051168 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0051232 00000010 00000000 00000011 00000011 00000010 00000010 00000011 00000011* -L0051296 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* -L0051360 00000000 00000000 00000000 00000000 00000000 00100000 00001000 00000000* -L0051424 00000000 00000000 00000000 00000000 00000000 10100000 00001000 00000000* -L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051552 000000 000000 000000 000000 000000 000000 000000 000000* -L0051600 000000 000000 000000 000000 000000 000000 000000 000000* -L0051648 000000 000000 000000 000000 000000 000000 000000 000000* -L0051696 000000 000000 000000 000000 000000 000000 000000 000000* -L0051744 000000 000000 000000 000000 000000 000000 000010 000000* -L0051792 000000 000000 000000 000000 000000 000000 000000 000000* -L0051840 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000000* -L0051904 00000000 00000000 00000000 00000000 00000010 00000101 00000000 00000100* -L0051968 00000000 00000001 00000011 00000000 00000000 00000110 00000000 00000100* -L0052032 00000000 00000000 00000000 00000001 00000000 00000000 00010000 00000001* -L0052096 00000000 00000001 00000001 00000000 00000000 00000011 00000000 00000010* -L0052160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0052224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0052288 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0052352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052416 000000 000000 000000 000000 000000 000000 000000 000000* -L0052464 000000 000000 000000 000000 000000 000000 000000 000000* -L0052512 000000 000000 000000 000000 000000 000000 000000 000000* -L0052560 000000 000000 000000 000000 000000 001100 000000 000000* -L0052608 000000 000000 000000 000000 000000 000000 000000 000000* -L0052656 000000 000000 000000 000000 000000 000000 000000 000000* -L0052704 00000000 00000000 00000001 00000001 00000010 00010000 00000010 00000001* -L0052768 00000000 00000010 00000000 00000010 00000000 10110001 00000000 00000000* -L0052832 00000000 00000000 00000001 00000011 00000010 10010011 00000010 00000001* -L0052896 00000000 00000000 00000000 00000000 00000000 10010100 00000000 00000000* -L0052960 00000000 00000000 00000001 00000011 00000010 10010111 00000010 00000011* -L0053024 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0053088 00000000 00000000 00000000 00000000 00010000 00000100 00000000 00000000* -L0053152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053216 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0053280 000000 000000 000000 000000 000000 000000 000000 000000* -L0053328 000000 000000 000000 000000 000000 000001 000000 000000* -L0053376 000000 000000 000000 000000 000000 100011 000000 000000* -L0053424 000000 000000 000000 000000 000000 000001 000000 000000* -L0053472 000000 000000 000000 000000 000000 001001 000000 000000* -L0053520 000000 000000 000000 000000 000000 000001 000000 000000* -L0053568 00000000 00000000 00101001 00000010 00000010 00000000 00000010 00000001* -L0053632 00000010 00000000 00101000 00000001 00000011 00000000 00000001 00000000* -L0053696 00000000 00000000 00101101 00000010 00000000 00000000 00000000 00000000* -L0053760 00000000 00000000 00011100 00000000 00000000 00000000 00000000 00000010* -L0053824 00000010 00000000 00011100 00000011 00000011 00000010 00000001 00000001* -L0053888 00000000 00000000 01010000 00000000 00000000 00000000 00000000 00000000* -L0053952 00000000 00000000 01010000 00000000 00000000 00000000 00000000 00000000* -L0054016 00000000 00000000 01010000 00000000 00000000 00000000 00000000 00000000* -L0054080 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0054144 000000 000000 000000 000000 000000 000000 000000 000000* -L0054192 000000 000000 000000 000000 000000 000000 000000 000000* -L0054240 000000 000000 010000 000010 000000 000000 000000 000000* -L0054288 000000 000000 010000 000010 000000 000000 000000 000000* -L0054336 000000 000000 010000 000000 000000 000000 000000 000000* -L0054384 000000 000000 010000 000000 000000 000000 000000 000000* -L0054432 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000000* -L0054496 00000010 00000000 00000000 00000000 00000010 00000001 00000000 00000010* -L0054560 00000011 00000000 00000001 00000011 00000010 00000001 00000011 00000010* -L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054688 00000011 00000000 00000010 00000011 00000011 00000001 00000010 00000010* -L0054752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055008 000000 000000 000000 000000 000000 000000 000000 000000* -L0055056 000000 000000 000000 000010 000000 000000 010000 000000* -L0055104 000000 000000 000000 000000 000000 000000 000000 000000* -L0055152 000000 000000 000000 000000 000000 000000 000000 000000* -L0055200 000000 000000 000000 000000 000000 000000 000000 000000* -L0055248 000000 000000 000000 000000 000000 000000 000000 000000* -L0055296 00000000 00000000 00000010 00000000 00000011 00000000 00000001 00000000* -L0055360 00000000 00000000 00000000 00000010 00000011 00000000 00000011 00000011* -L0055424 00000000 00000000 00000000 00000010 00000000 00000000 00000010 00000010* -L0055488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055552 00000011 00000000 00000010 00000000 00000001 00000000 00000001 00000001* -L0055616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055680 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0055744 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0055808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055872 000000 000000 000000 000000 000000 000000 000000 000000* -L0055920 000000 000000 000000 000000 000000 000010 000000 000000* -L0055968 000000 000000 000000 000000 000000 000000 000000 000000* -L0056016 000000 000000 000000 000000 000000 000000 000000 000000* -L0056064 000000 000000 000000 000000 000000 000000 000000 000000* -L0056112 000000 000000 000000 000000 000000 000000 000000 000000* -L0056160 00000000 00000000 00000011 00000001 00000001 00000000 00000000 00000000* -L0056224 00000000 00000010 00000011 00000000 00000010 00000001 00000000 00000000* -L0056288 00000000 00000010 00000011 00000000 00000001 00000011 00000000 00000000* -L0056352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056416 00000000 00000010 00000011 00000011 00000011 00000011 00000001 00000000* -L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056736 000000 000000 000000 000000 000000 000000 000000 000000* -L0056784 000000 000000 000000 000000 000000 000000 000000 000000* -L0056832 000000 000000 000000 000000 000000 000000 000000 000000* -L0056880 000000 000000 000000 000000 000000 000000 000000 000000* -L0056928 000000 000000 000000 000000 000000 000000 000000 000000* -L0056976 000000 000000 000000 000000 000000 000000 000000 000000* -L0057024 00000010 00000001 00000001 00000011 00100011 00000001 00000000 00100000* -L0057088 00000010 00000011 00000001 00000001 01000111 00000000 00000001 00000000* -L0057152 00000000 00000010 00000011 00000011 10100001 00000001 00000000 00000010* -L0057216 00000000 00000000 00000000 00000000 01000100 00000000 00000000 00000000* -L0057280 00000010 00000000 00000001 00000011 00100011 00000011 00000001 00000001* -L0057344 00000000 00000000 00000100 00000000 00000100 00000000 00000000 00000000* -L0057408 00000000 00000000 00000000 00001000 11000000 00000000 00000000 00000000* -L0057472 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0057536 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0057600 000000 000000 000000 000000 000000 000000 000000 000000* -L0057648 000000 000000 000000 000000 010001 000000 000000 010000* -L0057696 000000 000000 000000 000110 000000 000000 000000 000000* -L0057744 000000 000000 000000 000010 000001 000000 000000 010000* -L0057792 000000 000000 000000 000000 000000 000000 000000 010000* -L0057840 000000 000000 000000 000000 000001 000000 000000 000000* -L0057888 00000000 00000000 10000001 10000000 01000100 00000000 00000001 00000111* -L0057952 00000000 00000000 00000000 00000010 00000000 00000001 00000001 00000011* -L0058016 00000000 00000000 00000001 00000010 00001011 00000001 00000010 10000010* -L0058080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0058144 00000001 00000000 00000000 00000011 00000010 00000011 00000011 00000011* -L0058208 00100000 00000000 10100000 00000000 00000000 00000000 00000000 00001000* -L0058272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0058336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0058400 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0058464 000000 000000 000000 000000 100000 000000 000000 000000* -L0058512 000000 000000 010000 000000 000000 000000 000000 000100* -L0058560 000000 000000 000000 000000 000000 000000 000000 000000* -L0058608 000000 000000 000000 000000 000000 000000 000000 000000* -L0058656 000000 000000 000000 000000 000000 000000 000000 001000* -L0058704 000000 000000 000000 000000 001000 000000 000000 000000* -L0058752 00000000 00000000 00000001 00000011 00000001 00000000 00000010 00000001* -L0058816 00000000 00000000 00000001 00000000 00000001 00000000 00000001 00000001* -L0058880 00000000 00000000 01000001 00000011 00000001 00000000 00000011 01000001* -L0058944 00000000 00000000 00000000 00000100 00000000 00000000 00000000 01000000* -L0059008 00000001 00000000 00000001 00000011 00000001 00000000 00000010 01000001* -L0059072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0059328 000000 000000 000000 000000 000000 000000 000000 010000* -L0059376 000000 000000 000000 000000 000000 000000 000000 100000* -L0059424 000000 000000 000000 000000 000000 000000 000000 100000* -L0059472 000000 000000 000000 000000 000000 000000 000000 100000* -L0059520 000000 000000 000000 000000 000000 000000 000000 100000* -L0059568 000000 000000 000000 000000 000000 000000 000000 100000* -L0059616 00000000 00000000 00111101 00000000 00000001 00000000 00000000 01001000* -L0059680 00000000 00000000 00111110 00000010 00010010 00000000 00000010 01001000* -L0059744 00000000 00000000 00111111 00000010 00000011 00000001 00000000 00000000* -L0059808 00000000 00000000 00011100 00000000 00000000 00000000 00000000 00000000* -L0059872 00000000 00000000 00011100 00000000 00000000 00000001 00000000 00000000* -L0059936 00000000 00000000 01010000 00000000 00000000 00000000 00000000 00000000* -L0060000 00000000 00000000 01010100 00100000 00000000 00000000 00000000 00000000* -L0060064 00000000 00000000 01010100 00000000 00000000 00000000 00000000 10000000* -L0060128 00000000 00000000 01011000 00000000 00000000 00000000 00000000 00001000* -L0060192 000000 000000 001110 000000 000000 000000 000000 000000* -L0060240 000000 000000 000000 000000 000000 000000 000000 001000* -L0060288 000000 000000 010001 000000 000000 000000 000000 001000* -L0060336 000000 000000 010001 000000 000000 000000 000000 000000* -L0060384 000000 000000 010001 000000 000000 000000 000000 000000* -L0060432 000000 000000 010001 000000 000000 000000 000000 000000* -L0060480 00000000 00000000 00000000 00000000 00000010 00000000 00000010 00000000* -L0060544 00000000 00000000 00000001 00000000 00000001 00000000 00000001 00000000* -L0060608 00000000 00000000 00000001 00000000 00000011 00000001 00000010 00000000* -L0060672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060736 00000001 00000000 00000000 00000001 00000010 00000001 00000011 00000001* -L0060800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060864 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0060928 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0060992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061056 000000 000000 000000 000000 000000 000000 000000 000000* -L0061104 000000 000000 000000 000000 000000 000000 000000 000000* -L0061152 000000 000000 000000 000110 000000 000000 000000 000000* -L0061200 000000 000000 000000 000010 000000 000000 000000 000000* -L0061248 000000 000000 000000 000000 000000 000000 000000 000000* -L0061296 000000 000000 000000 000000 000000 000000 000000 000000* -L0061344 00000000 00000000 00000010 11000010 00000010 00000010 00000011 00000010* -L0061408 00000000 00000000 00000000 00000010 00000000 00000011 00000001 00000000* -L0061472 00000000 00000000 00000010 00000010 00000010 00000011 00000010 00000011* -L0061536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061600 00000000 00000000 00000000 00000010 00000000 00000010 00000001 00000001* -L0061664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061920 000000 000000 000000 000000 000000 000000 000000 000000* -L0061968 000000 000000 000000 100000 000000 000000 000000 000000* -L0062016 000000 000000 000000 000000 000000 000000 000000 000000* -L0062064 000000 000000 000000 000000 000000 000000 000000 000000* -L0062112 000000 000000 000000 000000 000000 000000 000000 000000* -L0062160 000000 000000 000000 000000 000000 000000 000000 000000* -L0062208 00000001 00000000 00011000 00000000 00000001 00000000 00000000 00000001* -L0062272 00000000 00000000 00101001 00000001 00000100 00000001 00000000 00000000* -L0062336 00000000 00000000 00000011 00000001 00000111 00000001 00000000 00000001* -L0062400 00000000 00000000 00001000 00000000 01000100 00000000 00000000 00001000* -L0062464 00000000 00000000 00001001 00000001 01001100 00000001 00000000 01000000* -L0062528 00000000 00000000 01010000 00000000 00001100 00000000 00000000 00000000* -L0062592 00000000 00000000 00010000 00001000 01001100 00000000 00000000 00000000* -L0062656 00000000 00000000 01010000 00001000 01001000 00000000 00000000 00000000* -L0062720 00000000 00000000 10001000 00000000 00001000 00000000 00000000 00000000* -L0062784 000000 000000 001010 000000 000010 000000 000000 000000* -L0062832 000000 000000 000000 000000 000101 000000 000000 000000* -L0062880 000000 000000 010001 000110 000101 000000 100000 000001* -L0062928 000000 000000 000001 000010 100100 000000 100000 000000* -L0062976 000000 000000 010000 000000 100100 000000 000000 010000* -L0063024 000000 000000 000000 000000 000100 000000 000000 001000* -L0063072 00000000 00000000 00100101 11100010 00000011 00000001 00000001 00000011* -L0063136 00000000 00000010 00010110 00000000 00000000 00000010 00000000 00000000* -L0063200 00000000 00000000 00111111 00000010 10000011 00000000 00000001 00000011* -L0063264 00000000 00000000 00010100 00000000 10000000 00000000 00000000 00000000* -L0063328 00000000 00000000 10010100 00000000 10000000 00000001 00000000 00010000* -L0063392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063456 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0063520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063584 00000000 00000000 01000000 00000000 00100000 00000000 00000000 00000000* -L0063648 000000 000000 000000 000000 001000 000000 000000 010000* -L0063696 000000 000000 000000 100000 001000 000000 000000 000000* -L0063744 000000 000000 000000 000000 100000 000000 000000 000000* -L0063792 000000 000000 010000 000000 000000 000000 000000 010000* -L0063840 000000 000000 000001 000000 000000 000000 000000 000000* -L0063888 000000 000000 010001 000000 000000 000000 000000 000101* -L0063936 00000000 00000000 00010100 00000100 00000000 00000001 00000001 00000000* -L0064000 00000000 00000000 10010110 00000011 00000010 00000000 00000011 00000000* -L0064064 00000000 00000000 10010010 00000011 00000010 00000001 00000010 00000000* -L0064128 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0064192 00000001 00000000 00000000 00000001 00000000 00000001 00000001 00000000* -L0064256 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0064320 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0064384 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0064448 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0064512 000000 000000 001010 000000 000000 000000 000000 000000* -L0064560 000000 000000 000000 000000 000000 000000 000000 010000* -L0064608 000000 000000 000001 000000 000000 000000 000000 000000* -L0064656 000000 000000 000001 000000 000000 000000 000000 000000* -L0064704 000000 000000 000001 000000 000000 000000 000000 000000* -L0064752 000000 000000 000001 000000 000000 000000 000000 000000* -L0064800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* -L0064864 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000010* -L0064928 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000010* -L0064992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065056 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000010* -L0065120 00000000 00001000 00000000 00000000 00000000 00001000 00000000 00000000* -L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065248 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065376 000000 000000 000100 000000 000000 000000 000000 000000* -L0065424 000000 000000 000000 000000 000000 000000 000000 000000* -L0065472 000000 000000 000000 000000 000000 000000 000000 000000* -L0065520 000000 000000 000000 000000 000000 000000 000000 000000* -L0065568 000000 000000 000000 000000 000000 000000 000000 000000* -L0065616 000000 000000 000000 000000 000000 000000 000000 000000* -L0065664 00000000 00000000 00000010 00000011 00000001 00000000 00000000 00000011* -L0065728 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0065792 00000000 00000000 00000011 00000011 00000001 00000001 00000001 00000011* -L0065856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065920 00000000 00000000 00000010 00000011 00000000 00000001 00000000 00000010* -L0065984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0066048 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00010000* -L0066112 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0066176 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0066240 000000 000000 000100 000000 000000 000000 000000 000000* -L0066288 000000 000000 000000 000000 000000 000000 000000 000000* -L0066336 000000 000000 000000 001000 000000 000000 000000 000000* -L0066384 000000 000000 000000 000000 000000 000000 000000 000000* -L0066432 000000 000000 000000 000000 000000 000000 000000 000000* -L0066480 000000 000000 000000 000000 000000 000000 000000 000000* -L0066528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066976 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067104 000000 000000 000000 000000 000000 000000 000000 000000* -L0067152 000000 000000 000000 000000 000000 000000 000000 000000* -L0067200 000000 000000 000000 000000 000000 000000 000000 000000* -L0067248 000000 000000 001010 000000 000000 000000 000000 000000* -L0067296 000000 000000 000000 000000 000000 000000 000000 000000* -L0067344 000000 000000 000000 000000 000000 000000 000000 000000* -L0067392 00000010 00000010 00000010 00000010 00000010 00000010 00000010 00000010* -L0067456 00000000 00000000 00000010 00000000 00000010 00000010 00000010 10000010* -L0067520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067584 00000000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* -L0067648 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0067712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067776 00000010 00000010 00000010 00001010 00000010 00000010 00000010 00000010* -L0067840 00000000 00000000 00001000 00001000 00000000 00000000 00000000 00000000* -L0067904 00000000 00000000 00100000 00000000 00000000 00000000 00000100 00000000* -L0067968 000000 000000 000000 000000 000000 000000 000000 000000* -L0068016 000000 000000 000000 000000 000000 000000 000000 000000* -L0068064 000000 000000 000000 000100 000000 000000 100000 000000* -L0068112 000000 000000 000000 000000 000000 000000 100000 000000* -L0068160 000000 000000 000000 000000 000000 000000 000000 000000* -L0068208 000000 000000 000000 000000 000000 000000 000000 000000* -L0068256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0068320 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0068384 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0068448 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0068512 00000000 00000000 01000000 00000000 11000000 00000000 00000000 00000000* -L0068576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068640 00000000 00000000 00000000 00000000 01000000 00000000 00001000 00000000* -L0068704 00000000 00000000 00000000 00000000 01000100 00000000 00001000 00000000* -L0068768 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0068832 000000 000000 000000 000000 100001 000000 000001 000000* -L0068880 000000 000000 000000 000000 001000 000000 000000 000000* -L0068928 000000 000000 000000 000000 010000 000000 000000 000000* -L0068976 000000 000000 000000 000000 011000 000000 000000 000000* -L0069024 000000 000000 000000 000000 011000 000000 000010 000000* -L0069072 000000 000000 000000 000000 001000 000000 000000 000000* -L0069120 00000000 00000000 00000000 00000000 00100000 00010100 00000000 00000000* -L0069184 00000000 00000000 00000000 00000000 00100000 00000100 00000000 00000000* -L0069248 00000000 00000000 00000000 00000000 10100000 00000100 00000000 00000000* -L0069312 00000000 00000000 00000000 00000000 10100000 00000000 00000000 00000000* -L0069376 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0069440 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0069504 00000000 00000000 00000000 00001000 10000000 00000000 00000000 00000000* -L0069568 00000000 00000000 00000000 00001000 10000000 00000000 00000000 00000000* -L0069632 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0069696 000000 000000 000000 000000 001000 000000 000000 000000* -L0069744 000000 000000 000000 000000 000000 000101 000000 000000* -L0069792 000000 000000 000000 000110 001000 100000 000000 000000* -L0069840 000000 000000 000000 000010 000001 100100 000000 000000* -L0069888 000000 000000 000000 000000 000001 000000 000000 000000* -L0069936 000000 000000 000000 000000 000001 000000 000000 000000* -L0069984 00000000 00000000 00000000 11100000 00000000 00100000 00000000 00000000* -L0070048 00000000 00000000 00100000 00000000 00000000 10010000 00000000 00000000* -L0070112 00000000 00000000 00100000 00000000 00000000 10010000 00000000 00000000* -L0070176 00000000 00000000 00001100 00000000 00000000 10010000 00000000 00000000* -L0070240 00000000 00000000 00011100 00000000 00000000 10010000 00000000 00000000* -L0070304 01000000 00000000 00000000 00000000 00000000 00010100 01000000 00000000* -L0070368 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0070432 00000000 00000000 00010100 00000000 00000000 00010000 00000000 00000000* -L0070496 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0070560 000000 000000 000100 000000 000000 000000 000000 000000* -L0070608 010000 000000 000000 100000 000000 101000 000000 000000* -L0070656 000000 000000 010000 000000 000000 000001 000000 000000* -L0070704 000000 000000 010000 000000 000000 001001 000000 000000* -L0070752 000000 000000 010000 000000 000000 000001 000000 000000* -L0070800 000000 000000 010000 000000 000000 000001 000000 000000* -L0070848 00000000 00000000 00000000 00000000 00100000 00000000 10001100 00100000* -L0070912 00000000 00000000 00000000 00000000 10100100 00000000 10101100 00000000* -L0070976 00000000 00000000 00000000 00000000 10100100 00000000 10101100 00000000* -L0071040 00000000 00000000 00000000 00000000 11100100 00000000 10101100 00000000* -L0071104 00000000 00000000 00000000 00000000 11100100 00000000 10101100 00000000* -L0071168 00000000 00000000 00000000 00000000 10100100 00000000 00000000 00000000* -L0071232 00000000 00000000 00000000 00000000 11100100 00000000 00000100 00000000* -L0071296 00000000 00000000 00000000 00000000 11000100 00000000 10000100 00000000* -L0071360 00000000 00000000 00000000 00000000 10100100 00000000 10000100 00000000* -L0071424 000000 000000 000000 000000 001001 000000 100000 000000* -L0071472 000000 000000 000000 000000 001001 000000 000011 010000* -L0071520 000000 000000 000000 000000 011001 000000 000111 000000* -L0071568 000000 000000 000000 000000 011001 000000 000111 010000* -L0071616 000000 000000 000000 000000 010001 000000 000101 010000* -L0071664 000000 000000 000000 000000 001001 000000 000101 000000* -L0071712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071776 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0071840 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0071904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072032 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072096 00000000 00000000 00000000 00000000 00000000 00000000 10001000 00000000* -L0072160 00000000 00000000 00000000 00000000 00100000 00000000 00001000 00000000* -L0072224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072288 000000 000000 000000 000000 100000 000000 000000 000000* -L0072336 000000 000000 000000 000000 000000 000000 000000 000000* -L0072384 000000 000000 000000 000000 000000 000000 000000 000000* -L0072432 000000 000000 000000 000000 000000 000000 000000 000000* -L0072480 000000 000000 000000 000000 000000 000000 000010 000000* -L0072528 000000 000000 000000 000000 000000 000000 000000 000000* -L0072576 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0072640 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0072704 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0072768 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072896 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0072960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073024 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0073088 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0073152 000000 000000 000000 000000 000000 000000 100000 000000* -L0073200 000000 000000 000000 000000 000000 000000 000000 000000* -L0073248 000000 000000 000000 000000 000000 010000 000000 000000* -L0073296 000000 000000 000000 000000 000000 010000 000001 000000* -L0073344 000000 000000 001010 000000 000000 000000 000001 000000* -L0073392 000000 000000 000000 000000 000000 000000 000000 000000* -L0073440 00000000 00000000 00000000 00000000 00000000 10000000 00000100 00000000* -L0073504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073696 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0073760 00000000 00000000 00000000 00010000 00000000 00100000 00000000 00000000* -L0073824 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0073888 00000000 00000000 00000100 00000000 00000000 00000000 00000100 00000000* -L0073952 00000000 00000000 00010000 00000000 00000000 00100000 00000100 00000000* -L0074016 000000 000000 000100 000000 000000 000000 000000 000000* -L0074064 000000 000000 000000 000000 100000 000000 000000 000000* -L0074112 000000 000000 000000 000000 000000 000000 000000 000000* -L0074160 000000 000000 000000 000000 000000 000000 000000 000000* -L0074208 000000 000000 000000 000000 000000 000000 000000 000000* -L0074256 000000 000000 000000 000000 000000 000000 000000 000000* -L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074368 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0074432 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0074496 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0074560 00000000 00000000 00000000 00000000 00000000 00000000 10100000 00000000* -L0074624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074880 000000 000000 000000 000000 000000 000000 000000 000000* -L0074928 000000 000010 000000 000000 000000 000000 000001 000000* -L0074976 000000 000000 000000 000000 000000 000000 000001 000000* -L0075024 000000 000000 000000 000000 000000 000000 000000 000000* -L0075072 000000 000000 000000 000000 000000 000000 000000 000000* -L0075120 000000 000000 000000 000000 000000 000000 000001 000000* -L0075168 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0075232 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0075296 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0075360 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0075424 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0075488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075552 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0075616 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0075680 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0075744 000000 000000 000000 000000 000000 000000 000000 000000* -L0075792 000000 000000 100000 000000 000000 001000 000000 000000* -L0075840 000000 000000 000000 000001 000000 000000 000000 010000* -L0075888 000000 000000 000000 000001 000000 001000 000000 000000* -L0075936 000000 000000 000000 000001 000000 001000 000000 000000* -L0075984 000000 000000 000000 000000 000000 000000 000000 000000* -L0076032 00000000 00000000 00100000 00000000 00000000 10000000 00000000 00000000* -L0076096 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000100* -L0076160 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0076224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076288 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0076352 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00010000* -L0076416 00000000 00000000 00010000 00001000 00000000 00000000 00000000 00000000* -L0076480 00000000 00000000 01010000 00001000 00000000 00000000 00000000 00000000* -L0076544 00000000 00000000 01000000 00000000 00001000 00000000 00000000 00000000* -L0076608 000000 000000 010000 000000 000000 000000 000000 000000* -L0076656 000000 000000 000000 000000 000000 000000 000000 000000* -L0076704 000000 000000 000000 000110 000000 000000 100000 000000* -L0076752 000000 000000 000000 000010 000000 000000 100000 000000* -L0076800 000000 000000 010000 000000 000000 000000 000000 000000* -L0076848 000000 000000 010000 000000 000000 000000 000000 000000* -L0076896 00000000 00000000 00001100 11100000 00000000 00000000 00010000 00000000* -L0076960 00000000 00000000 00110000 00000000 00000000 00000000 00010000 00000000* -L0077024 00000000 00000000 00000100 00000000 00000000 00000000 00010000 00000000* -L0077088 00000000 00000000 00011100 00000000 00000000 00000000 00010000 00000000* -L0077152 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0077216 01000000 00000000 01010000 00000000 00010000 00000000 00000000 00000000* -L0077280 00000000 00000000 01000100 00000000 00000000 00000000 00000000 00000000* -L0077344 00000000 00000000 00000100 00000000 00000000 10000000 00000000 00000000* -L0077408 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* -L0077472 000000 000000 001100 000000 000000 000000 000000 000000* -L0077520 000000 000000 000000 100000 000000 000000 100000 000000* -L0077568 000000 000000 010001 000000 000000 000000 000000 000000* -L0077616 000000 000000 010000 000000 000000 000000 000000 000000* -L0077664 000000 000000 000001 000000 000000 000000 000000 000000* -L0077712 000000 000000 000000 000000 000000 000000 000000 000000* -L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01010000* -L0077888 00000000 00000000 00000000 00000000 00000000 00000000 10000000 01010000* -L0077952 00000000 00000000 00000000 00000000 00001000 00000000 10000000 01010000* -L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01010000* -L0078080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01100000* -L0078144 00000000 00000000 10000000 00001000 00000000 00000000 00000000 01100000* -L0078208 00000000 00000000 00000000 00001000 00000000 00000000 00100000 01100000* -L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01100000* -L0078336 000000 000000 000000 000000 000000 000000 000000 011000* -L0078384 000000 000000 000000 000000 000000 000000 000000 101000* -L0078432 000000 000000 000000 000000 000000 000000 000100 100000* -L0078480 000000 000000 000000 000000 000000 000000 000000 101000* -L0078528 000000 000000 000000 000000 000000 000000 000000 101000* -L0078576 000000 000000 000000 000000 000000 000000 000000 101000* -L0078624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0078688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078752 00000000 00000000 00000000 00000000 00000000 00000000 01010000 00000000* -L0078816 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0078880 00000000 00000000 00000000 00000000 00000000 00000000 10100000 00000000* -L0078944 00000100 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0079008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10001000* -L0079072 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00001000* -L0079136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079200 000000 000000 000000 000000 000000 000000 000000 000010* -L0079248 000000 000000 000001 000000 000000 000000 001000 000000* -L0079296 000000 000000 000000 000000 000000 000000 000010 000000* -L0079344 000000 000000 000000 000000 000000 000000 001010 000000* -L0079392 000000 000000 000000 000000 000000 000000 000000 000000* -L0079440 000000 000000 000000 000000 000000 000000 000001 000000* -L0079488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080064 000000 000000 000000 000000 000000 000000 000000 000000* -L0080112 000000 000000 000000 000000 000000 000000 000000 000000* -L0080160 000000 000000 000000 000000 000000 000000 000000 000000* -L0080208 000000 000000 000000 000000 000000 000000 000000 000000* -L0080256 000000 000000 000000 000000 000000 000000 000000 000000* -L0080304 000000 000000 000000 000000 000000 000000 000000 000000* -L0080352 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0080416 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0080480 00000000 00000000 00101100 00000000 00000000 10010000 00000000 00000000* -L0080544 00000000 00000000 00011100 00000000 00000000 00000000 00000000 00000000* -L0080608 00000000 00000000 00011100 00000000 00000000 00000100 00000000 00000000* -L0080672 00000000 00000000 01010000 00000000 00000000 00000000 00000000 00000000* -L0080736 00000000 00000000 01010100 00000000 00000000 00000000 00000000 00000000* -L0080800 00000000 00000000 01010100 00000000 00010000 00000000 00000000 00000000* -L0080864 00000000 00000000 01010000 00000000 00000000 00000000 00000000 00000000* -L0080928 000000 000000 000100 000000 000000 000000 000000 000000* -L0080976 000000 000000 000000 000000 000000 000000 000000 000000* -L0081024 000000 000000 010000 000000 000000 000000 000000 000000* -L0081072 000000 000000 010000 000000 000000 000000 000000 000000* -L0081120 000000 000000 010000 000000 000000 000001 000000 000000* -L0081168 000000 000000 010000 000000 000000 000000 000000 000000* -L0081216 10000000 00000000 00000000 00000000 00100000 00000000 00000000 00000100* -L0081280 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0081344 00000000 00000000 10000000 00000000 00000000 00000000 00000000 10000000* -L0081408 00000000 00000000 10000000 00010000 00000000 00000000 00000000 01000000* -L0081472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081536 00001000 00000000 00000000 00001100 00000000 00000000 00000000 00001000* -L0081600 00000000 00000000 00001000 00000000 00000000 00000000 01110000 00000000* -L0081664 00000000 00000000 10101000 00000000 00000100 00000000 00000000 00000000* -L0081728 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0081792 000000 000000 000000 000000 000000 000000 000000 000000* -L0081840 000000 000000 000000 001011 000100 000000 010000 000000* -L0081888 000000 000000 000000 000000 110000 000000 000000 000000* -L0081936 000000 000000 000000 000000 100001 000000 000000 000000* -L0081984 000000 000000 000000 000000 100001 000000 100000 001000* -L0082032 000000 000000 000000 000000 000000 000000 000000 000000* -L0082080 00000000 00000000 01101100 00000000 00000000 00000000 00000000 00000000* -L0082144 00000000 00000000 01111100 00001000 00000000 00000000 00000000 00000000* -L0082208 00000000 00000000 01111100 00000000 00000000 00000000 00000000 00000000* -L0082272 00000000 00000000 01011100 00000000 00000100 10010000 00000000 00000000* -L0082336 00000000 00000000 10011100 00000000 00000100 00000000 00000000 00000000* -L0082400 00000000 00000000 01010000 00000000 00001100 00000000 01010000 00000000* -L0082464 00000000 00000000 01010100 00000000 01001100 00000000 00000000 00000000* -L0082528 00000000 00000000 01010100 00001000 01000000 00000000 00000000 00000000* -L0082592 00000000 00000000 01010000 00000100 00001000 00000000 00000000 00000000* -L0082656 000000 000000 110100 000000 000010 000000 000000 000000* -L0082704 000000 000000 000000 000000 000000 000000 000000 000000* -L0082752 000000 000000 010000 000000 000100 000010 011000 000000* -L0082800 000000 000000 010000 000010 000100 000000 001000 000000* -L0082848 000000 000000 010001 000001 000100 000000 000000 000000* -L0082896 000000 000000 110001 000000 000100 000001 100000 000000* -L0082944 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* -L0083008 00000000 00000000 00000000 00000000 00000000 00000000 00101100 01000000* -L0083072 00000000 00000000 00000000 00000000 00000000 00000000 00101100 00000000* -L0083136 00000000 00000000 00000000 00000000 00000000 00000000 00101100 00000000* -L0083200 00000000 00000000 00000000 00000000 00000000 00000000 00101100 00000000* -L0083264 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* -L0083328 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0083392 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0083456 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0083520 000000 000000 000000 000000 000000 000000 000000 000000* -L0083568 000000 000000 000000 000000 000000 000000 000010 000000* -L0083616 000000 000000 000000 000000 000000 000000 000110 000000* -L0083664 000000 000000 000000 000000 000000 100000 000110 000000* -L0083712 000000 000000 000000 000000 000000 000000 000100 000000* -L0083760 000000 000000 000000 000000 000000 000000 000100 000000* -L0083808 00000000 00000000 00000000 00000000 00000000 00000000 00100000 01000000* -L0083872 00000000 00000000 00000000 00000000 00000000 10010000 00000000 00000000* -L0083936 00000000 00000000 00000000 00000000 00000000 10010000 00000000 00000000* -L0084000 00000000 00000000 00000000 00000000 00000000 10010100 00000000 00000000* -L0084064 00000000 00000000 00000000 00000000 00000000 10010100 00000000 00000000* -L0084128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084192 00000000 00000000 00000000 00000000 00000000 00000100 00001000 00000000* -L0084256 00000000 00000000 00000000 00000000 00000000 00000000 10001000 00000000* -L0084320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084384 000000 000000 000000 000000 000000 000000 000000 000000* -L0084432 000000 000000 000000 000000 000000 000000 000000 000000* -L0084480 000000 000000 000000 000000 000000 000011 000000 001000* -L0084528 000000 000000 000000 000000 000000 000001 000000 000000* -L0084576 000000 000000 000000 000000 000000 000001 000000 000000* -L0084624 000000 000000 000000 000000 000000 000001 000000 000000* -L0084672 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0084736 00000000 00000000 00010100 00000100 00000000 00000000 00000000 00000000* -L0084800 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0084864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085056 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0085120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0085184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0085248 000000 000000 000000 000000 000000 000000 000000 000000* -L0085296 000100 000000 000001 000000 000000 000000 000000 000000* -L0085344 000000 000000 100000 000000 000000 000000 000000 000000* -L0085392 000000 000000 000000 000000 000000 000000 000000 000010* -L0085440 000000 000000 000001 000000 000100 000000 000000 100000* -L0085488 000000 000000 000001 000000 000000 000000 000000 000000* -L0085536 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0085600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085920 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0085984 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0086048 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0086112 000000 000000 000000 000000 000000 000000 000000 000000* -L0086160 000000 000000 000000 000000 000000 000000 000000 000000* -L0086208 000000 000000 000000 000001 000000 000000 000000 000000* -L0086256 000000 000000 000000 000001 000000 000000 000000 000000* -L0086304 000000 000000 000000 000001 000000 000000 000000 000000* -L0086352 000000 000000 000000 000000 000000 000000 000000 000000* -L0086400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0086464 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0086528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086720 00000000 00000000 00000000 00000000 00001000 00000000 00000000 01000000* -L0086784 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000100* -L0086848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086976 000000 000000 000000 000000 000000 000000 000000 000000* -L0087024 000000 000000 000000 000000 000000 000000 000000 000000* -L0087072 000000 000000 000000 000000 000000 000000 000000 000010* -L0087120 000000 000000 000000 000000 000000 000000 000000 000000* -L0087168 000000 000000 000000 000000 000000 000000 000000 000000* -L0087216 000000 000000 000000 000000 000000 000000 000000 000000* -L0087264 00000000 00000000 00111100 00000000 00000000 00000000 00000000 00000000* -L0087328 00000000 00000000 00111100 00000000 00000000 00000000 00000000 00000000* -L0087392 00000000 00000000 00111100 00000000 00000000 00000000 00000000 00000000* -L0087456 00000000 00000000 00011100 00000000 00000000 00000000 00000000 00000000* -L0087520 00000000 00000000 00011100 00000000 00000000 00000000 00000000 00000000* -L0087584 00000000 00000000 01010000 00000000 00000000 00000000 00100000 00000000* -L0087648 00000000 00000000 01010100 00000000 00000000 00000000 00100000 00000000* -L0087712 00000000 00000000 01010100 00000000 00000000 00000000 00100000 00000000* -L0087776 00000000 00000000 01011000 00000000 00000000 00000000 00100000 00000000* -L0087840 000000 000000 001110 000000 000000 000000 001000 000000* -L0087888 000000 000000 000000 000000 000000 000000 000000 000000* -L0087936 000000 000000 010001 000000 000000 000000 000000 000000* -L0087984 000000 000000 010001 000000 000000 000000 000000 000000* -L0088032 000000 000000 010001 000000 000000 000000 000000 000000* -L0088080 000000 000000 010001 000000 000000 000000 000000 000000* -L0088128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088192 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* -L0088256 00000000 00000000 00000000 00000000 00000000 00100000 01000000 00000000* -L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088384 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0088448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088512 00000000 00000000 00000000 01000000 00000000 10010000 00000000 00000000* -L0088576 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088704 000000 000000 000000 000000 000000 000000 000000 000000* -L0088752 000000 000000 000000 000000 000000 000000 000000 000000* -L0088800 000000 000000 000000 000000 000000 001100 000000 000000* -L0088848 000000 000000 000000 000000 000000 100000 000000 000000* -L0088896 000000 000000 000000 000000 000000 000000 000000 000000* -L0088944 000000 000000 000000 000000 000000 000000 000000 000000* -L0088992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089056 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089568 000000 000000 000000 000000 000000 000000 000000 000000* -L0089616 001000 000000 000000 000000 000000 000000 000000 000000* -L0089664 000000 000000 000000 000000 000000 000000 000000 000000* -L0089712 000000 000000 000000 000000 000000 000000 000000 000000* -L0089760 000000 000000 000000 000000 000000 000000 000000 000000* -L0089808 000000 000000 000000 000000 000000 000000 000000 000000* -L0089856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089920 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0089984 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0090048 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0090112 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0090176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090240 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0090304 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0090368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090432 000000 000000 000000 000000 000000 000000 000000 000000* -L0090480 000000 000000 000000 000000 000000 000000 000000 000000* -L0090528 000000 000000 000000 000000 000000 000000 000000 000000* -L0090576 000000 000000 000000 000000 000000 000000 000000 000000* -L0090624 000000 000000 000000 000000 000000 000000 000000 000000* -L0090672 000000 000000 000000 000000 000000 000000 000000 000000* -L0090720 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0090784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091296 000000 000000 000000 000000 000000 000000 000000 000000* -L0091344 000000 000000 000000 000000 000000 000000 000000 000000* -L0091392 000000 000000 000000 000000 000000 000000 000000 000000* -L0091440 000000 000000 000000 000000 000000 000000 000000 000000* -L0091488 000000 000000 000000 000000 000000 000000 100000 000000* -L0091536 000000 000000 000000 000000 000000 000000 000000 000000* -L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091712 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0091776 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0091840 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0091904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0092160 000000 000000 000000 000000 000000 000000 000000 001000* -L0092208 000000 000000 000000 000100 000000 000000 000000 000000* -L0092256 000000 000000 000000 000000 000000 000000 000000 000000* -L0092304 000000 000000 000000 000000 000000 000001 000000 000000* -L0092352 000000 000000 000000 000000 000000 000000 000000 000000* -L0092400 000000 000000 000000 000000 000100 000000 000000 100010* -L0092448 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0092512 00000000 00000000 00001000 00000000 00000000 10000000 00000000 00000000* -L0092576 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0092640 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0092704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092768 00000000 00000000 01010000 00000000 00000000 00000000 00000000 00000000* -L0092832 00000000 00000000 01010100 00000000 00000000 00000000 00000000 00000000* -L0092896 00000000 00000000 01000100 00000000 00000000 00000000 00000000 00000000* -L0092960 00000000 00000000 01010000 00000000 00000000 00000000 00000000 00000000* -L0093024 000000 000000 000100 000000 000000 000000 000000 000000* -L0093072 000000 000000 000000 000000 000010 000001 000000 000000* -L0093120 000000 000000 000000 000000 000000 000001 001000 000000* -L0093168 000000 000000 000000 000000 000000 000000 001000 000000* -L0093216 000000 000000 000000 000000 000000 000001 000000 000000* -L0093264 000000 000000 000000 000000 000000 000001 000000 000000* -C9409* -2DB1 diff --git a/cpld/XC95144XL/MXSE.mfd b/cpld/XC95144XL/MXSE.mfd deleted file mode 100644 index db7358c..0000000 --- a/cpld/XC95144XL/MXSE.mfd +++ /dev/null @@ -1,2843 +0,0 @@ -MDF Database: version 1.0 -MDF_INFO | MXSE | XC95144XL-10-TQ100 -MACROCELL | 5 | 1 | nVMA_IOBout -ATTRIBUTES | 4622082 | 0 -OUTPUTMC | 2 | 5 | 1 | 5 | 0 -INPUTS | 10 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | IOACT | iobm/VPArf | iobm/VPArr | nAoutOE -INPUTMC | 10 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 15 | 1 | 7 | 1 | 6 | 3 | 1 -EQ | 6 | - nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & !iobm/ES<4> - # nVMA_IOB & iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & - !iobm/ES<3> & !iobm/ES<4> & IOACT & iobm/VPArf & iobm/VPArr; - nVMA_IOB.CLK = CLK2X_IOB; // GCK - nVMA_IOB.OE = !nAoutOE; -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 5 | 11 | nAS_IOBout -ATTRIBUTES | 8816386 | 0 -OUTPUTMC | 1 | 3 | 1 -INPUTS | 4 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | nAoutOE -INPUTMC | 4 | 0 | 16 | 5 | 9 | 5 | 2 | 3 | 1 -EQ | 4 | - nAS_IOB.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 - # iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2; - !nAS_IOB.CLK = CLK2X_IOB; // GCK - nAS_IOB.OE = !nAoutOE; -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 5 | 8 | nLDS_IOBout -ATTRIBUTES | 8685314 | 0 -INPUTS | 6 | IOL0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | IORW0 | nAoutOE -INPUTMC | 6 | 3 | 15 | 5 | 2 | 5 | 9 | 0 | 16 | 7 | 17 | 3 | 1 -EQ | 6 | - !nLDS_IOB.D = IOL0 & !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 - # IOL0 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 - # !IORW0 & IOL0 & iobm/IOS_FSM_FFd3 & - !iobm/IOS_FSM_FFd1; - !nLDS_IOB.CLK = CLK2X_IOB; // GCK - nLDS_IOB.OE = !nAoutOE; -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 5 | 10 | nUDS_IOBout -ATTRIBUTES | 8685314 | 0 -INPUTS | 6 | IOU0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | IORW0 | nAoutOE -INPUTMC | 6 | 0 | 17 | 5 | 2 | 5 | 9 | 0 | 16 | 7 | 17 | 3 | 1 -EQ | 6 | - !nUDS_IOB.D = IOU0 & !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 - # IOU0 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 - # !IORW0 & IOU0 & iobm/IOS_FSM_FFd3 & - !iobm/IOS_FSM_FFd1; - !nUDS_IOB.CLK = CLK2X_IOB; // GCK - nUDS_IOB.OE = !nAoutOE; -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 4 | 15 | ram/Once -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 4 | 15 | 4 | 3 | 6 | 0 | 6 | 6 | 6 | 12 | 4 | 4 | 4 | 8 | 4 | 16 | 4 | 17 | 6 | 17 -INPUTS | 10 | ram/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<21> -INPUTMC | 6 | 4 | 15 | 0 | 3 | 3 | 9 | 6 | 16 | 6 | 6 | 6 | 12 -INPUTP | 4 | 54 | 36 | 30 | 29 -EQ | 13 | - ram/Once.T = ram/Once & nAS_FSB & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & fsb/ASrf; - ram/Once.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 11 | cnt/RefDone -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 18 | 3 | 11 | 4 | 3 | 4 | 0 | 6 | 16 | 6 | 12 | 4 | 7 | 6 | 0 | 4 | 1 | 4 | 4 | 4 | 5 | 4 | 6 | 4 | 8 | 4 | 16 | 4 | 17 | 6 | 1 | 6 | 13 | 6 | 14 | 6 | 17 -INPUTS | 10 | cnt/RefDone | RefAck | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> -INPUTMC | 10 | 3 | 11 | 0 | 6 | 1 | 17 | 3 | 2 | 3 | 0 | 0 | 5 | 0 | 4 | 3 | 6 | 3 | 3 | 4 | 2 -EQ | 5 | - !cnt/RefDone.D = !cnt/RefDone & !RefAck - # !cnt/RefCnt<0> & !cnt/RefCnt<5> & !cnt/RefCnt<6> & - !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & !cnt/RefCnt<4> & - !cnt/RefCnt<7>; - cnt/RefDone.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 13 | iobs/Once -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 7 | 13 | 3 | 17 | 7 | 14 | 2 | 2 | 3 | 16 | 7 | 3 | 4 | 12 | 7 | 8 | 7 | 1 | 7 | 11 | 7 | 12 -INPUTS | 10 | A_FSB<22> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | nADoutLE1 | EXP35_.EXP | Park.EXP -INPUTMC | 7 | 7 | 13 | 7 | 3 | 3 | 7 | 0 | 3 | 5 | 13 | 7 | 12 | 7 | 14 -INPUTP | 3 | 30 | 54 | 36 -IMPORTS | 2 | 7 | 12 | 7 | 14 -EQ | 25 | - !iobs/Once.D = nAS_FSB & !fsb/ASrf - # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd2 - # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd2 - # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd1 - # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 -;Imported pterms FB8_13 - # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 - # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once - # !A_FSB<23> & !A_FSB<22> & !iobs/Once & - !cs/nOverlay1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & !iobs/Once -;Imported pterms FB8_12 - # !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB - # !A_FSB<23> & !A_FSB<20> & !iobs/Once & SW<1> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/Once - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !iobs/Once & - cs/nOverlay1 -;Imported pterms FB8_15 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once; - iobs/Once.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 9 | cs/nOverlay1 -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 43 | 4 | 15 | 7 | 12 | 7 | 17 | 6 | 3 | 6 | 9 | 2 | 4 | 2 | 13 | 2 | 1 | 4 | 3 | 2 | 7 | 2 | 17 | 6 | 15 | 6 | 6 | 6 | 11 | 7 | 3 | 4 | 12 | 7 | 8 | 4 | 6 | 6 | 0 | 6 | 7 | 6 | 17 | 2 | 15 | 2 | 0 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 10 | 2 | 12 | 4 | 1 | 4 | 2 | 4 | 4 | 4 | 5 | 4 | 8 | 4 | 13 | 4 | 16 | 4 | 17 | 6 | 8 | 6 | 10 | 6 | 14 | 7 | 4 | 7 | 5 | 7 | 9 | 7 | 11 -INPUTS | 3 | cs/nOverlay0 | nAS_FSB | fsb/ASrf -INPUTMC | 2 | 3 | 12 | 0 | 3 -INPUTP | 1 | 54 -EQ | 3 | - cs/nOverlay1.D = cs/nOverlay0; - cs/nOverlay1.CLK = CLK_FSB; // GCK - cs/nOverlay1.CE = nAS_FSB & !fsb/ASrf; -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 17 | BERR_IOBS -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 3 | 17 | 3 | 8 | 2 | 14 | 2 | 8 | 7 | 10 | 2 | 10 | 2 | 16 -INPUTS | 8 | BERR_IOBS | nAS_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 -INPUTMC | 7 | 3 | 17 | 0 | 3 | 7 | 13 | 7 | 3 | 1 | 5 | 5 | 17 | 5 | 13 -INPUTP | 1 | 54 -EQ | 8 | - BERR_IOBS.T = BERR_IOBS & nAS_FSB & !fsb/ASrf - # iobs/Once & BERR_IOBS & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & !IOBERR & nADoutLE1 - # iobs/Once & !BERR_IOBS & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & IOBERR & nADoutLE1 - # iobs/Once & !BERR_IOBS & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & IOBERR & fsb/ASrf & nADoutLE1; - BERR_IOBS.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 17 | IORW0 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 5 | 8 | 5 | 10 | 7 | 17 | 3 | 4 | 7 | 0 | 7 | 1 -INPUTS | 12 | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> | nADoutLE1 | A_FSB<22> | cs/nOverlay1 | A_FSB<20> | IORW0 | nWE_FSB | EXP31_.EXP | EXP36_.EXP -INPUTMC | 5 | 5 | 13 | 3 | 9 | 7 | 17 | 7 | 0 | 7 | 16 -INPUTP | 7 | 19 | 18 | 36 | 29 | 30 | 28 | 47 -IMPORTS | 2 | 7 | 0 | 7 | 16 -EQ | 26 | - !IORW0.T = !IORW0 & !nWE_FSB & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - nADoutLE1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & nADoutLE1 -;Imported pterms FB8_1 - # IORW0 & iobs/IORW1 & !nADoutLE1 - # !IORW0 & !iobs/IORW1 & !nADoutLE1 - # nAS_FSB & !fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !IORW0 & nADoutLE1 -;Imported pterms FB8_2 - # iobs/PS_FSM_FFd2 - # iobs/PS_FSM_FFd1 - # iobs/Once & nADoutLE1 - # IORW0 & nWE_FSB & nADoutLE1 -;Imported pterms FB8_17 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & nADoutLE1 - # !A_FSB<23> & !A_FSB<20> & SW<1> & nADoutLE1; - IORW0.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 12 | cs/nOverlay0 -ATTRIBUTES | 4358928 | 0 -OUTPUTMC | 2 | 3 | 9 | 3 | 12 -INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay0 | nAS_FSB | fsb/ASrf | nRES -INPUTMC | 2 | 3 | 12 | 0 | 3 -INPUTP | 6 | 36 | 30 | 29 | 28 | 54 | 145 -EQ | 6 | - cs/nOverlay0.T = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay0 & !nAS_FSB - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay0 & fsb/ASrf; - cs/nOverlay0.CLK = CLK_FSB; // GCK - cs/nOverlay0.AR = !nRES; -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 15 | IOL0 -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 5 | 8 -INPUTS | 5 | iobs/IOL1 | nADoutLE1 | nLDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 0 | 15 | 5 | 13 | 7 | 3 | 3 | 7 -INPUTP | 1 | 49 -EQ | 4 | - IOL0.D = !nLDS_FSB & nADoutLE1 - # iobs/IOL1 & !nADoutLE1; - IOL0.CLK = CLK_FSB; // GCK - IOL0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 0 | 17 | IOU0 -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 5 | 10 -INPUTS | 5 | iobs/IOU1 | nADoutLE1 | nUDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 0 | 14 | 5 | 13 | 7 | 3 | 3 | 7 -INPUTP | 1 | 56 -EQ | 4 | - IOU0.D = !nUDS_FSB & nADoutLE1 - # iobs/IOU1 & !nADoutLE1; - IOU0.CLK = CLK_FSB; // GCK - IOU0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 14 | TimeoutA -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 8 | 3 | 14 | 2 | 4 | 2 | 12 | 2 | 6 | 2 | 5 | 2 | 9 | 2 | 10 | 2 | 15 -INPUTS | 10 | TimeoutA | nAS_FSB | fsb/ASrf | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> -INPUTMC | 9 | 3 | 14 | 0 | 3 | 1 | 17 | 3 | 2 | 3 | 0 | 0 | 5 | 0 | 4 | 3 | 6 | 3 | 3 -INPUTP | 1 | 54 -EQ | 8 | - TimeoutA.T = TimeoutA & nAS_FSB & !fsb/ASrf - # !TimeoutA & !nAS_FSB & !cnt/RefCnt<0> & - !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & - !cnt/RefCnt<3> & !cnt/RefCnt<4> - # !TimeoutA & !cnt/RefCnt<0> & !cnt/RefCnt<5> & - !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & - !cnt/RefCnt<4> & fsb/ASrf; - TimeoutA.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 6 | 2 | TimeoutB -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 6 | 2 | 7 | 15 | 7 | 10 | 6 | 9 | 6 | 1 -INPUTS | 15 | TimeoutB | nAS_FSB | fsb/ASrf | cnt/TimeoutBPre | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> | A_FSB<11> | ram/RASEL | A_FSB<2> -INPUTMC | 12 | 6 | 2 | 0 | 3 | 3 | 13 | 1 | 17 | 3 | 2 | 3 | 0 | 0 | 5 | 0 | 4 | 3 | 6 | 3 | 3 | 4 | 2 | 4 | 0 -INPUTP | 3 | 54 | 15 | 153 -EXPORTS | 1 | 6 | 1 -EQ | 10 | - TimeoutB.T = TimeoutB & nAS_FSB & !fsb/ASrf - # !TimeoutB & cnt/TimeoutBPre & !nAS_FSB & - !cnt/RefCnt<0> & !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & - !cnt/RefCnt<2> & !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> - # !TimeoutB & cnt/TimeoutBPre & !cnt/RefCnt<0> & - !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & - !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> & fsb/ASrf; - TimeoutB.CLK = CLK_FSB; // GCK - TimeoutB.EXP = A_FSB<11> & !ram/RASEL - # ram/RASEL & A_FSB<2> -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 13 | cnt/TimeoutBPre -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 2 | 6 | 2 | 3 | 13 -INPUTS | 11 | cnt/TimeoutBPre | nAS_FSB | fsb/ASrf | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> -INPUTMC | 10 | 3 | 13 | 0 | 3 | 1 | 17 | 3 | 2 | 3 | 0 | 0 | 5 | 0 | 4 | 3 | 6 | 3 | 3 | 4 | 2 -INPUTP | 1 | 54 -EQ | 8 | - cnt/TimeoutBPre.T = cnt/TimeoutBPre & nAS_FSB & !fsb/ASrf - # !cnt/TimeoutBPre & !nAS_FSB & !cnt/RefCnt<0> & - !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & - !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> - # !cnt/TimeoutBPre & !cnt/RefCnt<0> & !cnt/RefCnt<5> & - !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & - !cnt/RefCnt<4> & !cnt/RefCnt<7> & fsb/ASrf; - cnt/TimeoutBPre.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 15 | fsb/BERR0r -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 7 | 15 | 2 | 14 | 2 | 8 | 7 | 10 | 2 | 10 | 2 | 16 -INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | fsb/BERR0r | TimeoutB | nAS_FSB | fsb/ASrf -INPUTMC | 3 | 7 | 15 | 6 | 2 | 0 | 3 -INPUTP | 5 | 36 | 30 | 29 | 28 | 54 -EQ | 5 | - !fsb/BERR0r.D = !TimeoutB & !fsb/BERR0r - # nAS_FSB & !fsb/ASrf - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & - !fsb/BERR0r; - fsb/BERR0r.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 8 | fsb/BERR1r -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 3 | 8 | 2 | 14 | 2 | 8 | 7 | 10 | 2 | 10 | 2 | 16 -INPUTS | 4 | BERR_IOBS | fsb/BERR1r | nAS_FSB | fsb/ASrf -INPUTMC | 3 | 3 | 17 | 3 | 8 | 0 | 3 -INPUTP | 1 | 54 -EQ | 3 | - !fsb/BERR1r.D = !BERR_IOBS & !fsb/BERR1r - # nAS_FSB & !fsb/ASrf; - fsb/BERR1r.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 6 | 3 | fsb/Ready0r -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 6 | 3 | 6 | 9 | 6 | 10 -INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | nAS_FSB | fsb/ASrf -INPUTMC | 4 | 3 | 9 | 6 | 3 | 6 | 0 | 0 | 3 -INPUTP | 4 | 36 | 30 | 29 | 54 -EQ | 6 | - !fsb/Ready0r.D = nAS_FSB & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & !ram/RAMReady - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & !ram/RAMReady; - fsb/Ready0r.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 2 | 0 | fsb/Ready1r -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 2 | 0 | 2 | 13 | 2 | 7 | 2 | 9 | 2 | 10 | 2 | 15 | 2 | 16 | 2 | 17 | 2 | 1 -INPUTS | 11 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<22> | A_FSB<21> | A_FSB<20> | SW<1> | cs/nOverlay1 | iobs/Clear1.EXP -INPUTMC | 5 | 0 | 3 | 2 | 0 | 3 | 16 | 3 | 9 | 2 | 17 -INPUTP | 6 | 54 | 36 | 30 | 29 | 28 | 97 -EXPORTS | 1 | 2 | 1 -IMPORTS | 1 | 2 | 17 -EQ | 24 | - !fsb/Ready1r.D = nAS_FSB & !fsb/ASrf - # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - !iobs/IOReady - # A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & - !iobs/IOReady & !SW<1> -;Imported pterms FB3_18 - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - !nADoutLE1; - fsb/Ready1r.CLK = CLK_FSB; // GCK - fsb/Ready1r.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 2 | 4 | fsb/Ready2r -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 2 | 4 | 2 | 12 | 2 | 6 | 2 | 5 | 2 | 9 | 2 | 10 | 2 | 15 | 2 | 3 -INPUTS | 20 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | EXP16_.EXP -INPUTMC | 4 | 3 | 9 | 3 | 14 | 2 | 4 | 2 | 5 -INPUTP | 16 | 12 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 -EXPORTS | 1 | 2 | 3 -IMPORTS | 1 | 2 | 5 -EQ | 36 | - !fsb/Ready2r.D = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r -;Imported pterms FB3_6 - # nAS_FSB & !fsb/ASrf - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r; - fsb/Ready2r.CLK = CLK_FSB; // GCK - fsb/Ready2r.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 2 | 14 | fsb/VPA -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 2 | 14 | 3 | 10 | 2 | 12 | 2 | 13 | 2 | 15 -INPUTS | 9 | fsb/BERR1r | fsb/VPA | $OpTx$FX_DC$708 | nBR_IOB | fsb/BERR0r | $OpTx$$OpTx$FX_DC$182_INV$783 | BERR_IOBS | EXP22_.EXP | EXP23_.EXP -INPUTMC | 9 | 3 | 8 | 2 | 14 | 0 | 13 | 7 | 14 | 7 | 15 | 6 | 9 | 3 | 17 | 2 | 13 | 2 | 15 -IMPORTS | 2 | 2 | 13 | 2 | 15 -EQ | 83 | - fsb/VPA.D = BERR_IOBS & fsb/VPA & !$OpTx$FX_DC$708 - # fsb/BERR0r & fsb/VPA & !$OpTx$FX_DC$708 - # fsb/BERR1r & fsb/VPA & !$OpTx$FX_DC$708 - # fsb/VPA & !nBR_IOB & !$OpTx$FX_DC$708 - # fsb/VPA & !$OpTx$FX_DC$708 & - $OpTx$$OpTx$FX_DC$182_INV$783 -;Imported pterms FB3_14 - # A_FSB<23> & !fsb/Ready1r & fsb/VPA & - !iobs/IOReady & !$OpTx$FX_DC$708 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$708 - # A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & fsb/VPA & - !iobs/IOReady & !SW<1> & !$OpTx$FX_DC$708 - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$708 - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$708 -;Imported pterms FB3_13 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 -;Imported pterms FB3_16 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & - !nADoutLE1 & !$OpTx$FX_DC$708 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & - !nADoutLE1 & !$OpTx$FX_DC$708 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 -;Imported pterms FB3_17 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & nBR_IOB & !$OpTx$FX_DC$708 & - !$OpTx$$OpTx$FX_DC$182_INV$783 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & nBR_IOB & !$OpTx$FX_DC$708 & - !$OpTx$$OpTx$FX_DC$182_INV$783; - fsb/VPA.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 0 | 15 | iobs/IOL1 -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 3 | 15 -INPUTS | 2 | nLDS_FSB | iobs/Load1 -INPUTMC | 1 | 4 | 12 -INPUTP | 1 | 49 -EQ | 3 | - iobs/IOL1.D = !nLDS_FSB; - iobs/IOL1.CLK = CLK_FSB; // GCK - iobs/IOL1.CE = iobs/Load1; -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 2 | 2 | iobs/IORW1 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 2 | 7 | 0 | 2 | 2 -INPUTS | 8 | A_FSB<23> | A_FSB<21> | iobs/IORW1 | nADoutLE1 | iobs/Once | nWE_FSB | EXP14_.EXP | EXP15_.EXP -INPUTMC | 5 | 2 | 2 | 5 | 13 | 7 | 13 | 2 | 1 | 2 | 3 -INPUTP | 3 | 36 | 29 | 47 -IMPORTS | 2 | 2 | 1 | 2 | 3 -EQ | 23 | - !iobs/IORW1.T = iobs/Once - # !nADoutLE1 - # nWE_FSB & iobs/IORW1 - # !nWE_FSB & !iobs/IORW1 - # !A_FSB<23> & A_FSB<21> & !iobs/IORW1 -;Imported pterms FB3_2 - # nAS_FSB & !fsb/ASrf - # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> -;Imported pterms FB3_1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 -;Imported pterms FB3_4 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> - # !A_FSB<23> & !A_FSB<20> & SW<1> -;Imported pterms FB3_5 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21>; - iobs/IORW1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 16 | iobs/IOReady -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 2 | 0 | 2 | 13 | 3 | 16 | 2 | 7 | 2 | 9 | 2 | 10 | 2 | 15 | 2 | 16 | 2 | 17 -INPUTS | 8 | iobs/IOReady | nAS_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 -INPUTMC | 7 | 3 | 16 | 0 | 3 | 7 | 13 | 7 | 3 | 1 | 5 | 5 | 17 | 5 | 13 -INPUTP | 1 | 54 -EQ | 8 | - iobs/IOReady.T = iobs/IOReady & nAS_FSB & !fsb/ASrf - # iobs/Once & iobs/IOReady & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & IOBERR & nADoutLE1 - # iobs/Once & !iobs/IOReady & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !IOBERR & nADoutLE1 - # iobs/Once & !iobs/IOReady & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & !IOBERR & fsb/ASrf & nADoutLE1; - iobs/IOReady.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 0 | 14 | iobs/IOU1 -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 0 | 17 -INPUTS | 2 | nUDS_FSB | iobs/Load1 -INPUTMC | 1 | 4 | 12 -INPUTP | 1 | 56 -EQ | 3 | - iobs/IOU1.D = !nUDS_FSB; - iobs/IOU1.CLK = CLK_FSB; // GCK - iobs/IOU1.CE = iobs/Load1; -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 4 | 3 | ram/RAMDIS2 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 4 | 3 | 4 | 4 | 7 | 5 | 7 | 6 -INPUTS | 15 | ram/RAMDIS2 | nAS_FSB | fsb/ASrf | ram/Once | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nCAS_OBUF.EXP -INPUTMC | 12 | 4 | 3 | 0 | 3 | 4 | 15 | 3 | 11 | 6 | 16 | 6 | 6 | 6 | 12 | 3 | 2 | 3 | 0 | 4 | 2 | 3 | 9 | 4 | 4 -INPUTP | 3 | 54 | 36 | 30 -IMPORTS | 1 | 4 | 4 -EQ | 25 | - ram/RAMDIS2.T = ram/RAMDIS2 & nAS_FSB & !fsb/ASrf - # ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & - ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # ram/Once & !cnt/RefDone & !ram/RAMDIS2 & - ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & ram/Once & !cnt/RefDone & - cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !A_FSB<23> & !A_FSB<22> & ram/Once & !cnt/RefDone & - cs/nOverlay1 & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - fsb/ASrf -;Imported pterms FB5_5 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & ram/Once & - !cnt/RefDone & !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & ram/Once & - !cnt/RefDone & !cs/nOverlay1 & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> & fsb/ASrf; - ram/RAMDIS2.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 2 | 8 | nDTACK_FSB_OBUF -ATTRIBUTES | 8815362 | 0 -OUTPUTMC | 5 | 2 | 8 | 2 | 6 | 2 | 7 | 2 | 9 | 2 | 10 -INPUTS | 8 | fsb/BERR1r | nDTACK_FSB | nBR_IOB | fsb/BERR0r | $OpTx$$OpTx$FX_DC$182_INV$783 | BERR_IOBS | EXP18_.EXP | EXP19_.EXP -INPUTMC | 8 | 3 | 8 | 2 | 8 | 7 | 14 | 7 | 15 | 6 | 9 | 3 | 17 | 2 | 7 | 2 | 9 -IMPORTS | 2 | 2 | 7 | 2 | 9 -EQ | 81 | - nDTACK_FSB.D = BERR_IOBS & nDTACK_FSB - # fsb/BERR0r & nDTACK_FSB - # fsb/BERR1r & nDTACK_FSB - # nDTACK_FSB & !nBR_IOB - # nDTACK_FSB & $OpTx$$OpTx$FX_DC$182_INV$783 -;Imported pterms FB3_8 - # nAS_FSB & !fsb/ASrf - # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - !iobs/IOReady & nDTACK_FSB - # A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & - !iobs/IOReady & !SW<1> & nDTACK_FSB - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB -;Imported pterms FB3_7 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB -;Imported pterms FB3_10 - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB & !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB & !nADoutLE1 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB -;Imported pterms FB3_11 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & nBR_IOB & !$OpTx$$OpTx$FX_DC$182_INV$783 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & nBR_IOB & !$OpTx$$OpTx$FX_DC$182_INV$783; - nDTACK_FSB.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 4 | 0 | ram/RASEL -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 6 | 5 | 6 | 7 | 6 | 10 | 4 | 10 | 4 | 4 | 4 | 9 | 4 | 14 | 6 | 4 | 6 | 2 | 6 | 8 -INPUTS | 13 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | nROMCS_OBUF.EXP | EXP27_.EXP -INPUTMC | 11 | 6 | 16 | 6 | 12 | 6 | 6 | 3 | 11 | 0 | 0 | 0 | 3 | 3 | 2 | 3 | 0 | 4 | 2 | 4 | 1 | 4 | 17 -INPUTP | 2 | 36 | 54 -IMPORTS | 2 | 4 | 1 | 4 | 17 -EQ | 48 | - ram/RASEL.D = !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 - # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 - # A_FSB<23> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -;Imported pterms FB5_2 - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf - # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf -;Imported pterms FB5_18 - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB5_17 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7>; - ram/RASEL.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 6 | 16 | ram/RS_FSM_FFd2 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 18 | 4 | 15 | 4 | 3 | 4 | 0 | 6 | 16 | 6 | 6 | 6 | 12 | 0 | 6 | 4 | 7 | 4 | 17 | 4 | 1 | 4 | 4 | 4 | 8 | 4 | 16 | 6 | 1 | 6 | 11 | 6 | 13 | 6 | 14 | 6 | 17 -INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<6> | ram/BACTr | cnt/RefCnt<7> | cnt/RefCnt<5> | EXP29_.EXP -INPUTMC | 9 | 6 | 16 | 6 | 12 | 3 | 11 | 6 | 6 | 3 | 0 | 0 | 0 | 4 | 2 | 3 | 2 | 6 | 15 -EXPORTS | 1 | 6 | 17 -IMPORTS | 1 | 6 | 15 -EQ | 30 | - !ram/RS_FSM_FFd2.T = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 - # cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<6> & ram/BACTr - # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & ram/BACTr & - !cnt/RefCnt<7> -;Imported pterms FB7_16 - # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<5> & ram/BACTr - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<5> & !fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<6> & !fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<7> & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 -;Imported pterms FB7_15 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf; - ram/RS_FSM_FFd2.CLK = CLK_FSB; // GCK - ram/RS_FSM_FFd2.EXP = !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 6 | 6 | ram/RS_FSM_FFd1 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 22 | 4 | 15 | 4 | 3 | 4 | 0 | 6 | 16 | 6 | 6 | 6 | 12 | 0 | 6 | 4 | 7 | 6 | 0 | 4 | 1 | 4 | 4 | 4 | 5 | 4 | 6 | 4 | 8 | 4 | 16 | 4 | 17 | 6 | 1 | 6 | 11 | 6 | 13 | 6 | 14 | 6 | 15 | 6 | 17 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | ram/Once | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> -INPUTMC | 6 | 4 | 15 | 3 | 9 | 6 | 16 | 6 | 6 | 6 | 12 | 0 | 3 -INPUTP | 4 | 36 | 30 | 54 | 29 -EQ | 13 | - ram/RS_FSM_FFd1.T = ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & fsb/ASrf; - ram/RS_FSM_FFd1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 6 | 12 | ram/RS_FSM_FFd3 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 15 | 4 | 15 | 4 | 3 | 4 | 0 | 6 | 16 | 6 | 6 | 6 | 12 | 4 | 7 | 4 | 8 | 4 | 1 | 4 | 4 | 6 | 1 | 6 | 11 | 6 | 13 | 6 | 14 | 6 | 15 -INPUTS | 11 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/Once | cnt/RefCnt<6> | cnt/RefCnt<7> | cnt/RefDone | cnt/RefCnt<5> | CLK25EN_OBUF.EXP | CLK20EN_OBUF$BUF0.EXP -INPUTMC | 10 | 6 | 16 | 6 | 6 | 6 | 12 | 4 | 15 | 3 | 0 | 4 | 2 | 3 | 11 | 3 | 2 | 6 | 11 | 6 | 13 -INPUTP | 1 | 36 -IMPORTS | 2 | 6 | 11 | 6 | 13 -EQ | 27 | - !ram/RS_FSM_FFd3.T = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 - # ram/Once & cnt/RefDone & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !cnt/RefCnt<5> - # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !cnt/RefCnt<6> - # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !cnt/RefCnt<7> -;Imported pterms FB7_12 - # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !fsb/ASrf -;Imported pterms FB7_14 - # !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - fsb/ASrf; - ram/RS_FSM_FFd3.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 5 | 2 | iobm/IOS_FSM_FFd3 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 13 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 0 | 16 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 6 | 3 | 4 | 5 | 0 | 5 | 14 | 5 | 16 -INPUTS | 6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/IOREQr | nAoutOE -INPUTMC | 5 | 0 | 16 | 5 | 9 | 5 | 2 | 1 | 8 | 3 | 1 -INPUTP | 1 | 35 -EQ | 6 | - iobm/IOS_FSM_FFd3.D = iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 - # iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & - !iobm/IOS_FSM_FFd2 - # !CLK_IOB & !iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 & - iobm/IOREQr & !nAoutOE; - iobm/IOS_FSM_FFd3.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 0 | 16 | iobm/IOS_FSM_FFd1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 12 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 0 | 16 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 6 | 5 | 16 | 5 | 0 | 5 | 14 -INPUTS | 3 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 -INPUTMC | 3 | 5 | 2 | 5 | 9 | 0 | 16 -EQ | 3 | - iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 - # !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2; - iobm/IOS_FSM_FFd1.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 5 | 9 | iobm/IOS_FSM_FFd2 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 12 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 0 | 16 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 6 | 5 | 16 | 3 | 4 | 5 | 0 -INPUTS | 11 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr -INPUTMC | 10 | 5 | 2 | 0 | 16 | 5 | 9 | 5 | 0 | 1 | 16 | 1 | 15 | 1 | 12 | 1 | 11 | 0 | 2 | 0 | 1 -INPUTP | 1 | 35 -EQ | 11 | - iobm/IOS_FSM_FFd2.T = iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & - !iobm/IOS_FSM_FFd2 - # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/IOS_FSM_FFd2 & iobm/ETACK - # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/IOS_FSM_FFd2 & iobm/DTACKrf & iobm/DTACKrr - # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/IOS_FSM_FFd2 & iobm/BERRrf & iobm/BERRrr - # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/IOS_FSM_FFd2 & iobm/RESrf & iobm/RESrr; - iobm/IOS_FSM_FFd2.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 7 | 3 | iobs/PS_FSM_FFd2 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 17 | 7 | 13 | 3 | 17 | 7 | 9 | 3 | 15 | 0 | 17 | 2 | 1 | 3 | 16 | 7 | 3 | 3 | 7 | 4 | 12 | 0 | 12 | 7 | 8 | 2 | 17 | 7 | 1 | 7 | 2 | 7 | 4 | 7 | 7 -INPUTS | 12 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | EXP32_.EXP | nRAS_OBUF.EXP -INPUTMC | 9 | 7 | 3 | 3 | 7 | 1 | 5 | 7 | 13 | 5 | 13 | 0 | 3 | 3 | 9 | 7 | 2 | 7 | 4 -INPUTP | 3 | 54 | 36 | 30 -IMPORTS | 2 | 7 | 2 | 7 | 4 -EQ | 33 | - !iobs/PS_FSM_FFd2.D = iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & - iobs/IOACTr - # !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & - !iobs/IOACTr - # iobs/Once & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - nADoutLE1 - # nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - !fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB8_3 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB8_5 - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & !A_FSB<20> & SW<1> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1; - iobs/PS_FSM_FFd2.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 5 | 5 | iobm/ES<0> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 -INPUTS | 7 | iobm/ES<0> | iobm/Er | iobm/Er2 | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 5 | 5 | 1 | 10 | 1 | 9 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 -EQ | 6 | - !iobm/ES<0>.T = iobm/ES<0> & !iobm/Er & iobm/Er2 - # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & !iobm/ES<4> & iobm/Er - # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & !iobm/ES<4> & !iobm/Er2; - iobm/ES<0>.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 5 | 4 | iobm/ES<1> -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 -INPUTS | 4 | iobm/ES<0> | iobm/ES<1> | iobm/Er | iobm/Er2 -INPUTMC | 4 | 5 | 5 | 5 | 4 | 1 | 10 | 1 | 9 -EQ | 4 | - !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> - # !iobm/ES<0> & !iobm/ES<1> - # !iobm/Er & iobm/Er2; - iobm/ES<1>.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 5 | 12 | iobm/ES<2> -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 -INPUTS | 7 | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/Er | iobm/Er2 | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 5 | 5 | 5 | 12 | 5 | 4 | 1 | 10 | 1 | 9 | 5 | 3 | 5 | 7 -EQ | 6 | - !iobm/ES<2>.D = !iobm/ES<0> & !iobm/ES<2> - # !iobm/ES<1> & !iobm/ES<2> - # !iobm/Er & iobm/Er2 - # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> - # !iobm/ES<2> & !iobm/ES<3> & iobm/ES<4>; - iobm/ES<2>.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 3 | 7 | iobs/PS_FSM_FFd1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 14 | 7 | 13 | 7 | 12 | 3 | 15 | 0 | 17 | 2 | 1 | 7 | 3 | 3 | 7 | 4 | 12 | 0 | 12 | 7 | 8 | 2 | 17 | 7 | 1 | 7 | 2 | 7 | 4 -INPUTS | 3 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr -INPUTMC | 3 | 7 | 3 | 3 | 7 | 1 | 5 -EQ | 3 | - iobs/PS_FSM_FFd1.D = iobs/PS_FSM_FFd2 - # iobs/PS_FSM_FFd1 & iobs/IOACTr; - iobs/PS_FSM_FFd1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 5 | 3 | iobm/ES<3> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 -INPUTS | 6 | iobm/ES<3> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> -INPUTMC | 6 | 5 | 3 | 1 | 10 | 1 | 9 | 5 | 5 | 5 | 4 | 5 | 12 -EQ | 4 | - iobm/ES<3>.T = iobm/ES<3> & !iobm/Er & iobm/Er2 - # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & iobm/Er - # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & !iobm/Er2; - iobm/ES<3>.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 5 | 7 | iobm/ES<4> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 7 | 5 | 0 -INPUTS | 7 | iobm/ES<4> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> -INPUTMC | 7 | 5 | 7 | 1 | 10 | 1 | 9 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 -EQ | 8 | - iobm/ES<4>.T = iobm/ES<4> & !iobm/Er & iobm/Er2 - # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & - iobm/ES<3> & iobm/Er - # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & - iobm/ES<3> & !iobm/Er2 - # iobm/ES<0> & iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & iobm/ES<4>; - iobm/ES<4>.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 17 | cnt/RefCnt<0> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 11 | 3 | 11 | 3 | 14 | 6 | 2 | 3 | 13 | 3 | 2 | 3 | 0 | 0 | 5 | 0 | 4 | 3 | 6 | 3 | 3 | 4 | 2 -INPUTS | 0 -EQ | 2 | - cnt/RefCnt<0>.T = Vcc; - cnt/RefCnt<0>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 2 | cnt/RefCnt<5> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 18 | 3 | 11 | 3 | 14 | 6 | 2 | 3 | 13 | 4 | 3 | 4 | 0 | 6 | 15 | 6 | 12 | 3 | 0 | 4 | 2 | 4 | 5 | 4 | 16 | 4 | 1 | 4 | 4 | 4 | 8 | 6 | 13 | 6 | 14 | 6 | 16 -INPUTS | 5 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> -INPUTMC | 5 | 1 | 17 | 0 | 5 | 0 | 4 | 3 | 6 | 3 | 3 -EQ | 3 | - cnt/RefCnt<5>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2> & - cnt/RefCnt<3> & cnt/RefCnt<4>; - cnt/RefCnt<5>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 0 | cnt/RefCnt<6> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 17 | 3 | 11 | 3 | 14 | 6 | 2 | 3 | 13 | 4 | 3 | 4 | 0 | 6 | 16 | 6 | 12 | 4 | 2 | 4 | 5 | 4 | 16 | 4 | 1 | 4 | 4 | 4 | 8 | 6 | 13 | 6 | 14 | 6 | 15 -INPUTS | 6 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> -INPUTMC | 6 | 1 | 17 | 3 | 2 | 0 | 5 | 0 | 4 | 3 | 6 | 3 | 3 -EQ | 3 | - cnt/RefCnt<6>.T = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<1> & - cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; - cnt/RefCnt<6>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 1 | 5 | iobs/IOACTr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 3 | 17 | 3 | 16 | 7 | 3 | 3 | 7 | 7 | 8 -INPUTS | 1 | IOACT -INPUTMC | 1 | 5 | 15 -EQ | 2 | - iobs/IOACTr.D = IOACT; - iobs/IOACTr.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 0 | 5 | cnt/RefCnt<1> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 3 | 11 | 3 | 14 | 6 | 2 | 3 | 13 | 3 | 2 | 3 | 0 | 0 | 4 | 3 | 6 | 3 | 3 | 4 | 2 -INPUTS | 1 | cnt/RefCnt<0> -INPUTMC | 1 | 1 | 17 -EQ | 2 | - cnt/RefCnt<1>.T = cnt/RefCnt<0>; - cnt/RefCnt<1>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 0 | 4 | cnt/RefCnt<2> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 3 | 11 | 3 | 14 | 6 | 2 | 3 | 13 | 3 | 2 | 3 | 0 | 3 | 6 | 3 | 3 | 4 | 2 -INPUTS | 2 | cnt/RefCnt<0> | cnt/RefCnt<1> -INPUTMC | 2 | 1 | 17 | 0 | 5 -EQ | 2 | - cnt/RefCnt<2>.T = cnt/RefCnt<0> & cnt/RefCnt<1>; - cnt/RefCnt<2>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 6 | cnt/RefCnt<3> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 8 | 3 | 11 | 3 | 14 | 6 | 2 | 3 | 13 | 3 | 2 | 3 | 0 | 3 | 3 | 4 | 2 -INPUTS | 3 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> -INPUTMC | 3 | 1 | 17 | 0 | 5 | 0 | 4 -EQ | 2 | - cnt/RefCnt<3>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2>; - cnt/RefCnt<3>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 3 | cnt/RefCnt<4> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 3 | 11 | 3 | 14 | 6 | 2 | 3 | 13 | 3 | 2 | 3 | 0 | 4 | 2 -INPUTS | 4 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> -INPUTMC | 4 | 1 | 17 | 0 | 5 | 0 | 4 | 3 | 6 -EQ | 3 | - cnt/RefCnt<4>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2> & - cnt/RefCnt<3>; - cnt/RefCnt<4>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 4 | 12 | iobs/Load1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 15 | 0 | 14 | 5 | 13 -INPUTS | 11 | nADoutLE1 | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | RA_5_OBUF.EXP | RA_2_OBUF.EXP -INPUTMC | 8 | 5 | 13 | 7 | 13 | 7 | 3 | 3 | 7 | 0 | 3 | 3 | 9 | 4 | 11 | 4 | 13 -INPUTP | 3 | 54 | 36 | 30 -IMPORTS | 2 | 4 | 11 | 4 | 13 -EQ | 19 | - !iobs/Load1.D = iobs/Once - # !nADoutLE1 - # nAS_FSB & !fsb/ASrf - # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 -;Imported pterms FB5_12 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> -;Imported pterms FB5_14 - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> - # !A_FSB<23> & A_FSB<21> & nWE_FSB - # !A_FSB<23> & !A_FSB<20> & SW<1> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1; - iobs/Load1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 0 | 0 | ram/BACTr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 4 | 0 | 6 | 16 | 4 | 7 | 6 | 0 | 4 | 1 | 4 | 6 | 4 | 8 | 4 | 17 | 6 | 1 | 6 | 15 | 6 | 17 -INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 0 | 3 -INPUTP | 1 | 54 -EQ | 2 | - !ram/BACTr.D = nAS_FSB & !fsb/ASrf; - ram/BACTr.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 5 | 15 | IOACT -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 1 | 1 | 5 -INPUTS | 11 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOREQr | nAoutOE | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | nADoutLE0_OBUF.EXP | nDinLE_OBUF.EXP -INPUTMC | 10 | 0 | 16 | 5 | 9 | 5 | 2 | 1 | 8 | 3 | 1 | 5 | 0 | 1 | 16 | 1 | 15 | 5 | 14 | 5 | 16 -INPUTP | 1 | 35 -IMPORTS | 2 | 5 | 14 | 5 | 16 -EQ | 15 | - !IOACT.D = iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & - !iobm/IOREQr - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & nAoutOE - # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/ETACK - # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/BERRrf & iobm/BERRrr -;Imported pterms FB6_15 - # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/DTACKrf & iobm/DTACKrr -;Imported pterms FB6_17 - # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/RESrf & iobm/RESrr; - IOACT.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 5 | 17 | IOBERR -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 3 | 17 | 3 | 16 | 5 | 17 | 5 | 0 -INPUTS | 12 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | IOBERR | CLK_IOB | nBERR_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/ETACK.EXP -INPUTMC | 10 | 5 | 2 | 0 | 16 | 5 | 9 | 5 | 17 | 5 | 0 | 1 | 16 | 1 | 15 | 1 | 12 | 1 | 11 | 5 | 0 -INPUTP | 2 | 35 | 123 -IMPORTS | 1 | 5 | 0 -EQ | 26 | - IOBERR.T = iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & - !iobm/IOS_FSM_FFd2 & IOBERR - # CLK_IOB & nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & IOBERR & iobm/ETACK - # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/ETACK - # CLK_IOB & nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & IOBERR & iobm/DTACKrf & - iobm/DTACKrr - # CLK_IOB & nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & IOBERR & iobm/BERRrf & - iobm/BERRrr -;Imported pterms FB6_1 - # CLK_IOB & nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & IOBERR & iobm/RESrf & - iobm/RESrr - # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/DTACKrf & - iobm/DTACKrr - # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/BERRrf & - iobm/BERRrr - # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/RESrf & - iobm/RESrr; - IOBERR.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 0 | 9 | RESr0 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 8 | 7 | 6 | 7 | 14 -INPUTS | 1 | nRES -INPUTP | 1 | 145 -EQ | 2 | - RESr0.D = !nRES; - RESr0.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 0 | 8 | RESr1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 7 | 7 | 6 | 7 | 14 -INPUTS | 1 | RESr0 -INPUTMC | 1 | 0 | 9 -EQ | 2 | - RESr1.D = RESr0; - RESr1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 4 | 2 | cnt/RefCnt<7> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 15 | 3 | 11 | 6 | 2 | 3 | 13 | 4 | 3 | 4 | 0 | 6 | 16 | 6 | 12 | 4 | 5 | 4 | 16 | 4 | 1 | 4 | 4 | 4 | 8 | 6 | 13 | 6 | 14 | 6 | 15 -INPUTS | 13 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | SW<1> | cs/nOverlay1 -INPUTMC | 8 | 1 | 17 | 3 | 2 | 3 | 0 | 0 | 5 | 0 | 4 | 3 | 6 | 3 | 3 | 3 | 9 -INPUTP | 5 | 36 | 30 | 29 | 28 | 97 -EXPORTS | 1 | 4 | 1 -EQ | 9 | - cnt/RefCnt<7>.T = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<1> & cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; - cnt/RefCnt<7>.CLK = CLK_FSB; // GCK - cnt/RefCnt<7>.EXP = A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !SW<1> - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - SW<1> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay1 -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 1 | 10 | iobm/Er -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 1 | 9 -INPUTS | 1 | E_IOB -INPUTP | 1 | 37 -EQ | 2 | - iobm/Er.D = E_IOB; - !iobm/Er.CLK = CLK_IOB; // GCK -GLOBALS | 1 | 2 | CLK_IOB - -MACROCELL | 1 | 8 | iobm/IOREQr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 2 | 5 | 15 | 5 | 6 -INPUTS | 1 | IOREQ -INPUTMC | 1 | 7 | 8 -EQ | 2 | - iobm/IOREQr.D = IOREQ; - !iobm/IOREQr.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 0 | 11 | IPL2r0 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 0 | 10 | 7 | 14 -INPUTS | 1 | nIPL2 -INPUTP | 1 | 146 -EQ | 2 | - IPL2r0.D = !nIPL2; - IPL2r0.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 0 | 7 | RESr2 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 6 | 7 | 14 -INPUTS | 1 | RESr1 -INPUTMC | 1 | 0 | 8 -EQ | 2 | - RESr2.D = RESr1; - RESr2.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 0 | 6 | RefAck -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 3 | 11 | 7 | 5 -INPUTS | 2 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 -INPUTMC | 2 | 6 | 16 | 6 | 6 -EQ | 2 | - RefAck.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1; - RefAck.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 1 | 14 | iobm/BGr0 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 1 | 13 | 3 | 1 -INPUTS | 1 | nBG_IOB -INPUTP | 1 | 117 -EQ | 2 | - iobm/BGr0.D = !nBG_IOB; - iobm/BGr0.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 12 | iobm/DTACKrf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 9 | 5 | 14 | 5 | 17 | 5 | 0 -INPUTS | 1 | nDTACK_IOB -INPUTP | 1 | 127 -EQ | 2 | - iobm/DTACKrf.D = !nDTACK_IOB; - !iobm/DTACKrf.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 11 | iobm/DTACKrr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 9 | 5 | 14 | 5 | 17 | 5 | 0 -INPUTS | 1 | nDTACK_IOB -INPUTP | 1 | 127 -EQ | 2 | - iobm/DTACKrr.D = !nDTACK_IOB; - iobm/DTACKrr.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 5 | 0 | iobm/ETACK -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 9 | 5 | 15 | 5 | 17 -INPUTS | 18 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | CLK_IOB | nBERR_IOB | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | IOBERR | iobm/RESrf | iobm/RESrr | iobm/DTACKrf | iobm/DTACKrr | iobm/BERRrf | iobm/BERRrr -INPUTMC | 16 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 2 | 0 | 16 | 5 | 9 | 5 | 17 | 0 | 2 | 0 | 1 | 1 | 12 | 1 | 11 | 1 | 16 | 1 | 15 -INPUTP | 2 | 35 | 123 -EXPORTS | 1 | 5 | 17 -EQ | 15 | - iobm/ETACK.D = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & iobm/ES<4>; - iobm/ETACK.CLK = CLK2X_IOB; // GCK - iobm/ETACK.EXP = CLK_IOB & nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & IOBERR & iobm/RESrf & - iobm/RESrr - # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/DTACKrf & - iobm/DTACKrr - # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/BERRrf & - iobm/BERRrr - # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/RESrf & - iobm/RESrr -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 9 | iobm/Er2 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 -INPUTS | 1 | iobm/Er -INPUTMC | 1 | 1 | 10 -EQ | 2 | - iobm/Er2.D = iobm/Er; - iobm/Er2.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 7 | iobm/VPArf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 5 | 1 -INPUTS | 1 | nVPA_IOB -INPUTP | 1 | 125 -EQ | 2 | - iobm/VPArf.D = !nVPA_IOB; - !iobm/VPArf.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 6 | iobm/VPArr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 5 | 1 -INPUTS | 1 | nVPA_IOB -INPUTP | 1 | 125 -EQ | 2 | - iobm/VPArr.D = !nVPA_IOB; - iobm/VPArr.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 7 | 6 | RESDone -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 2 | 7 | 14 | 7 | 7 -INPUTS | 8 | RESr0 | RESr1 | RESr2 | nWE_FSB | nUDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 -INPUTMC | 5 | 0 | 9 | 0 | 8 | 0 | 7 | 4 | 3 | 4 | 7 -INPUTP | 3 | 47 | 56 | 54 -EXPORTS | 1 | 7 | 7 -EQ | 5 | - RESDone.D = Vcc; - RESDone.CLK = CLK_FSB; // GCK - RESDone.CE = !RESr0 & !RESr1 & RESr2; - RESDone.EXP = !nWE_FSB & !nUDS_FSB & !ram/RAMDIS2 & !nAS_FSB & - !ram/RAMDIS1 -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 5 | 6 | ALE0M -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 5 | 14 -INPUTS | 5 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOREQr | nAoutOE -INPUTMC | 5 | 5 | 9 | 5 | 2 | 0 | 16 | 1 | 8 | 3 | 1 -EQ | 4 | - ALE0M.D = iobm/IOS_FSM_FFd2 - # iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 - # !iobm/IOS_FSM_FFd1 & iobm/IOREQr & !nAoutOE; - ALE0M.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 0 | 12 | ALE0S -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 5 | 14 -INPUTS | 2 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 2 | 7 | 3 | 3 | 7 -EQ | 2 | - ALE0S.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; - ALE0S.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 8 | IOREQ -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 1 | 8 -INPUTS | 12 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nRAMUWE_OBUF.EXP | EXP33_.EXP -INPUTMC | 9 | 7 | 3 | 3 | 7 | 1 | 5 | 7 | 13 | 5 | 13 | 0 | 3 | 3 | 9 | 7 | 7 | 7 | 9 -INPUTP | 3 | 54 | 36 | 30 -IMPORTS | 2 | 7 | 7 | 7 | 9 -EQ | 30 | - !IOREQ.D = !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 - # iobs/PS_FSM_FFd1 & iobs/IOACTr - # iobs/Once & !iobs/PS_FSM_FFd2 & nADoutLE1 - # nAS_FSB & !iobs/PS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/PS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_8 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & - !iobs/PS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_10 - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<20> & SW<1> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1; - IOREQ.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 0 | 10 | IPL2r1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 7 | 14 -INPUTS | 1 | IPL2r0 -INPUTMC | 1 | 0 | 11 -EQ | 2 | - IPL2r1.D = IPL2r0; - IPL2r1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 0 | 3 | fsb/ASrf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 39 | 4 | 15 | 7 | 13 | 3 | 9 | 3 | 17 | 7 | 0 | 3 | 12 | 3 | 14 | 6 | 2 | 3 | 13 | 7 | 15 | 3 | 8 | 6 | 3 | 2 | 0 | 0 | 13 | 2 | 1 | 3 | 16 | 4 | 3 | 2 | 7 | 4 | 0 | 6 | 15 | 6 | 6 | 6 | 11 | 7 | 3 | 4 | 12 | 0 | 0 | 7 | 8 | 4 | 7 | 6 | 0 | 2 | 5 | 4 | 1 | 4 | 4 | 4 | 6 | 4 | 8 | 4 | 16 | 4 | 17 | 6 | 1 | 6 | 13 | 6 | 14 | 6 | 17 -INPUTS | 1 | nAS_FSB -INPUTP | 1 | 54 -EQ | 2 | - fsb/ASrf.D = !nAS_FSB; - !fsb/ASrf.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 1 | 16 | iobm/BERRrf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 0 -INPUTS | 1 | nBERR_IOB -INPUTP | 1 | 123 -EQ | 2 | - iobm/BERRrf.D = !nBERR_IOB; - !iobm/BERRrf.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 15 | iobm/BERRrr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 0 -INPUTS | 1 | nBERR_IOB -INPUTP | 1 | 123 -EQ | 2 | - iobm/BERRrr.D = !nBERR_IOB; - iobm/BERRrr.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 13 | iobm/BGr1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 3 | 1 -INPUTS | 1 | iobm/BGr0 -INPUTMC | 1 | 1 | 14 -EQ | 2 | - iobm/BGr1.D = iobm/BGr0; - iobm/BGr1.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 0 | 2 | iobm/RESrf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 9 | 5 | 0 | 5 | 16 -INPUTS | 1 | nRES -INPUTP | 1 | 145 -EQ | 2 | - iobm/RESrf.D = !nRES; - !iobm/RESrf.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 0 | 1 | iobm/RESrr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 9 | 5 | 0 | 5 | 16 -INPUTS | 1 | nRES -INPUTP | 1 | 145 -EQ | 2 | - iobm/RESrr.D = !nRES; - iobm/RESrr.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 2 | 17 | iobs/Clear1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 13 | 2 | 0 -INPUTS | 16 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | A_FSB<13> | A_FSB<21> -INPUTMC | 6 | 7 | 3 | 3 | 7 | 5 | 13 | 3 | 9 | 2 | 0 | 3 | 16 -INPUTP | 10 | 19 | 30 | 28 | 26 | 24 | 23 | 22 | 47 | 18 | 29 -EXPORTS | 1 | 2 | 0 -EQ | 16 | - iobs/Clear1.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1; - iobs/Clear1.CLK = CLK_FSB; // GCK - iobs/Clear1.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - !nADoutLE1 -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 4 | 7 | ram/RAMDIS1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 6 | 7 | 5 -INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | EXP24_.EXP | RA_4_OBUF.EXP -INPUTMC | 8 | 6 | 16 | 6 | 6 | 6 | 12 | 3 | 11 | 0 | 0 | 0 | 3 | 4 | 6 | 4 | 8 -INPUTP | 2 | 36 | 54 -IMPORTS | 2 | 4 | 6 | 4 | 8 -EQ | 38 | - ram/RAMDIS1.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 - # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 - # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 - # A_FSB<23> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & - !ram/BACTr & fsb/ASrf -;Imported pterms FB5_7 - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB5_6 - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -;Imported pterms FB5_9 - # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # ram/Once & !cnt/RefDone & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf; - ram/RAMDIS1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 6 | 0 | ram/RAMReady -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 6 | 3 | 6 | 9 | 6 | 10 -INPUTS | 12 | A_FSB<21> | cnt/RefDone | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | A_FSB<23> | A_FSB<22> | ram/Once | fsb/ASrf | RA_1_OBUF.EXP | EXP30_.EXP -INPUTMC | 8 | 3 | 11 | 3 | 9 | 6 | 6 | 0 | 0 | 4 | 15 | 0 | 3 | 6 | 1 | 6 | 17 -INPUTP | 4 | 29 | 54 | 36 | 30 -IMPORTS | 2 | 6 | 1 | 6 | 17 -EQ | 33 | - !ram/RAMReady.D = A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr - # !A_FSB<21> & !cnt/RefDone & !cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr - # !A_FSB<21> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 -;Imported pterms FB7_2 - # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 - # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 - # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 - # A_FSB<23> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & - !ram/BACTr & fsb/ASrf -;Imported pterms FB7_18 - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & fsb/ASrf -;Imported pterms FB7_17 - # !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7>; - ram/RAMReady.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 6 | 5 | RA_0_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<10> | ram/RASEL | A_FSB<1> -INPUTMC | 1 | 4 | 0 -INPUTP | 2 | 13 | 149 -EQ | 2 | - RA<0> = A_FSB<10> & !ram/RASEL - # ram/RASEL & A_FSB<1>; - -MACROCELL | 6 | 1 | RA_1_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 0 -INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | TimeoutB.EXP -INPUTMC | 7 | 6 | 16 | 6 | 12 | 6 | 6 | 3 | 11 | 0 | 0 | 0 | 3 | 6 | 2 -INPUTP | 2 | 36 | 54 -EXPORTS | 1 | 6 | 0 -IMPORTS | 1 | 6 | 2 -EQ | 10 | - RA<1> = ;Imported pterms FB7_3 - A_FSB<11> & !ram/RASEL - # ram/RASEL & A_FSB<2>; - RA_1_OBUF.EXP = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 - # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 - # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 - # A_FSB<23> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & - !ram/BACTr & fsb/ASrf - -MACROCELL | 4 | 13 | RA_2_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 12 -INPUTS | 11 | A_FSB<23> | A_FSB<21> | A_FSB<18> | nWE_FSB | A_FSB<20> | SW<1> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 | RA_6_OBUF.EXP -INPUTMC | 2 | 3 | 9 | 4 | 14 -INPUTP | 9 | 36 | 29 | 24 | 47 | 28 | 97 | 19 | 18 | 30 -EXPORTS | 1 | 4 | 12 -IMPORTS | 1 | 4 | 14 -EQ | 9 | - RA<2> = ;Imported pterms FB5_15 - A_FSB<12> & !ram/RASEL - # ram/RASEL & A_FSB<3>; - RA_2_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> - # !A_FSB<23> & A_FSB<21> & nWE_FSB - # !A_FSB<23> & !A_FSB<20> & SW<1> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 - -MACROCELL | 4 | 10 | RA_3_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 11 -INPUTS | 5 | A_FSB<13> | ram/RASEL | A_FSB<4> | A_FSB<15> | A_FSB<6> -INPUTMC | 1 | 4 | 0 -INPUTP | 4 | 18 | 157 | 21 | 6 -EXPORTS | 1 | 4 | 11 -EQ | 4 | - RA<3> = A_FSB<13> & !ram/RASEL - # ram/RASEL & A_FSB<4>; - RA_3_OBUF.EXP = A_FSB<15> & !ram/RASEL - # ram/RASEL & A_FSB<6> - -MACROCELL | 4 | 8 | RA_4_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 7 -INPUTS | 15 | A_FSB<23> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<22> | cs/nOverlay1 | ram/BACTr | fsb/ASrf | ram/Once | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB | EXP25_.EXP -INPUTMC | 12 | 3 | 11 | 6 | 6 | 3 | 2 | 3 | 0 | 4 | 2 | 3 | 9 | 0 | 0 | 0 | 3 | 4 | 15 | 6 | 16 | 6 | 12 | 4 | 9 -INPUTP | 3 | 36 | 30 | 54 -EXPORTS | 1 | 4 | 7 -IMPORTS | 1 | 4 | 9 -EQ | 13 | - RA<4> = ;Imported pterms FB5_10 - A_FSB<14> & !ram/RASEL - # ram/RASEL & A_FSB<5>; - RA_4_OBUF.EXP = A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # ram/Once & !cnt/RefDone & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf - -MACROCELL | 4 | 11 | RA_5_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 12 -INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<17> | A_FSB<16> | RA_3_OBUF.EXP -INPUTMC | 1 | 4 | 10 -INPUTP | 7 | 36 | 30 | 29 | 28 | 26 | 23 | 22 -EXPORTS | 1 | 4 | 12 -IMPORTS | 1 | 4 | 10 -EQ | 8 | - RA<5> = ;Imported pterms FB5_11 - A_FSB<15> & !ram/RASEL - # ram/RASEL & A_FSB<6>; - RA_5_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> - -MACROCELL | 4 | 14 | RA_6_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 13 -INPUTS | 5 | A_FSB<16> | ram/RASEL | A_FSB<7> | A_FSB<12> | A_FSB<3> -INPUTMC | 1 | 4 | 0 -INPUTP | 4 | 22 | 8 | 17 | 155 -EXPORTS | 1 | 4 | 13 -EQ | 4 | - RA<6> = A_FSB<16> & !ram/RASEL - # ram/RASEL & A_FSB<7>; - RA_6_OBUF.EXP = A_FSB<12> & !ram/RASEL - # ram/RASEL & A_FSB<3> - -MACROCELL | 6 | 4 | RA_7_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<17> | ram/RASEL | A_FSB<8> -INPUTMC | 1 | 4 | 0 -INPUTP | 2 | 23 | 11 -EQ | 2 | - RA<7> = A_FSB<8> & ram/RASEL - # A_FSB<17> & !ram/RASEL; - -MACROCELL | 6 | 7 | RA_8_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 7 | A_FSB<23> | A_FSB<18> | ram/RASEL | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB_21_IBUF$BUF0.EXP -INPUTMC | 3 | 4 | 0 | 3 | 9 | 6 | 8 -INPUTP | 4 | 36 | 24 | 30 | 29 -IMPORTS | 1 | 6 | 8 -EQ | 10 | - RA<8> = A_FSB<23> & A_FSB<18> - # A_FSB<18> & !ram/RASEL - # A_FSB<22> & !A_FSB<21> & A_FSB<18> - # A_FSB<22> & A_FSB<18> & cs/nOverlay1 - # !A_FSB<22> & A_FSB<18> & !cs/nOverlay1 -;Imported pterms FB7_9 - # A_FSB<9> & !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - ram/RASEL - # A_FSB<9> & !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & ram/RASEL; - -MACROCELL | 6 | 10 | RA_9_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 9 -INPUTS | 9 | A_FSB<19> | ram/RASEL | A_FSB<20> | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady -INPUTMC | 4 | 4 | 0 | 3 | 9 | 6 | 3 | 6 | 0 -INPUTP | 5 | 26 | 28 | 36 | 30 | 29 -EXPORTS | 1 | 6 | 9 -EQ | 4 | - RA<9> = A_FSB<20> & ram/RASEL - # A_FSB<19> & !ram/RASEL; - RA_9_OBUF.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & !ram/RAMReady - -MACROCELL | 7 | 11 | nBERR_FSB_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 12 -INPUTS | 12 | nAS_FSB | A_FSB<23> | A_FSB<21> | iobs/Once | nWE_FSB | A_FSB<20> | SW<1> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 | EXP34_.EXP -INPUTMC | 3 | 7 | 13 | 3 | 9 | 7 | 10 -INPUTP | 9 | 54 | 36 | 29 | 47 | 28 | 97 | 19 | 18 | 30 -EXPORTS | 1 | 7 | 12 -IMPORTS | 1 | 7 | 10 -EQ | 11 | - nBERR_FSB = nAS_FSB -;Imported pterms FB8_11 - # !BERR_IOBS & !TimeoutB & !fsb/BERR0r & !fsb/BERR1r - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & - !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r; - nBERR_FSB_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB - # !A_FSB<23> & !A_FSB<20> & !iobs/Once & SW<1> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/Once - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !iobs/Once & - cs/nOverlay1 - -MACROCELL | 4 | 5 | nOE_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 6 -INPUTS | 10 | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<21> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | cs/nOverlay1 -INPUTMC | 6 | 3 | 11 | 6 | 6 | 3 | 2 | 3 | 0 | 4 | 2 | 3 | 9 -INPUTP | 4 | 47 | 54 | 30 | 29 -EXPORTS | 1 | 4 | 6 -EQ | 7 | - !nOE = nWE_FSB & !nAS_FSB; - nOE_OBUF.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - -MACROCELL | 2 | 16 | nROMWE_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 2 | 15 -INPUTS | 26 | nWE_FSB | nAS_FSB | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | nBR_IOB | $OpTx$FX_DC$708 | $OpTx$$OpTx$FX_DC$182_INV$783 | iobs/IOReady -INPUTMC | 8 | 3 | 17 | 7 | 15 | 3 | 8 | 2 | 0 | 7 | 14 | 0 | 13 | 6 | 9 | 3 | 16 -INPUTP | 18 | 47 | 54 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 -EXPORTS | 1 | 2 | 15 -EQ | 13 | - !nROMWE = !nWE_FSB & !nAS_FSB; - nROMWE_OBUF.EXP = A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & nBR_IOB & !$OpTx$FX_DC$708 & - !$OpTx$$OpTx$FX_DC$182_INV$783 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & nBR_IOB & !$OpTx$FX_DC$708 & - !$OpTx$$OpTx$FX_DC$182_INV$783 - -MACROCELL | 3 | 10 | nVPA_FSB_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | fsb/VPA | nAS_FSB -INPUTMC | 1 | 2 | 14 -INPUTP | 1 | 54 -EQ | 1 | - !nVPA_FSB = fsb/VPA & !nAS_FSB; - -MACROCELL | 5 | 14 | nADoutLE0_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 5 | 15 -INPUTS | 7 | ALE0M | ALE0S | CLK_IOB | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/DTACKrf | iobm/DTACKrr -INPUTMC | 6 | 5 | 6 | 0 | 12 | 5 | 2 | 0 | 16 | 1 | 12 | 1 | 11 -INPUTP | 1 | 35 -EXPORTS | 1 | 5 | 15 -EQ | 3 | - nADoutLE0 = !ALE0M & !ALE0S; - nADoutLE0_OBUF.EXP = CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/DTACKrf & iobm/DTACKrr - -MACROCELL | 4 | 4 | nCAS_OBUF -ATTRIBUTES | 8684290 | 0 -OUTPUTMC | 1 | 4 | 3 -INPUTS | 16 | ram/RASEL | A_FSB<23> | A_FSB<22> | A_FSB<21> | ram/Once | cnt/RefDone | cs/nOverlay1 | ram/RAMDIS2 | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf -INPUTMC | 12 | 4 | 0 | 4 | 15 | 3 | 11 | 3 | 9 | 4 | 3 | 6 | 16 | 6 | 6 | 6 | 12 | 3 | 2 | 3 | 0 | 4 | 2 | 0 | 3 -INPUTP | 4 | 36 | 30 | 29 | 54 -EXPORTS | 1 | 4 | 3 -EQ | 10 | - nCAS.D = !ram/RASEL; - !nCAS.CLK = CLK_FSB; // GCK - nCAS_OBUF.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & ram/Once & - !cnt/RefDone & !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & ram/Once & - !cnt/RefDone & !cs/nOverlay1 & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> & fsb/ASrf -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 5 | 16 | nDinLE_OBUF -ATTRIBUTES | 8684290 | 0 -OUTPUTMC | 1 | 5 | 15 -INPUTS | 6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | CLK_IOB | iobm/IOS_FSM_FFd3 | iobm/RESrf | iobm/RESrr -INPUTMC | 5 | 0 | 16 | 5 | 9 | 5 | 2 | 0 | 2 | 0 | 1 -INPUTP | 1 | 35 -EXPORTS | 1 | 5 | 15 -EQ | 4 | - nDinLE.D = iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2; - !nDinLE.CLK = CLK2X_IOB; // GCK - nDinLE_OBUF.EXP = CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/RESrf & iobm/RESrr -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 3 | 5 | nDinOE_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 7 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<21> | A_FSB<20> | SW<1> -INPUTP | 7 | 36 | 47 | 54 | 30 | 29 | 28 | 97 -EQ | 5 | - nDinOE = A_FSB<23> & nWE_FSB & !nAS_FSB - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & - !nAS_FSB - # A_FSB<22> & !A_FSB<21> & nWE_FSB & !nAS_FSB & - !SW<1>; - -MACROCELL | 3 | 4 | nDoutOE_OBUF -ATTRIBUTES | 8684290 | 0 -INPUTS | 3 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 -INPUTMC | 3 | 7 | 17 | 5 | 2 | 5 | 9 -EQ | 3 | - nDoutOE.D = !IORW0 - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2; - nDoutOE.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 7 | 4 | nRAS_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 3 -INPUTS | 14 | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | nWE_FSB | A_FSB<20> | SW<1> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 | nRAMLWE_OBUF.EXP -INPUTMC | 5 | 7 | 3 | 3 | 7 | 5 | 13 | 3 | 9 | 7 | 5 -INPUTP | 9 | 36 | 29 | 24 | 47 | 28 | 97 | 19 | 18 | 30 -EXPORTS | 1 | 7 | 3 -IMPORTS | 1 | 7 | 5 -EQ | 16 | - !nRAS = ;Imported pterms FB8_6 - RefAck - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1; - nRAS_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & !A_FSB<20> & SW<1> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - -MACROCELL | 7 | 1 | A_FSB_19_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 0 -INPUTS | 7 | A_FSB<19> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/Once | nADoutLE1 | IORW0 | nWE_FSB -INPUTMC | 5 | 7 | 3 | 3 | 7 | 7 | 13 | 5 | 13 | 7 | 17 -INPUTP | 2 | 26 | 47 -EXPORTS | 1 | 7 | 0 -EQ | 5 | - RA<11> = A_FSB<19>; - A_FSB_19_IBUF$BUF0.EXP = iobs/PS_FSM_FFd2 - # iobs/PS_FSM_FFd1 - # iobs/Once & nADoutLE1 - # IORW0 & nWE_FSB & nADoutLE1 - -MACROCELL | 6 | 8 | A_FSB_21_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 7 -INPUTS | 6 | A_FSB<21> | A_FSB<9> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RASEL -INPUTMC | 2 | 3 | 9 | 4 | 0 -INPUTP | 4 | 29 | 12 | 36 | 30 -EXPORTS | 1 | 6 | 7 -EQ | 5 | - RA<10> = A_FSB<21>; - A_FSB_21_IBUF$BUF0.EXP = A_FSB<9> & !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - ram/RASEL - # A_FSB<9> & !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & ram/RASEL - -MACROCELL | 6 | 13 | CLK20EN_OBUF$BUF0 -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 12 -INPUTS | 10 | SW<0> | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf -INPUTMC | 8 | 3 | 11 | 6 | 16 | 6 | 6 | 6 | 12 | 3 | 2 | 3 | 0 | 4 | 2 | 0 | 3 -INPUTP | 2 | 98 | 54 -EXPORTS | 1 | 6 | 12 -EQ | 7 | - CLK20EN = SW<0>; - CLK20EN_OBUF$BUF0.EXP = !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - fsb/ASrf - -MACROCELL | 6 | 11 | CLK25EN_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 12 -INPUTS | 9 | SW<0> | A_FSB<22> | A_FSB<21> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cs/nOverlay1 | nAS_FSB | fsb/ASrf -INPUTMC | 5 | 6 | 16 | 6 | 6 | 6 | 12 | 3 | 9 | 0 | 3 -INPUTP | 4 | 98 | 30 | 29 | 54 -EXPORTS | 1 | 6 | 12 -EQ | 9 | - CLK25EN = !SW<0>; - CLK25EN_OBUF.EXP = A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !fsb/ASrf - -MACROCELL | 7 | 14 | Park -ATTRIBUTES | 8815430 | 0 -OUTPUTMC | 5 | 2 | 14 | 2 | 8 | 2 | 10 | 2 | 16 | 7 | 13 -INPUTS | 13 | RESr0 | RESr1 | IPL2r0 | RESr2 | RESDone | IPL2r1 | A_FSB<23> | A_FSB<21> | A_FSB<19> | iobs/Once | A_FSB<18> | A_FSB<17> | A_FSB<16> -INPUTMC | 7 | 0 | 9 | 0 | 8 | 0 | 11 | 0 | 7 | 7 | 6 | 0 | 10 | 7 | 13 -INPUTP | 6 | 36 | 29 | 26 | 24 | 23 | 22 -EXPORTS | 1 | 7 | 13 -EQ | 8 | - nBR_IOB.D = Gnd; - nBR_IOB.CLK = CLK_FSB; // GCK - nBR_IOB.CE = RESr0 & RESr1 & IPL2r0 & RESr2 & !RESDone & - IPL2r1; - Park.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 5 | 13 | nADoutLE1_OBUF -ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 22 | 7 | 13 | 3 | 17 | 7 | 17 | 3 | 15 | 0 | 17 | 5 | 13 | 2 | 9 | 2 | 2 | 3 | 16 | 2 | 17 | 7 | 3 | 4 | 12 | 7 | 8 | 2 | 15 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 12 | 7 | 16 -INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 -INPUTMC | 3 | 2 | 17 | 5 | 13 | 4 | 12 -EQ | 3 | - !nADoutLE1.D = iobs/Load1 - # !iobs/Clear1 & !nADoutLE1; - nADoutLE1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 1 | nAoutOE_OBUF -ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 8 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 5 | 15 | 5 | 6 | 3 | 1 -INPUTS | 4 | iobm/BGr1 | nAoutOE | iobm/BGr0 | nAS_IOB -INPUTMC | 4 | 1 | 13 | 3 | 1 | 1 | 14 | 5 | 11 -EQ | 4 | - nAoutOE.D = !iobm/BGr0 & !iobm/BGr1 - # !iobm/BGr1 & nAoutOE - # !nAS_IOB & !iobm/BGr0 & !nAoutOE; - nAoutOE.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 7 | 5 | nRAMLWE_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 4 -INPUTS | 10 | nWE_FSB | nLDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | RefAck | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | A_FSB<21> -INPUTMC | 4 | 4 | 3 | 4 | 7 | 0 | 6 | 3 | 9 -INPUTP | 6 | 47 | 49 | 54 | 36 | 30 | 29 -EXPORTS | 1 | 7 | 4 -EQ | 7 | - !nRAMLWE = !nWE_FSB & !nLDS_FSB & !ram/RAMDIS2 & !nAS_FSB & - !ram/RAMDIS1; - nRAMLWE_OBUF.EXP = RefAck - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 - -MACROCELL | 7 | 7 | nRAMUWE_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 8 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<20> | A_FSB<19> | A_FSB<17> | A_FSB<16> | RESDone.EXP -INPUTMC | 3 | 7 | 3 | 5 | 13 | 7 | 6 -INPUTP | 7 | 36 | 30 | 29 | 28 | 26 | 23 | 22 -EXPORTS | 1 | 7 | 8 -IMPORTS | 1 | 7 | 6 -EQ | 13 | - !nRAMUWE = ;Imported pterms FB8_7 - !nWE_FSB & !nUDS_FSB & !ram/RAMDIS2 & !nAS_FSB & - !ram/RAMDIS1; - nRAMUWE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - -MACROCELL | 4 | 1 | nROMCS_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 0 -INPUTS | 13 | A_FSB<22> | cnt/RefDone | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | ram/RS_FSM_FFd3 | cnt/RefCnt<7>.EXP -INPUTMC | 11 | 3 | 11 | 3 | 9 | 6 | 16 | 6 | 6 | 0 | 0 | 0 | 3 | 3 | 2 | 3 | 0 | 4 | 2 | 6 | 12 | 4 | 2 -INPUTP | 2 | 30 | 54 -EXPORTS | 1 | 4 | 0 -IMPORTS | 1 | 4 | 2 -EQ | 19 | - !nROMCS = ;Imported pterms FB5_3 - A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !SW<1> - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - SW<1> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay1; - nROMCS_OBUF.EXP = A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf - # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf - -MACROCELL | 0 | 13 | $OpTx$FX_DC$708 -ATTRIBUTES | 133888 | 0 -OUTPUTMC | 5 | 2 | 14 | 2 | 12 | 2 | 13 | 2 | 15 | 2 | 16 -INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 0 | 3 -INPUTP | 1 | 54 -EQ | 1 | - $OpTx$FX_DC$708 = nAS_FSB & !fsb/ASrf; - -MACROCELL | 6 | 9 | $OpTx$$OpTx$FX_DC$182_INV$783 -ATTRIBUTES | 133888 | 0 -OUTPUTMC | 4 | 2 | 14 | 2 | 8 | 2 | 10 | 2 | 16 -INPUTS | 9 | A_FSB<20> | TimeoutB | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | RA_9_OBUF.EXP -INPUTMC | 5 | 6 | 2 | 3 | 9 | 6 | 3 | 6 | 0 | 6 | 10 -INPUTP | 4 | 28 | 36 | 30 | 29 -IMPORTS | 1 | 6 | 10 -EQ | 9 | - $OpTx$$OpTx$FX_DC$182_INV$783 = A_FSB<23> & TimeoutB - # !A_FSB<22> & TimeoutB - # A_FSB<21> & TimeoutB - # !A_FSB<20> & TimeoutB - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & !ram/RAMReady -;Imported pterms FB7_11 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & !ram/RAMReady; - -MACROCELL | 2 | 1 | EXP14_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 2 -INPUTS | 10 | nAS_FSB | fsb/ASrf | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB<20> | fsb/Ready1r.EXP -INPUTMC | 5 | 0 | 3 | 7 | 3 | 3 | 7 | 3 | 9 | 2 | 0 -INPUTP | 5 | 54 | 36 | 30 | 29 | 28 -EXPORTS | 1 | 2 | 2 -IMPORTS | 1 | 2 | 0 -EQ | 8 | - EXP14_.EXP = nAS_FSB & !fsb/ASrf - # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> -;Imported pterms FB3_1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 - -MACROCELL | 2 | 3 | EXP15_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 2 -INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<20> | SW<1> | fsb/Ready2r.EXP -INPUTMC | 1 | 2 | 4 -INPUTP | 8 | 36 | 29 | 26 | 24 | 23 | 22 | 28 | 97 -EXPORTS | 1 | 2 | 2 -IMPORTS | 1 | 2 | 4 -EQ | 7 | - EXP15_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> - # !A_FSB<23> & !A_FSB<20> & SW<1> -;Imported pterms FB3_5 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> - -MACROCELL | 2 | 5 | EXP16_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 4 -INPUTS | 21 | nAS_FSB | fsb/ASrf | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r -INPUTMC | 4 | 0 | 3 | 3 | 9 | 3 | 14 | 2 | 4 -INPUTP | 17 | 54 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 -EXPORTS | 1 | 2 | 4 -EQ | 17 | - EXP16_.EXP = nAS_FSB & !fsb/ASrf - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - -MACROCELL | 2 | 6 | EXP17_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 7 -INPUTS | 21 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | nDTACK_FSB | A_FSB<8> -INPUTMC | 4 | 3 | 9 | 3 | 14 | 2 | 4 | 2 | 8 -INPUTP | 17 | 12 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 11 -EXPORTS | 1 | 2 | 7 -EQ | 15 | - EXP17_.EXP = A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - -MACROCELL | 2 | 7 | EXP18_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 8 -INPUTS | 18 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<22> | A_FSB<21> | A_FSB<20> | SW<1> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | EXP17_.EXP -INPUTMC | 6 | 0 | 3 | 2 | 0 | 3 | 16 | 2 | 8 | 3 | 9 | 2 | 6 -INPUTP | 12 | 54 | 36 | 30 | 29 | 28 | 97 | 19 | 26 | 24 | 23 | 22 | 47 -EXPORTS | 1 | 2 | 8 -IMPORTS | 1 | 2 | 6 -EQ | 26 | - EXP18_.EXP = nAS_FSB & !fsb/ASrf - # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - !iobs/IOReady & nDTACK_FSB - # A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & - !iobs/IOReady & !SW<1> & nDTACK_FSB - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB -;Imported pterms FB3_7 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - -MACROCELL | 2 | 9 | EXP19_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 8 -INPUTS | 25 | A_FSB<13> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<14> | A_FSB<21> | nADoutLE1 | A_FSB<9> | A_FSB<15> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | TimeoutA | fsb/Ready2r | A_FSB<8> | EXP20_.EXP -INPUTMC | 8 | 3 | 9 | 2 | 0 | 3 | 16 | 2 | 8 | 5 | 13 | 3 | 14 | 2 | 4 | 2 | 10 -INPUTP | 17 | 18 | 30 | 28 | 26 | 24 | 23 | 22 | 47 | 19 | 29 | 12 | 21 | 17 | 15 | 13 | 36 | 11 -EXPORTS | 1 | 2 | 8 -IMPORTS | 1 | 2 | 10 -EQ | 47 | - EXP19_.EXP = A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB & !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB & !nADoutLE1 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB -;Imported pterms FB3_11 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & nBR_IOB & !$OpTx$$OpTx$FX_DC$182_INV$783 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & nBR_IOB & !$OpTx$$OpTx$FX_DC$182_INV$783 - -MACROCELL | 2 | 10 | EXP20_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 9 -INPUTS | 28 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | nDTACK_FSB | A_FSB<8> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | nBR_IOB | $OpTx$$OpTx$FX_DC$182_INV$783 | iobs/IOReady -INPUTMC | 11 | 3 | 9 | 3 | 14 | 2 | 4 | 2 | 8 | 3 | 17 | 7 | 15 | 3 | 8 | 2 | 0 | 7 | 14 | 6 | 9 | 3 | 16 -INPUTP | 17 | 12 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 11 -EXPORTS | 1 | 2 | 9 -EQ | 25 | - EXP20_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & nBR_IOB & !$OpTx$$OpTx$FX_DC$182_INV$783 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & nBR_IOB & !$OpTx$$OpTx$FX_DC$182_INV$783 - -MACROCELL | 2 | 12 | EXP21_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 13 -INPUTS | 22 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | fsb/VPA | $OpTx$FX_DC$708 | A_FSB<8> -INPUTMC | 5 | 3 | 9 | 3 | 14 | 2 | 4 | 2 | 14 | 0 | 13 -INPUTP | 17 | 12 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 11 -EXPORTS | 1 | 2 | 13 -EQ | 25 | - EXP21_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 - -MACROCELL | 2 | 13 | EXP22_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 14 -INPUTS | 18 | A_FSB<23> | fsb/Ready1r | fsb/VPA | iobs/IOReady | $OpTx$FX_DC$708 | A_FSB<22> | A_FSB<21> | A_FSB<20> | SW<1> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | A_FSB<13> | EXP21_.EXP -INPUTMC | 6 | 2 | 0 | 2 | 14 | 3 | 16 | 0 | 13 | 3 | 9 | 2 | 12 -INPUTP | 12 | 36 | 30 | 29 | 28 | 97 | 19 | 26 | 24 | 23 | 22 | 47 | 18 -EXPORTS | 1 | 2 | 14 -IMPORTS | 1 | 2 | 12 -EQ | 38 | - EXP22_.EXP = A_FSB<23> & !fsb/Ready1r & fsb/VPA & - !iobs/IOReady & !$OpTx$FX_DC$708 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$708 - # A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & fsb/VPA & - !iobs/IOReady & !SW<1> & !$OpTx$FX_DC$708 - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$708 - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$708 -;Imported pterms FB3_13 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 - -MACROCELL | 2 | 15 | EXP23_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 14 -INPUTS | 26 | A_FSB<14> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | fsb/VPA | iobs/IOReady | nADoutLE1 | $OpTx$FX_DC$708 | A_FSB<13> | A_FSB<9> | A_FSB<15> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | TimeoutA | fsb/Ready2r | A_FSB<8> | nROMWE_OBUF.EXP -INPUTMC | 9 | 3 | 9 | 2 | 0 | 2 | 14 | 3 | 16 | 5 | 13 | 0 | 13 | 3 | 14 | 2 | 4 | 2 | 16 -INPUTP | 17 | 19 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 | 12 | 21 | 17 | 15 | 13 | 36 | 11 -EXPORTS | 1 | 2 | 14 -IMPORTS | 1 | 2 | 16 -EQ | 36 | - EXP23_.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & - !nADoutLE1 & !$OpTx$FX_DC$708 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & - !nADoutLE1 & !$OpTx$FX_DC$708 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$FX_DC$708 -;Imported pterms FB3_17 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & nBR_IOB & !$OpTx$FX_DC$708 & - !$OpTx$$OpTx$FX_DC$182_INV$783 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & nBR_IOB & !$OpTx$FX_DC$708 & - !$OpTx$$OpTx$FX_DC$182_INV$783 - -MACROCELL | 4 | 6 | EXP24_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 7 -INPUTS | 9 | A_FSB<22> | A_FSB<21> | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | cs/nOverlay1 | nOE_OBUF.EXP -INPUTMC | 6 | 3 | 11 | 6 | 6 | 0 | 0 | 0 | 3 | 3 | 9 | 4 | 5 -INPUTP | 3 | 30 | 29 | 54 -EXPORTS | 1 | 4 | 7 -IMPORTS | 1 | 4 | 5 -EQ | 17 | - EXP24_.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB5_6 - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - -MACROCELL | 4 | 9 | EXP25_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 8 -INPUTS | 3 | A_FSB<14> | ram/RASEL | A_FSB<5> -INPUTMC | 1 | 4 | 0 -INPUTP | 2 | 19 | 4 -EXPORTS | 1 | 4 | 8 -EQ | 2 | - EXP25_.EXP = A_FSB<14> & !ram/RASEL - # ram/RASEL & A_FSB<5> - -MACROCELL | 4 | 16 | EXP26_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 17 -INPUTS | 13 | A_FSB<23> | A_FSB<22> | A_FSB<21> | ram/Once | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> -INPUTMC | 9 | 4 | 15 | 3 | 9 | 6 | 16 | 6 | 6 | 0 | 3 | 3 | 11 | 3 | 2 | 3 | 0 | 4 | 2 -INPUTP | 4 | 36 | 30 | 29 | 54 -EXPORTS | 1 | 4 | 17 -EQ | 13 | - EXP26_.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - -MACROCELL | 4 | 17 | EXP27_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 0 -INPUTS | 12 | A_FSB<23> | A_FSB<22> | ram/Once | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | A_FSB<21> | cnt/RefDone | ram/BACTr | EXP26_.EXP -INPUTMC | 8 | 4 | 15 | 3 | 9 | 6 | 16 | 6 | 6 | 0 | 3 | 3 | 11 | 0 | 0 | 4 | 16 -INPUTP | 4 | 36 | 30 | 54 | 29 -EXPORTS | 1 | 4 | 0 -IMPORTS | 1 | 4 | 16 -EQ | 24 | - EXP27_.EXP = !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB5_17 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - -MACROCELL | 6 | 14 | EXP28_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 6 | 15 -INPUTS | 13 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | nAS_FSB | cnt/RefDone | ram/RS_FSM_FFd2 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> -INPUTMC | 9 | 3 | 9 | 6 | 6 | 6 | 12 | 0 | 3 | 3 | 11 | 6 | 16 | 3 | 2 | 3 | 0 | 4 | 2 -INPUTP | 4 | 36 | 30 | 29 | 54 -EXPORTS | 1 | 6 | 15 -EQ | 9 | - EXP28_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf - -MACROCELL | 6 | 15 | EXP29_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 6 | 16 -INPUTS | 12 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | ram/BACTr | nAS_FSB | fsb/ASrf | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | EXP28_.EXP -INPUTMC | 9 | 6 | 6 | 6 | 12 | 3 | 2 | 0 | 0 | 0 | 3 | 3 | 0 | 4 | 2 | 3 | 9 | 6 | 14 -INPUTP | 3 | 54 | 36 | 30 -EXPORTS | 1 | 6 | 16 -IMPORTS | 1 | 6 | 14 -EQ | 20 | - EXP29_.EXP = !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<5> & ram/BACTr - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<5> & !fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<6> & !fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<7> & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 -;Imported pterms FB7_15 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf - -MACROCELL | 6 | 17 | EXP30_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 6 | 0 -INPUTS | 11 | A_FSB<23> | A_FSB<22> | ram/Once | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | ram/BACTr | A_FSB<21> | ram/RS_FSM_FFd2.EXP -INPUTMC | 7 | 4 | 15 | 3 | 9 | 6 | 6 | 0 | 3 | 3 | 11 | 0 | 0 | 6 | 16 -INPUTP | 4 | 36 | 30 | 54 | 29 -EXPORTS | 1 | 6 | 0 -IMPORTS | 1 | 6 | 16 -EQ | 13 | - EXP30_.EXP = !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & fsb/ASrf -;Imported pterms FB7_17 - # !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - -MACROCELL | 7 | 0 | EXP31_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 17 -INPUTS | 9 | IORW0 | iobs/IORW1 | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB_19_IBUF$BUF0.EXP -INPUTMC | 5 | 7 | 17 | 2 | 2 | 5 | 13 | 0 | 3 | 7 | 1 -INPUTP | 4 | 54 | 36 | 30 | 29 -EXPORTS | 1 | 7 | 17 -IMPORTS | 1 | 7 | 1 -EQ | 10 | - EXP31_.EXP = IORW0 & iobs/IORW1 & !nADoutLE1 - # !IORW0 & !iobs/IORW1 & !nADoutLE1 - # nAS_FSB & !fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !IORW0 & nADoutLE1 -;Imported pterms FB8_2 - # iobs/PS_FSM_FFd2 - # iobs/PS_FSM_FFd1 - # iobs/Once & nADoutLE1 - # IORW0 & nWE_FSB & nADoutLE1 - -MACROCELL | 7 | 2 | EXP32_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 3 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<20> | A_FSB<19> | A_FSB<17> | A_FSB<16> -INPUTMC | 3 | 7 | 3 | 3 | 7 | 5 | 13 -INPUTP | 7 | 36 | 30 | 29 | 28 | 26 | 23 | 22 -EXPORTS | 1 | 7 | 3 -EQ | 10 | - EXP32_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - -MACROCELL | 7 | 9 | EXP33_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 8 -INPUTS | 12 | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | nADoutLE1 | nWE_FSB | A_FSB<20> | SW<1> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 -INPUTMC | 3 | 7 | 3 | 5 | 13 | 3 | 9 -INPUTP | 9 | 36 | 29 | 24 | 47 | 28 | 97 | 19 | 18 | 30 -EXPORTS | 1 | 7 | 8 -EQ | 10 | - EXP33_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<20> & SW<1> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1 - -MACROCELL | 7 | 10 | EXP34_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 11 -INPUTS | 8 | BERR_IOBS | TimeoutB | fsb/BERR0r | fsb/BERR1r | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> -INPUTMC | 4 | 3 | 17 | 6 | 2 | 7 | 15 | 3 | 8 -INPUTP | 4 | 36 | 30 | 29 | 28 -EXPORTS | 1 | 7 | 11 -EQ | 3 | - EXP34_.EXP = !BERR_IOBS & !TimeoutB & !fsb/BERR0r & !fsb/BERR1r - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & - !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r - -MACROCELL | 7 | 12 | EXP35_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 13 -INPUTS | 9 | A_FSB<23> | iobs/Once | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB<20> | nBERR_FSB_OBUF.EXP -INPUTMC | 5 | 7 | 13 | 3 | 7 | 5 | 13 | 3 | 9 | 7 | 11 -INPUTP | 4 | 36 | 30 | 29 | 28 -EXPORTS | 1 | 7 | 13 -IMPORTS | 1 | 7 | 11 -EQ | 13 | - EXP35_.EXP = A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 - # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once - # !A_FSB<23> & !A_FSB<22> & !iobs/Once & - !cs/nOverlay1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & !iobs/Once -;Imported pterms FB8_12 - # !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB - # !A_FSB<23> & !A_FSB<20> & !iobs/Once & SW<1> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/Once - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !iobs/Once & - cs/nOverlay1 - -MACROCELL | 7 | 16 | EXP36_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 17 -INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<19> | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<20> | SW<1> -INPUTMC | 1 | 5 | 13 -INPUTP | 8 | 36 | 29 | 26 | 24 | 23 | 22 | 28 | 97 -EXPORTS | 1 | 7 | 17 -EQ | 5 | - EXP36_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & nADoutLE1 - # !A_FSB<23> & !A_FSB<20> & SW<1> & nADoutLE1 - -PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 8 | 2 | 4 | 2 | 12 | 2 | 6 | 2 | 16 | 2 | 9 | 2 | 10 | 2 | 15 | 6 | 8 -PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 8 | 6 | 4 | 2 | 12 | 2 | 6 | 2 | 5 | 2 | 9 | 2 | 10 | 2 | 15 | 2 | 16 -PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 9 | 2 | 4 | 2 | 12 | 2 | 6 | 4 | 10 | 2 | 5 | 2 | 9 | 2 | 10 | 2 | 15 | 2 | 16 -PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 17 | 7 | 11 | 7 | 17 | 2 | 17 | 2 | 4 | 2 | 13 | 7 | 4 | 2 | 7 | 4 | 13 | 4 | 9 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 10 | 2 | 12 | 2 | 15 | 2 | 16 | 7 | 9 -PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 16 | 7 | 11 | 7 | 17 | 4 | 10 | 2 | 4 | 2 | 13 | 7 | 4 | 2 | 6 | 4 | 13 | 2 | 17 | 2 | 5 | 2 | 9 | 2 | 10 | 2 | 12 | 2 | 15 | 2 | 16 | 7 | 9 -PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 9 | 2 | 4 | 2 | 12 | 2 | 6 | 2 | 16 | 2 | 5 | 2 | 9 | 2 | 10 | 2 | 15 | 4 | 14 -PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 9 | 2 | 4 | 2 | 12 | 2 | 6 | 2 | 16 | 2 | 5 | 2 | 9 | 2 | 10 | 2 | 15 | 6 | 2 -PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 9 | 2 | 4 | 2 | 12 | 2 | 6 | 6 | 5 | 2 | 5 | 2 | 9 | 2 | 10 | 2 | 15 | 2 | 16 -PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 56 | 4 | 15 | 7 | 13 | 7 | 17 | 3 | 12 | 7 | 15 | 6 | 3 | 2 | 0 | 2 | 4 | 2 | 13 | 2 | 2 | 4 | 3 | 2 | 7 | 4 | 0 | 6 | 15 | 6 | 6 | 6 | 12 | 7 | 3 | 4 | 12 | 7 | 8 | 4 | 7 | 6 | 0 | 6 | 7 | 7 | 10 | 3 | 5 | 7 | 2 | 2 | 16 | 6 | 9 | 2 | 1 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 10 | 2 | 12 | 2 | 15 | 4 | 2 | 4 | 4 | 4 | 8 | 4 | 11 | 4 | 13 | 4 | 16 | 4 | 17 | 6 | 1 | 6 | 8 | 6 | 10 | 6 | 14 | 6 | 17 | 7 | 0 | 7 | 4 | 7 | 5 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 16 -PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 53 | 4 | 15 | 7 | 13 | 7 | 17 | 3 | 12 | 7 | 15 | 6 | 3 | 2 | 0 | 2 | 4 | 2 | 13 | 2 | 1 | 4 | 3 | 2 | 7 | 2 | 17 | 6 | 15 | 6 | 6 | 6 | 11 | 7 | 3 | 4 | 12 | 7 | 8 | 4 | 6 | 6 | 0 | 6 | 7 | 7 | 10 | 3 | 5 | 7 | 2 | 2 | 16 | 6 | 9 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 10 | 2 | 12 | 2 | 15 | 4 | 1 | 4 | 2 | 4 | 4 | 4 | 5 | 4 | 8 | 4 | 11 | 4 | 13 | 4 | 16 | 4 | 17 | 6 | 8 | 6 | 10 | 6 | 14 | 6 | 17 | 7 | 0 | 7 | 4 | 7 | 5 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 -PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 49 | 4 | 15 | 7 | 12 | 7 | 17 | 3 | 12 | 7 | 15 | 6 | 3 | 2 | 0 | 2 | 4 | 2 | 13 | 2 | 2 | 4 | 2 | 2 | 7 | 2 | 17 | 6 | 14 | 6 | 6 | 6 | 11 | 7 | 2 | 4 | 11 | 7 | 7 | 4 | 6 | 6 | 0 | 6 | 7 | 7 | 10 | 3 | 5 | 7 | 0 | 6 | 8 | 2 | 16 | 6 | 9 | 2 | 1 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 10 | 2 | 12 | 2 | 15 | 4 | 4 | 4 | 5 | 4 | 13 | 4 | 16 | 4 | 17 | 6 | 10 | 6 | 17 | 7 | 4 | 7 | 5 | 7 | 9 | 7 | 11 | 7 | 14 | 7 | 16 -PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 31 | 7 | 12 | 7 | 17 | 3 | 12 | 7 | 15 | 2 | 0 | 2 | 4 | 2 | 13 | 2 | 1 | 2 | 7 | 7 | 2 | 4 | 11 | 7 | 7 | 6 | 10 | 7 | 10 | 3 | 5 | 2 | 17 | 6 | 9 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 10 | 2 | 12 | 2 | 15 | 2 | 16 | 4 | 2 | 4 | 13 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 16 -PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 19 | 2 | 17 | 7 | 16 | 7 | 1 | 2 | 4 | 2 | 13 | 6 | 10 | 2 | 7 | 7 | 2 | 4 | 11 | 7 | 7 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 10 | 2 | 12 | 2 | 15 | 2 | 16 | 7 | 14 -PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 18 | 7 | 9 | 7 | 16 | 6 | 7 | 2 | 4 | 2 | 13 | 7 | 4 | 2 | 7 | 4 | 13 | 2 | 17 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 10 | 2 | 12 | 2 | 15 | 2 | 16 | 7 | 14 -PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 18 | 2 | 17 | 7 | 16 | 6 | 4 | 2 | 4 | 2 | 13 | 7 | 7 | 2 | 7 | 7 | 2 | 4 | 11 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 10 | 2 | 12 | 2 | 15 | 2 | 16 | 7 | 14 -PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 18 | 2 | 17 | 7 | 16 | 4 | 14 | 2 | 4 | 2 | 13 | 7 | 7 | 2 | 7 | 7 | 2 | 4 | 11 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 10 | 2 | 12 | 2 | 15 | 2 | 16 | 7 | 14 -PIN | CLK2X_IOB | 4096 | 0 | N/A | 33 | 31 | 5 | 1 | 5 | 2 | 0 | 16 | 5 | 9 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 15 | 5 | 17 | 1 | 14 | 1 | 11 | 5 | 0 | 1 | 9 | 1 | 6 | 5 | 6 | 1 | 15 | 1 | 13 | 0 | 1 | 3 | 4 | 3 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 8 | 1 | 12 | 1 | 7 | 1 | 16 | 0 | 2 | 5 | 16 -PIN | CLK_FSB | 16384 | 0 | N/A | 42 | 57 | 4 | 15 | 3 | 11 | 7 | 13 | 3 | 9 | 3 | 17 | 7 | 17 | 3 | 12 | 3 | 15 | 0 | 17 | 3 | 14 | 6 | 2 | 3 | 13 | 7 | 15 | 3 | 8 | 6 | 3 | 2 | 0 | 2 | 4 | 2 | 14 | 0 | 15 | 2 | 2 | 3 | 16 | 0 | 14 | 4 | 3 | 2 | 8 | 4 | 0 | 6 | 16 | 6 | 6 | 6 | 12 | 7 | 3 | 3 | 7 | 1 | 17 | 3 | 2 | 3 | 0 | 1 | 5 | 0 | 5 | 0 | 4 | 3 | 6 | 3 | 3 | 4 | 12 | 0 | 0 | 0 | 9 | 0 | 8 | 4 | 2 | 0 | 11 | 0 | 7 | 0 | 6 | 7 | 6 | 0 | 12 | 7 | 8 | 0 | 10 | 2 | 17 | 4 | 7 | 6 | 0 | 7 | 14 | 5 | 13 | 0 | 3 | 4 | 4 -PIN | nAS_FSB | 64 | 0 | N/A | 54 | 47 | 4 | 15 | 7 | 13 | 3 | 9 | 3 | 17 | 6 | 17 | 3 | 12 | 3 | 14 | 6 | 2 | 3 | 13 | 7 | 15 | 3 | 8 | 6 | 3 | 2 | 0 | 0 | 13 | 2 | 1 | 3 | 16 | 4 | 3 | 2 | 7 | 4 | 0 | 6 | 15 | 6 | 6 | 6 | 11 | 7 | 3 | 4 | 12 | 0 | 0 | 7 | 8 | 0 | 3 | 4 | 7 | 6 | 0 | 7 | 11 | 4 | 5 | 2 | 16 | 3 | 10 | 3 | 5 | 7 | 0 | 7 | 5 | 7 | 6 | 2 | 5 | 4 | 1 | 4 | 4 | 4 | 6 | 4 | 8 | 4 | 16 | 4 | 17 | 6 | 1 | 6 | 13 | 6 | 14 -PIN | nWE_FSB | 64 | 0 | N/A | 47 | 22 | 7 | 11 | 7 | 17 | 7 | 6 | 2 | 4 | 2 | 13 | 2 | 2 | 2 | 7 | 7 | 1 | 2 | 17 | 7 | 4 | 4 | 5 | 2 | 16 | 3 | 5 | 7 | 5 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 10 | 2 | 12 | 2 | 15 | 4 | 13 | 7 | 9 -PIN | SW<1> | 64 | 0 | N/A | 97 | 11 | 7 | 11 | 7 | 16 | 2 | 0 | 2 | 13 | 2 | 3 | 2 | 7 | 4 | 13 | 4 | 2 | 7 | 4 | 3 | 5 | 7 | 9 -PIN | nRES | 64 | 0 | N/A | 145 | 4 | 3 | 12 | 0 | 9 | 0 | 2 | 0 | 1 -PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 3 | 15 | 0 | 15 | 7 | 5 -PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 17 | 0 | 14 | 7 | 6 -PIN | CLK_IOB | 8256 | 0 | N/A | 35 | 8 | 5 | 2 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 0 | 5 | 14 | 5 | 16 | 1 | 10 -PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 4 | 5 | 17 | 1 | 16 | 1 | 15 | 5 | 0 -PIN | E_IOB | 64 | 0 | N/A | 37 | 1 | 1 | 10 -PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 0 | 11 -PIN | nBG_IOB | 64 | 0 | N/A | 117 | 1 | 1 | 14 -PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 2 | 1 | 12 | 1 | 11 -PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 2 | 1 | 7 | 1 | 6 -PIN | A_FSB<1> | 64 | 0 | N/A | 149 | 1 | 6 | 5 -PIN | A_FSB<2> | 64 | 0 | N/A | 153 | 1 | 6 | 2 -PIN | A_FSB<3> | 64 | 0 | N/A | 155 | 1 | 4 | 14 -PIN | A_FSB<4> | 64 | 0 | N/A | 157 | 1 | 4 | 10 -PIN | A_FSB<5> | 64 | 0 | N/A | 4 | 1 | 4 | 9 -PIN | A_FSB<6> | 64 | 0 | N/A | 6 | 1 | 4 | 10 -PIN | A_FSB<7> | 64 | 0 | N/A | 8 | 1 | 4 | 14 -PIN | SW<0> | 64 | 0 | N/A | 98 | 2 | 6 | 13 | 6 | 11 -PIN | nVMA_IOB | 536871040 | 0 | N/A | 118 -PIN | nAS_IOB | 536871040 | 0 | N/A | 135 -PIN | nLDS_IOB | 536871040 | 0 | N/A | 130 -PIN | nUDS_IOB | 536871040 | 0 | N/A | 134 -PIN | nDTACK_FSB | 536871040 | 0 | N/A | 44 -PIN | RA<0> | 536871040 | 0 | N/A | 86 -PIN | RA<1> | 536871040 | 0 | N/A | 79 -PIN | RA<2> | 536871040 | 0 | N/A | 69 -PIN | RA<3> | 536871040 | 0 | N/A | 64 -PIN | RA<4> | 536871040 | 0 | N/A | 63 -PIN | RA<5> | 536871040 | 0 | N/A | 68 -PIN | RA<6> | 536871040 | 0 | N/A | 72 -PIN | RA<7> | 536871040 | 0 | N/A | 82 -PIN | RA<8> | 536871040 | 0 | N/A | 88 -PIN | RA<9> | 536871040 | 0 | N/A | 92 -PIN | nBERR_FSB | 536871040 | 0 | N/A | 112 -PIN | nOE | 536871040 | 0 | N/A | 60 -PIN | nROMWE | 536871040 | 0 | N/A | 57 -PIN | nVPA_FSB | 536871040 | 0 | N/A | 147 -PIN | nADoutLE0 | 536871040 | 0 | N/A | 139 -PIN | nCAS | 536871040 | 0 | N/A | 59 -PIN | nDinLE | 536871040 | 0 | N/A | 140 -PIN | nDinOE | 536871040 | 0 | N/A | 144 -PIN | nDoutOE | 536871040 | 0 | N/A | 143 -PIN | nRAS | 536871040 | 0 | N/A | 103 -PIN | RA<11> | 536871040 | 0 | N/A | 102 -PIN | RA<10> | 536871040 | 0 | N/A | 90 -PIN | CLK20EN | 536871040 | 0 | N/A | 96 -PIN | CLK25EN | 536871040 | 0 | N/A | 95 -PIN | nBR_IOB | 536871040 | 0 | N/A | 116 -PIN | nADoutLE1 | 536871040 | 0 | N/A | 136 -PIN | nAoutOE | 536871040 | 0 | N/A | 141 -PIN | nRAMLWE | 536871040 | 0 | N/A | 104 -PIN | nRAMUWE | 536871040 | 0 | N/A | 105 -PIN | nROMCS | 536871040 | 0 | N/A | 58 diff --git a/cpld/XC95144XL/MXSE.nga b/cpld/XC95144XL/MXSE.nga deleted file mode 100644 index ac7b079..0000000 --- a/cpld/XC95144XL/MXSE.nga +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$74`4`<,Fz_t)*@pUz'B]YCK-;3>RGAV%5;7+B582.D|Yv+$NrW|!@_WMI/=583]JJS"00:$O>?;4$NrW|!"Hx]r/JUQKC%3;6ZOI^-=3?#J=_QV\473<,Fz_t)*@pUz'B]YCK-;3>RGAV%5;7+B5WY^T=?;4$NrW|!"Hx]r/JUQKC%3;6ZOI^-=3?#J=_QV\673<,Fz_t)*@pUz'B]YCK-;3>RGAV%5;7+B5WY^T??;4$NrW|!"Hx]r/JUQKC%3;6ZOI^-=3?#J=_QV\073<,Fz_t)*@pUz'B]YCK-;3>RGAV%5;7+B5WY^T9"Hx]r/JUQKC%53<+B6:2.D|Yv+FY]GG!170'N:=?5+OqV{ C^XLJ.<<5 K2038 JvSp-LSSIM+71:-U45<,Fz_t)HW_EA'35>)]@G>7)\jv%58,5<729#m7%>50;2*$-6=83:"==5'1123>46783;;<='>3:*2526728;<<=4>1623-46< 8=;<7?80182356.991#=5>?:0:34?7?89#:<6&=81296=672;2;<$??;)1345<489:1?=>?)338,667839;<=4<012*$-5289089=>53423-46< :?;<7=:0180156.l2"?<=4;018745/682"=<=>56123>3678 ;;7%9?0184456=?9:;%<>4(8234??78902<=>&1:22?44<9980=<<41331?44=G\^[YY4]A=32>58538997<:=;071?40538=97<6=;0;2?74<:980>?<42237?75=AGZ^X7OPFR@?66<66;198?5=539137=50;195k5=:HLSQQ;18;<5;2:636>26:2>9>6:<2:656>37:2?9>6;<2:776>32:2?=>6;72:7:5>053?:97;?=;711?3253??97;8>;608354<4750833480;5<47838<7=?8;13=?57239;17=?>;13;?57909:6>?7:23:>67=2:;06>?3:23>>6792:;41>GKM9G<7LBJ0L,G<>GKM9G%H<64AMG3I+B5l2KGI=C!D3]SPZ6c3HFN<@ K2^RW[4b\7:COA5U)L11J@H>\.E3;?DJB8Z$O>:5NLD2P*T?3HUM_O2>0?f8EZ@TJ5;;2#^ND89B[CUE48;5h6OPFR@?548)XHN27LQISC>26;b/RB@<=FWOYI0<=1d:C\BVD;9:4%\LJ6;@]EWG:6<7n0MRH\B=37:+VFL01JSK]M<07=`>GXNZH7=80!P@F:?DYA[K6::3j4A^DPF9716'ZJH45N_GQA8419l2KTJ^L316<-TDB>3HUM_O2>8?f8EZ@TJ5;32#^ND89B[CUE4835h6OPFR@?5<8)XHN37LQISC>2:f=FWOYI0<0!P@F:?DYA[K69<3j4A^DPF9476'ZJH45N_GQA8779l2KTJ^L320<-TDB>3HUM_O2=2?f8EZ@TJ5892#^NDc9B[CUE4;91=374A^DPF9446m1JSK]M<31=*UGC02KTJ^L32?a8EZ@TJ585"]OK8:C\BVD;;7i0MRH\B=1=*UGC02KTJ^L34?a8EZ@TJ5>5"]OK8:C\BVD;=7i0MRH\B=7=*UGC02KTJ^L36?a8EZ@TJ5<5"]OK8:C\BVD;?7i0MRH\B=5=*UGC02KTJ^L38?a8EZ@TJ525"]OK8:C\BVD;17i0MRH\B=;=*UGCl2KTJ^LP11]JFP@c3HUM_OQ>1^KAQCbGXNZHT=9QFBTDg?DYA[KU:9RGMUGf8EZ@TJV;=SDLZFe9B[CUEW8=TEO[Id:C\BVDX91UBNXHk;@]EWGY61VCIYK?=;@]EWGY61VCIYK*MUG220>GXNZHT=4QFBTD'FP@7&M;>7LQISC]2=ZOE]O.IYK>!D036?DYA[KU:5RGMUG&AQC6)L;;=7LQISC]2=ZOE]O.IYK>!EYR2f>GXNZHT=4QFBTD'FP@7&LR[S]ZP00`8EZ@TJV;2SDLZF%@VB5(BPYU[XR?>b:C\BVDX90UBNXH+BTD3*@^WWY^T>W@H^J)LZF1,F\UYW\V99;6OPFR@\5GXNZHT>GXNZHT8RGMUGa8EZ@TJV?TEO[Ic:C\BVDX>VCIYKm4A^DPFZ1XAK_Mo6OPFR@\EB;2IGG:5LLJ03AKd!D037?FJL:9OESBLZF%@VB5(C:8?0OAE=0DL\KGSA,K_M<#KWP0c8GIM58LDTCO[I$CWE4+C_XVZ_S=?n;BNH65CIWFH^J)LZF1,F\UYW\V;9:6MCK32FJZIE]O.IYK>!EYR\pvojzldjahjPndv\56=DDB8;ICQ@BTD'FP@7&X=0OAE=5DLa?FJL:EKC;?NBRAMUG,F\UYW\V::96MCK37FJZIE]O$NT]Q_T^321>EKC;?NBRAMUG,F\UYW\V8:96MCK37FJZIE]O$NT]Q_T^115>EKC;?NBRAMUG,F\UYs{`gyicobee]maqYb3JF@>8KA_N@VB+W>3JF@>UQFOCf8GIM5PVCDN#^ND018GIM5PVCDNRGMUG-EGIM6<2IGG?VPIN@\MGSA'OIGG 94CMI\BVDe3JF@SK]M.QCG54=DDBUM_OQFBTD,BFJL9;1H@FQISC]JFP@(NJF@!:5LLJ]JKGdBbdhs<7Ig|acnfe>C_X8>T"HV_f:G[T42X&LR[S]ZP0g9F\U73W'OS\R^[_0d8A]V6C_X8>T"HV__QV\0c=BPY;?S#KWP^RW[07e3LR[=9Q!EYR\pvojzldjahjPndv\e>C_X8?T"HV_f:G[T43X&LR[S]ZP0g9F\U72W'OS\R^[_0d8A]V6=V$NT]Q_T^0e?@^W9C_X8?T"HV__QV\0c=BPY;>S#KWP^RW[07e3LR[=8Q!EYR\pvojzldjahjPndv\e>C_X8V$NT]Q_T^0e?@^W9?U%IU^PPU]0b>C_X8U%IU^i;DZS52Y)MQZT\YQ?f:G[T41X&LR[S]ZP1g9F\U70W'OS\R^[_33a?@^W9>U%IU^Ptrknv`hfelnTbhzPa:G[T4>X&LR[j6KWP0:\*@^WWY^TX&LR[S]ZP4g9F\U7?W'OS\R^[_43a?@^W91U%IU^Ptrknv`hfelnTbhzPa:G[T4?X&LR[j6KWP0;\*@^WWY^TW'OS\R^[_43a?@^W90U%IU^Ptrknv`hfelnTbhzPa:G[T76X&LR[j6KWP32\*@^WWY^Tk5JXQ01[+C_XVZ_S>h4EYR16Z(BPYU[XR:i;DZS67Y)MQZT\YQ:1c9F\U45W'OS\Rz|ilpfjdkblVdnxRo4EYR17Z(BPYl0IU^=3^,F\UYW\V:m7HV_22]-A]VXX]U:j6KWP31\*@^WWY^T>k5JXQ00[+C_XVZ_S>h4EYR17Z(BPYU[XR:i;DZS66Y)MQZT\YQ:1c9F\U44W'OS\Rz|ilpfjdkblVdnxRo4EYR10Z(BPYl0IU^=4^,F\UYW\V:m7HV_25]-A]VXX]U:j6KWP36\*@^WWY^T>k5JXQ07[+C_XVZ_S>h4EYR10Z(BPYU[XR:i;DZS61Y)MQZT\YQ:1c9F\U43W'OS\Rz|ilpfjdkblVdnxRo4EYR11Z(BPYl0IU^=5^,F\UYW\V:m7HV_24]-A]VXX]U:=o5JXQ06[+C_XV~xe`|jn`of`Zhb|Vk0IU^=6^,F\U`;Q!EYR\TQY6n2OS\?8P.DZS[URX:o1NT]<9_/G[TZVSW:l0IU^=6^,F\UYW\V>:n6KWP34\*@^WW}ybakaalgg[kcsWh1NT]<8_/G[Tc=BPY8:Q!EYR\TQY5n2OS\?9P.DZS[URX;o1NT]<8_/G[TZVSW=l0IU^=7^,F\UYW\V?:n6KWP35\*@^WW}ybakaalgg[kcsWh1NT]<7_/G[Tc=BPY83S#KWP^RW[5`5Q!EYR\TQY5n2OS\?6P.DZS[URX;8h0IU^=8^,F\UYs{`gyicobee]maqYf3LR[>4Q!EYRe?@^W:0U%IU^PPU]3b>C_X;3T"HV__QV\5c=BPY82S#KWP^RW[7`4Q!EYR\TQY3n2OS\?7P.DZS[URX=8h0IU^=9^,F\UYs{`gyicobee]maqYf3LR[?=Q!EYRe?@^W;9U%IU^PPU]3b>C_X::T"HV__QV\5c=BPY9;S#KWP^RW[7`>P.DZS[URX=8h0IU^<0^,F\UYs{`gyicobee]maqYf3LR[?C_X:;T"HV__QV\5c=BPY9:S#KWP^RW[7`?P.DZS[URX=8h0IU^<1^,F\UYs{`gyicobee]maqYf3LR[??Q!EYRe?@^W;;U%IU^PPU]3b>C_X:8T"HV__QV\5c=BPY99S#KWP^RW[7`R JXQ]SPZ5a3LR[??Q!EYR\TQY39k1NT]==_/G[TZrtadxnblcjd^lfpZgC_X:9T"HV__QV\6c=BPY98S#KWP^RW[6`=P.DZS[qune{oem`kk_ogw[d=BPY9?S#KWPg9F\U53W'OS\R^[_1d8A]V4C_X:>T"HV__uqjiwciidooSck{_`9F\U52W'OS\k5JXQ16[+C_XVZ_S=h4EYR01Z(BPYU[XR?i;DZS70Y)MQZT\YQ=f:G[T63X&LR[S]ZP3g9F\U52W'OS\R^[_5d8A]V4=V$NT]Q_T^72f>C_X:?T"HV__uqjiwciidooSck{_`9F\U51W'OS\k5JXQ15[+C_XVZ_S=h4EYR02Z(BPYU[XR?i;DZS73Y)MQZT\YQ=f:G[T60X&LR[S]ZP3g9F\U51W'OS\R^[_53a?@^W;?U%IU^Ptrknv`hfelnTbhzP5:G\MJD>3LUBCO _AEc8AZOHJVCIYK94EeefRdj53OL87J`k2:KM7>OI8:1BB<:4IO330>OI98>0EC?=4:KM562OI9090EC<;;HL141=NF;;?7D@=259JJ7533@D9895FN377?LH5>=1BB?9;;HL1<1=NF;387D@<4:KM752OHIJ^%H?Q_T^7g?LIFK]$O>R^[_758MJGD\'[27DANCU,QAB0k4IN@FVW(C:VZ_S9k4IN@FVW(C:VZ_S864IN@FVW(Vi2CDNH\].SGD52=NGKOY^Rz|ilaqadrblVrd~R:4INN33>OHD9$HI;5FOM2-@2=NGE:%H<94INN3*A4d3@EG<#J=_QV\4f=NGE:%H?Q_T^35?LIK8'[37DAC0/PFC0=NG[OZ;6G@RDS-@==NG[OZ"I?7;HMQAT(C:m1BC_K^.E0\TQY7l2CD^H_!D3]SPZ7c3@EYI\ K2^RW[7bOHZL[%H?Q_T^7g?LIUMX$O>R^[_758MJTBY'[27DA]EP,QAB38:KLVR6)L;n0EB\X0/F1[URX8m1BC_Y?.E0\TQY6l2CD^Z>!D3]SPZ4c3@EY[= K2^RW[6bOHZ^:%H?Q_T^44?LIU_9$Z56G@RV2-V@A6>2CD^Z>Ptrkngwcf|lnTtb|P4:KLQ517:KLQ5(C:j1BCX>!D3]SPZ6d3@E^<#J=_QV\53=NG\:%]55FOT2-V@A13@ZG>>7;HRO6w6)L01B\A<}0/F2=>OWD;x;"I<7;HRO6w6)Yh1B\A<}0/PFC3=NXE8y=55FPM0q5+B>3@ZG>?!D0;8MUJ5z8$O>55FPM0q5+Wf3@ZG>?!RDE;?LhsLlfju>5COB58HJANKHF?7@V\E99N\VC)fnkh7@oeosTfvvohfj1Feca}Vdppmjh03GO_[B\D3:MMA7=HZ:1DYY=4P@F7?UGU\>1[EC@NMDc8TLHXJ\YBHUl4PHL\FPUIIDO?7]o}k69Sewm)KL<0\l|d.E58Tdtl&M;<7]o}k/F1<>Vfzb$NT]j4P`ph*@^WWY^T2Zn~dak8:RlvqVf|a?0^L2?>89QE969&YKO:6\N<02=e>TF48:5"]OK8:PB847=87<0^L2>1?c8VD:697$[MI;4R@>2:<=UI5;5"]OK5:PB878>3[K7>3 _AE78VD:4601YM1=1.QCG1>TF4=427_O34?,SEA3245]A=7=*UGC=2XJ0;06;SC?2;(WIM?0^L28>89QE919&YKO96\N<9<:?WG;07$[MI;4R@>::<=UI535"]OK9:PB[5YHJ\Li7_OP0^MAQC(Ck2XJS=Q@BTD-@4ePOCWE*A4XX]U:n6\N_1]LFP@)Y01YMR?POCWEf>TFW8UDNXH!Db9QEZ7XGK_M"I?l;SC\5ZIE]O$O>i5]A^3\KGSA&LR[=?5]A^3\KGSA&LR[S]ZP0008VDY6WFH^J#KWP^RW[4753[KT=RAMUG,F\UYW\V8:>6\N_0]LFP@)MQZT\YQ<139QEZ7XGK_M"HV__QV\04cRAMUG,F\U753[KT>RAMUG,F\UYW\V::>6\N_3]LFP@)MQZT\YQ>139QEZ4XGK_M"HV__QV\644e:PB[7YHJ\L%IU^Ptrknv`hfelnTbhzPb:PB[7YHJ\L%]45]A^1\KGSAj2XJS>Q@BTD-@f=UIV9TCO[I.E3`?WGX;VEIYK K2038VDY4WFH^J#J=_QV\447TFW=UDNXH!EYR26>TFW=UDNXH!EYR\TQY79;1YMR:POCWE*@^WWY^T=<<4R@]7[JDRN'OS\R^[_331?WGXc:PB[0YHJ\L%H?j4R@]6[JDRN'OS\<<4R@]6[JDRN'OS\R^[_131?WGX=VEIYK JXQ]SPZ76:2XJS8Q@BTD-A]VXX]U9=?5]A^7\KGSA&LR[S]ZP3008VDY2WFH^J#KWP^RW[17b3[KT9RAMUG,F\UYs{`gyicobee]maqYe3[KT9RAMUG,R=>TFW?UDNXHm;SC\2ZIE]O$Oo6\N_7]LFP@)L8i0^LQ9_N@VB+B5981YMR8POCWE*A4XX]U;=<5]A^4\KGSA&M8T\YQ>d:PB[3YHJ\L%IU^>2:PB[3YHJ\L%IU^PPU]357=UIV3[KT;RAMUG`8VDY0WFH^J#Jl;SC\3ZIE]O$O=n5]A^5\KGSA&M8:=6\N_6]LFP@)L;U[XR>>1:PB[2YHJ\L%H?Q_T^3a?WGX?VEIYK ^9:PB[=YHJ\Li7_OP8^MAQC(Ck2XJS5Q@BTD-@4eXGK_M"In6\N_9]LFP@)Y01YMR7POCWEf>TFW0UDNXH!Db9QEZ?XGK_M"I?l;SC\=ZIE]O$O>WFH^J#J=_QV\5a=UIV3TCO[I.DZS5`=UIV3TCO[I.DZS[qune{oem`kk_ogw[g=UIV3TCO[I.P58V@UCggoj7_K\Dnlf*FC>3[OXHb`j.Ec8V@UCggo%H!D358V@Uu8'[27_K\r1,QAB38:PFWw7)L;=0^H]}1/S:?WCTz8$YIJ;4RDQq62=UMZx9"I64RDQq6+B602XN_3:<=T_5:5"]OK5:QT848>3Z]7=3 _AE58WR:529427^YP1^KAQC?<[l~~|Eacd29WVL2<\PZN46ZfmdmvpDg<\`gncxzN.E`8Plkbg|~J"I?m;UknajssI'N9==5[ilglqqG)L;U[XR>>0:Vji`ir|H$O>R^[_033?QojmfM#J=_QV\6d=SadodyyO!Qb9Wmhch}}K%^HI>9:Vji`ir|HUdclrdcwaaYg{U37YgbenwwFd=SadodyyL!Dc9Wmhch}}H%HRnele~xO JXQ32?QojmfN#KWP^RW[5763]cfib{{B/G[TZVSW8;o7YgbenwwF+C_XV~xe`|jn`of`Zhb|Vk0XdcjotvA*Te<\`gncxzM.SGD5<=SadodyyLPtrkngwcf|lnTtb|Pc:WPAWYQAZCI@H74VHGT[Q_WM:1]on;4Whfwl7e=9:WL,33)QW689*#_}kjd!Djh`<'^lxxeb`/3/2*0>^CEE;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@90TB\9;Yfa[Lbe3QncS]|fmHlug>^c`VZye`Zvpdd8\anXX{cfZh||inl;?]boW]cfii5Wdi]WmhcD`li`h6Vkh^Vji`Bbdhs:<6Vkm^ObnjtQm{ybcc??;Yfn[Hoig{\n~~g`n59YAWIc3jd#_kiCov?4;`3:+B682iex"\jfBlw858)L8;;7n`{/SgeGkr;87$O>k5lnu-QacEi|5:5"\?>;blw+WcaKg~7<3 ]EF3f?fhs'[omOcz30?]wwlkdzlkiiQwos]g?fhs'[omOcz31?d8gkr(ZllHby2>>/F24>ei|&XnjN`{<0<-@4773jd#_kiCov?5;(C:o1hby!]egAmp979&X;:7n`{/SgeGkr;97$YIJ?j;blw+WcaKg~7=3Q{sho`v`gsmmUscQk;blw+WcaKg~7>3h4cov,V``Df}692#J>0:amp*TbnJd0?0!D033?fhs'[omOcz32?,G6c=df}%YikMat=0=*T763jd#_kiCov?6;(UMN;n7n`{/SgeGkr;:7UdclrdcwaaYg{Uo7n`{/SgeGkr;;7l0ocz Rdd@jq:46'N:<6mat.PfbFhs4:4%H7:+B682iex"\jfBlw818)L8;;7n`{/SgeGkr;<7$O>k5lnu-QacEi|5>5"\?>;blw+WcaKg~783 ]EF3f?fhs'[omOcz34?]wwlkdzlkiiQwos]g?fhs'[omOcz35?d8gkr(ZllHby2:>/F24>ei|&XnjN`{<4<-@4773jd#_kiCov?1;(C:o1hby!]egAmp939&X;:7n`{/SgeGkr;=7$YIJ?j;blw+WcaKg~793Q{sho`v`gsmmUscQk;blw+WcaKg~7:3h4cov,V``Df}6=2#J>0:amp*TbnJd0;0!D033?fhs'[omOcz36?,G6c=df}%YikMat=4=*T763jd#_kiCov?2;(UMN;n7n`{/SgeGkr;>7UdclrdcwaaYg{Uo7n`{/SgeGkr;?7l0ocz Rdd@jq:06'N:<6mat.PfbFhs4>4%H/G[T404:+C_XVZ_S=?9;blw+WcaKg~7;3 JXQ]SPZ76>2iex"\jfBlw828)MQZT\YQ=239`jq)UmoIex191.DZS[qune{oem`kk_ogw[c=df}%YikMat=5=*T763jd#_kiCov?3;(UMN;n7n`{/SgeGkr;?7UdclrdcwaaYg{Ui7n`{/Sge@jhbl2iex"\jfEmma+Bb3jd#_kiDnlf*A7b3jd#_kiDnlf*A46;2iex"\jfEmma+B5WY^T<<=4cov,V``Cggo%H?Q_T^3g?fhs'[omHb`j.Pd8gkr(ZllOcck!RDEe?fhs']cfib{{BQpf54=df}%_e`k`uu@Sv`(C9;1hby![ilglqqDWzl$O=<<4cov,Plkbg|~I\k!D334?fhs']cfib{{BQpf*A4XX]U;=:5lnu-Wmhch}}H[~h K2^RW[4703jd#YgbenwwFUtb&M8T\YQ=109`jq)SadodyyL_rd,R56=df}%_e`k`uu@Sv`(UMN8;7n`{/UknajssJYxnSy}fmbpfeqccWqeySn5ls.lLr`tkip:n7n} nNtfvig~8'Nm7n} nNtfvig~8'N:j6m|/oMuawjfq9$O><:4cr-mKscudhs;"I;bq,jJpbzekr<#\\TG3f?fu(fF|n~aov0^vpmheumh~nhR~}le]2`>et'gE}ibny1]wwlkdzlkiiQwos]`?fu(fF|n~aov1g9`w*hH~lxgmt?!CDg8gv)iGoy`lw>.Ed8gv)iGoy`lw>.E3e?fu(fF|n~aov1/F1a>et'gE}ibny0,R55=d{&dDzh|cax3-V@A33lnli55isc-BWw`f3oyi#L]}f/Fa?cue'HYyj#J>b:dpf*GTzo$O>l5isc-BWw`)Yj1mo!NSsd-V@Af3oyi#OK]R1p`?cue'KOY^=|!De9ewg)EM[X;~#J>d:dpf*DBZ[:y"I<>2:dpf*DBZ[:y"Ir/F157=a{k%II_\>r/F1[URX8880j~l BDPQ5w(C:VZ_S5isc-Qadb~9{$O>R^[_030?cue'[ojht?}.E0\TQY59:1mo!]e`fz5w(C:VZ_S>?<;gqa+Wcflp;y"I`tj&Xnmiw>r/G[T76`tj&Xnmiw=r/F2a>`tj&Xnmiw=r/F156=a{k%Yiljv2s,G6ZVSW9;87k}m/Sgb`|4u&M8T\YQ>129ewg)Umhnr> K2^RW[7743oyi#_kndx0q*A4XX]U8=>5isc-Qadb~:{$O>R^[_5d8bvd(Zlkou?|!EYR14>`tj&Xnmiw=r/G[TZrtadxnblcjd^lfpZb`tj&\[M#J=_QV\6c=a{k%]\L K2^RW[6`01mo!YP@,Rf>`tj&\[M#\JGc9jkgk(JLXY~kj4in`n+GCUZ{l%Hh5foco,F@TUzo$O=h5foco,F@TUzo$O>i5foco,F@TUzo$Zj6g`bl-AAWTun'XNKo5foco,F@TUz{n0eblb/CGQVwt)Ll1bcoc BDPQvw(C9l1bcoc BDPQvw(C:m1bcoc BDPQvw(Vn2cdn`!MESPqv+TBO>1bcoc BF;8mjdj'KMyohjd%OXLMDrs,G6`=ngkg$HYOLKsp-U46ohjd%N_1>1.Ef8mjdj'LY7<3 K1e9jkgk(MZ6;2#J=139jkgk(MZ6;2#J=_QV\444>/F2`>ohjd%N_1?1.E026>ohjd%N_1?1.E0\TQY79;1bcoc ER>2:+B5WY^T=<<4in`n+@U;97$O>R^[_3a8mjdj'LY7=3 ^e:klfh)B[5;5"_KHa:klfh)B[585o6g`bl-FW949&Mn0eblb/DQ?6;(C9m1bcoc ER>1:+B59;1bcoc ER>1:+B5WY^T<<<4in`n+@U;:7$O>R^[_031?liee&OX0?0!D3]SPZ46:2cdn`!JS=0=*A4XX]U8=?5foco,AV:56'N9S]ZP4b9jkgk(MZ692#_j;hmai*CT4;4%^HIn;hmai*CT4:4h7damm.GP868)Lm1bcoc ER>0:+B6l2cdn`!JS=1=*A46:2cdn`!JS=1=*A4XX]U;=?5foco,AV:46'N9S]ZP1008mjdj'LY7?3 K2^RW[7eohjd%N_1=1.SGD5g=ngkg$I^2<>^vpmheumh~nhRv`r^c8mjdj'LY783m4in`n+@U;<7$Oh6g`bl-FW929&M;o7damm.GP818)L;;97damm.GP818)L;U[XR>>2:klfh)B[5>5"I/F1[URX:880eblb/DQ?0;(C:VZ_S>m4in`n+@U;<7$Zi6g`bl-FW929&[OL=o5foco,AV:36V~xe`m}e`vf`Z~hzVk0eblb/DVBGNeohjd%NXLMD.E3g?liee&O_MNE!D3g8mjdj'L^JOF JXQ30?liee&O_MNE!EYR\TQY79:1bcoc EUC@O+C_XVZ_Sohjd%N~? K1c9jkgk(M{8%H?o4in`n+@t5&Xi0eblb/Dp1*WC@j2cdn`!FOSGRva=ngkg$EB\JQs,Ga>ohjd%BC_K^r/F2a>ohjd%BC_K^r/F1`>ohjd%BC_K^r/Se?liee&CD^H_}.SGD54=ngkg$EB]PFRO\BCb69:1bcoc INQ\BVKXNOn:"I?;;hmai*OH[VLXARHId0,G542.E0\TQY7901bcoc INQ\BVKXNOn:"I7damm.KLWZ@TEVLMh< ]EF32?liee&CD_RH\M^DE`7743`eia"G@S^DPIZ@Al;$O=95foco,MJUXNZGTJKj=.E320>ohjd%BC^QISL]EBa4)L;;27damm.KLWZ@TEVLMh? K2^RW[57>3`eia"G@S^DPIZ@Al;$O>R^[_03:?liee&CD_RH\M^DE`7(C:VZ_S??6;hmai*OH[VLXARHId3,G6ZVSW:;27damm.KLWZ@TEVLMh? K2^RW[1743`eia"G@S^DPIZ@Al;$Z=85foco,MJUXNZGTJKj=.SGD67=ngkg$EB]PFRO\BCb5W}yban|jaugg[}iuW8;0eblb/HMP[CUJWOLo?<=4in`n+LITWOYFSKHk3/F20>ohjd%BC^QISL]EBa5)L8;?7damm.KLWZ@TEVLMh> K20;8mjdj'@EXSK]B_GDg7+B5WY^T<<74in`n+LITWOYFSKHk3/F1[URX9830eblb/HMP[CUJWOLo?#J=_QV\645n5foco,RUGuz'[n7damm.TSEwt)ZLMi7dams.Aoadt6l2cdn~!Lldcq5+Bb3`ei"Mce`p2*A7b3`ei"Mce`p2*A4a3`ei"Mce`p2*@^W9=1bco} Cmgbv4(BPYU[XR>>4:klfv)Ddlky=#KWP^RW[4733`ei"Mce`p2*@^WWY^T><:4in`p+Fjbi{;%IU^PPU]065=ngky$Oaknr0,F\UYs{`gyicobee]maqYc3`ei"Mce`p2*T`ohjz%BCLM[r/Ff?lie{&CDMNZ}.E3f?lie{&CDMNZ}.E0g?lie{&CDMNZ}.Pd8mjdt'@EJOY|!RDE:?lie{&CD@.Pf8mjdt'@EG=#\JG`9jkgu(AFX\=n5focq,MJTP9'No7dams.KLVR7)L8n0ebl|/HMQS4(C:880ebl|/HMQS4(C:VZ_S=?=;hmaw*OHZ^;%H?Q_T^326>ohjz%BC_Y>.E0\TQY59;1bco} INPT5+B5WY^T?<<4in`p+LIU_8$O>R^[_531?lie{&CD^Z?!D3]SPZ36:2cdn~!FOSU2*A4XX]U=o6g`br-JKWQ6&Xo0ebl|/HMQS4(UMN;i7dams.KLVR7X|zcfokntdf\|jtXk2cdn~!FOSgb`|c>4:klfv)NG[ojht K2^RW[4733`ei"G@Rdcg}+B5WY^T><:4in`p+LIUmhnr"Iohjz%BC_kndx,R55=ngky$EB\jae{-V@A6l2cdn~!FOSgb`|Ys{`gh~ho{ee]{kwY>3`ei"G@U0a8mjdt'@E^=#MJb:klfv)NG\;%Hn5focq,MJS6&M;h7dams.KLQ4(C:k1bco} INW2*Tb<<4in`p+Iifl8$O>R^[_131?lie{&Fdmi?!D3]SPZ76:2cdn~!Co`f2*A4XX]U9=?5focq,Hjgc9'N9S]ZP3008mjdt'Eejh< K2^RW[1753`ei"B`ae3-@7YW\V?:>6g`br-Okdb6&M8T\YQ9c:klfv)Kghn:"\k4in`p+Iifl8$YIJ74in`p+Jhdmk1bco} Ooaf*Aeohjz%Dbnk!D332?lie{&Eeoh K2^RW[5763`ei"Aacd,G6ZVSW8;:7dams.Mmg`(C:VZ_S??>;hmaw*Iikl$O>R^[_232?lie{&Eeoh K2^RW[1763`ei"Aacd,G6ZVSW<;:7dams.Mmg`(C:VZ_S;l4in`p+Jhdm'[o7dams.Mmg`(UMN;;7dams.RP[CUJWOLo=<<4in`p+UUXNZGTJKj>.E30?lie{&ZXSK]B_GDg5+B69:1bco} PR]EWHYANm;%H??7;hmaw*VTWOYFSKHk1/F1[URX8820ebl|/QQ\BVKXNOn:"I0ebl|/QQ\BVKXNOn:"_KH119jkgu(XZUM_@QIFe026>ohjz%[_RH\M^DE`7(C9:1bco} PR]EWHYANm8%H>8:klfv)W[VLXARHId3,G6ZVSW8;37dams.RP[CUJWOLo>#J=_QV\64>:46g`br-SWZ@TEVLMh? K2^RW[07?3`ei"^\_GQN[C@c:'N9S]ZP6008mjdt'YYTJ^CPFGf1*T733`ei"^\_GQN[C@c:'XNK45aAEmvpIC7l2dJHb{{LD2-TDBb3gKOcxzCE1]LFP@682dJHb{{LD2\KGSA&M;:7cOKotvOA5YHJ\L%H;oCGkprKM9UDNXH!D331?kGCg|~GI=Q@BTD-A]V5;2dJHb{{LD2\KGSA&LR[Sy}fmsgmehccWgoS<>4n@FlqqJB8VEIYK ^9:lB@jssDL;o7cOKotvOA4(WIMo0bLJ`uuNF5ZIE]O;;7cOKotvOA4YHJ\L%HhF[VCDNb{{$P37?kGTW@EIcxz!BTDLA+IR\j1eM^QFOCmvp+Bc3gKXSDAMotv-@4bhFg|~DIRAMUG&Ra>hFg|~DIRAMUG,Gb>hFg|~DIRAMUG,G5c=iIfCHQ@BTD-@7733gKdyyAJ_N@VB+B5WY^T<<:4n@mvpJCXGK_M"I1:lAAWTXNZHTCO[I.E022>hEM[XTJ^LPOCWE*A4XX]U;=;5aBDPQ[CUEWFH^J#J=_QV\5441eNH\]_GQA[JDRN'OS\R^[_200?kDBZ[UM_OQ@BTD-A]VX|zcf~h`nmdf\j`rX991eNH\]_GQA[JDRN'[27cLJRS]JKGb1eN_QFOC`8jGTXAFH%\LJ;;oABW==iKHY%\LJ6;oABWZIE]Oh0bNO\_N@VB+Bd3gIJ_RAMUG,G5f=iKHYTCO[I.E0g?kEF[VEIYK JXQ31?kEF[VEIYK JXQ]SPZ66:2dHM^Q@BTD-A]VXX]U:=h5aC@Q\KGSA&LR[Sy}fmsgmehccWgoSo5aC@Q\KGSA&Xn0bNO\_N@VB+TBOh1eHYOLK^DPF`=iL]KHGRH\B/RB@c=iL]KHGRH\B^MAQC763gN_MNEPFR@\KGSA&M;97cJ[ABI\BVDXGK_M"I?>2:lGPDELWOYISBLZF/F152=iL]KHGRH\B^MAQC(C:VZ_S=?8;oFWEFMXNZHTCO[I.E0\TQY69>1eHYOLK^DPFZIE]O$O>R^[_334?kBSIJATJ^LPOCWE*A4XX]U8=:5aDUC@OZ@TJVEIYK K2^RW[1703gN_MNEPFR@\KGSA&M8T\YQ:169m@QGDCVLXNRAMUG,G6ZVSW?;:7cJ[ABI\BVDXGK_M"\?<;oFWEFMXNZHTCO[I.SGD56=iL]KHGRH\B^MAQC(RADk0bIZNCJ]JKGci5aDhlLAZIE]O$Z;6`KotvLAg=iLfCH _AEa8jAir|FOTCO[Ie:lGkprHMVEIYK Kf:lGkprHMVEIYK K1g9m@jssGLUDNXH!D337?kBh}}ENSBLZF/F1[URX88>0bIaztNG\KGSA&M8T\YQ>e:lGkprHMVEIYK ^119m@jssGLUDNXH!RDE6?kOWD;30bD^C2/RB@d=iAYF9SDLZF99mHAUXNZHh7cBKS^DPF+VFLm1e@I]PFR@\MGSA02dGH^QFOCa8jIBTW@EI"]OKb:lO@VYNGKe~xh5aLEQ\MJDh}}.DI<;4nMFP[LIEg|~%NXH@E/MVPa=iDMYTEBL`uu,Ga>hKLZUBCOazt/F2a>hKLZUBCOazt/F156=iDMYTEBL`uu,G6ZVSW9;87cBKS^KLFjss&M8T\YQ>129mHAUXAFHdyy K2^RW[7bhUIDF\IRAMUG,F\U723gXJAAYJ_N@VB+C_XVZ_S=?:;oPBIIQBWFH^J#KWP^RW[4723gXJAAYJ_N@VB+C_XVZ_S?<>;oPBIIQBWFH^J#KWP^vpmhtbfhgnhR`jt^g8jWGJD^OTCO[I.P58jWGJ]^Oi7c\NMTUF*UGCk2dYM@[XE^MAQCchUID_\IRAMUG,F\UYW\V;:96`]ALWTAZIE]O$NT]Q_T^021>hUID_\IRAMUG,F\UYW\V9:96`]ALWTAZIE]O$NT]Q_T^615>hUID_\IRAMUG,F\UYs{`gyicobee]maqYb3gXJAXYJ_N@VB+W33gXJ_55aR@Q-TDB>3gXJ_RAMUG`8jWGTWFH^J#Jl;oPBWZIE]O$O=n5aR@Q\KGSA&M8o7c\NS^MAQC(BPY;97c\NS^MAQC(BPYU[XR>>2:lQEVYHJ\L%IU^PPU]257=iZHYTCO[I.DZS[URX:880b_O\_N@VB+C_XVZ_S>?=;oPBWZIE]O$NT]Q_T^62a>hUIZUDNXH!EYR\pvojzldjahjPndv\f>hUIZUDNXH!Q59mV@U?3gXN_#^ND89mV@UXAK_M:6`]OLAPe>hUGDIX"]OKb:lQKHETWFH^Ji5aRNO@WZIE]O$Oi6`]OLAP[JDRN'N:i6`]OLAP[JDRN'N9j6`]OLAP[JDRN'OS\<:4nSMNGVYHJ\L%IU^PPU]351=iZFGH_RAMUG,F\UYW\V;:86`]OLAP[JDRN'OS\R^[_337?kTHEJYTCO[I.DZS[URX;8>0b_ABCR]LFP@)MQZT\YQ;219mVJKD[VEIYK JXQ]wwlkumgkfiiQaeu]g?kTHEJYTCO[I.P48jWIJ_Lk0b_ABWD,SEAdhUGD]NSBLZF/G[TZVSW9;?7c\@MVG\KGSA&LR[S]ZP1328jWIJ_LUDNXH!EYR\pvojzldjahjPndv\`>hUGD]NSBLZF/S;?kSC[VLXNn5aUEQ\BVD)XHNo7c[KS^DPFZOE]O20bXJ\_HMAg>hRLZUBCO _AE`8jPBTW@EIcxzj;oWGWZOHJf(BK>5:lV@VYNGKe~x#LZFNG-KPRc3g_O_RG@Bnww*Ac5aUEQ\MJDh}}$O>R^[_030?kSC[VCDNb{{.E0\TQY5l2d^H^QFOCmvp+Wa3g_O_RG@Bnww*WC@991eYI]PIN@lqq(SZZ^37cXBA^KLFf=i^DKTEBL!P@Fa?kPJIVCDNb{{e:lUIDYNGKe~x)AJd:lUIDYNGKe~x)_>5:lUIDYNGKe~x#LZFNG-KPRc3g\FMRG@Bnww*Ac5aVLC\MJDh}}$O>R^[_0f8jSKFW@EIcxz!Qg9mRHGXAFHdyy ]EF33?kPJIVCDNb{{.UPPP4ehQXHUM_Om4nWRB[CUE&YKOh6`YP@]EWGYHJ\Lm7cX_A^DPFZIE]O$O==5aVQC\BVDXGK_M"I?>0:lUTDYA[KUDNXH!D3d8jSVFWOYISBLZF/S;?kPWIVCDNn5aVQC\MJD)XHNo7cX_A^KLFZOE]O=0bZKPFR@a?kQBWOYI"]OKc:lTAZ@TJVCIYK:4n`of3>hbolnli:5orggc`?0:pbi*Iikl$O>R^[_233?wgj'Fdhi#J=_QV\0d=uid%Dbnk!Qb9qeh)Hfjo%^HI>9:pbi*IiklUdclrdcwaaYg{Ui7ob/SCN@LU6l2xja"\NMEKP5+Bb3{kf#_OBDHQ2*A7b3{kf#_OBDHQ2*A46;2xja"\NMEKP5+B5WY^T<<=4r`o,VDKCAZ;%H?Q_T^327>tfe&XJAIG\1/F1[URX:890~lc R@OGMV7)L;U[XR=>3:pbi*TFEMCX=#J=_QV\045<=4r`o,VDKCAZ8%H?Q_T^227>tfe&XJAIG\2/F1[URX9890~lc R@OGMV4)L;U[XR<>3:pbi*TFEMCX>#J=_QV\745:?6|nm.PBIAOT:'N9S]ZP5e9qeh)UIDNB_? ^f:pbi*TFEMCX>#\JG0a8vdk(ZHGOE^tfe&XJA_kndx,G6ZVSW<;?7ob/SCNV`gcq'N9S]ZP6d9qeh)UIDXnmiw!Q028vdk(ZHGYiljv.SGD=>tfe&XJ_HBm;scn+WGTME$Oo6|nm.PBW@J)L8i0~lc R@QFH+B5981ym`!]ARGO*A4XX]U;=<5}al-QEVCK&M8T\YQ>109qeh)UIZOG"Itfe&XJ_HB!Qe9qeh)UIZOG"_KHf:pbi*TTWOYFSKHk1038vdk(ZZUM_@QIFe3-@447:pbi*TTWOYFSKHk1/F1[URX88=0~lc RR]EWHYANm;%H?Q_T^323>tfe&XXSK]B_GDg5+B5WY^T><94r`o,VVYA[DUMJi?!D3]SPZ56?2xja"\\_GQN[C@c9'N9S]ZP4038vdk(ZZUM_@QIFe3-U451:pbi*TTWOYFSKHk2/F26>tfe&XXSK]B_GDg6+B69;1ym`!]S^DPIZ@Al;$O><94r`o,VVYA[DUMJi#J=_QV\7413:pbi*TTWOYFSKHk2/G[T72tfe&XXSK]B_GDg7+B59>1ym`!]S^DPIZ@Al:$O>R^[_134?wgj'[YTJ^CPFGf0*A4XX]U:=:5}al-QWZ@TEVLMh> K2^RW[7703{kf#_]PFRO\BCb4&M8T\YQ<169qeh)U[VLXARHId2,G6ZVSW=;<7ob/SQ\BVKXNOn8"I;scn+WUXNZGTJKj<.P30?wgj'[YTJ^CPFGf0*WC@:91ym`!]S^DPIZ@Al:UdclrdcwaaYg{U>7{ocudCDu1bnk1KLu<<8;D96?7|[8:m6>?k:029562?1h=1>?8=ezl062<63g994784$203>67b3tY:51;3915d|[8:m6>?k:029562?1h=1>?8=e:T066<5s|8857?4u31b>5=z,:;26?=9;%074?56k2h9?:4?:5c917c==9hpD>?7;%0eb?44?2Pn:7=t31810?512tcjh7>5$360>96h54i``94?"5<:02o6`=438e?>o?i3:1(?:<:8a8j7252110e5650;&106<>k2d98?46;:k;2?6=,;>864m4n361>d=1<7*=428:g>h5<;0i76g72;29 72420i0b?:=:b98m=6=83.98>46c:l1075$360>96=54i8c94?"5<:02o6`=4382?>o>03:1(?:<:8a8j7252;10e4850;&106<>k2d98?4<;:k:6?6=,;>864m4n361>1=h5<;0>76g7e;29 72420i0b?:=:798m=e=83.98>46c:l107<032c:;:k6f4<722c>:n4?::k6ga<722c:>:4?::k60=<722c>j94?::k:0?6=,;>864m4n361>40<3`3m6=4+2519=f=i:=81=854i`394?"5<:02o6`=43820>=ni:0;6)<;3;;`?k43:3;876gn5;29 72420i0b?:=:008?lg0290/>9=59b9m614=9810el750;&106<>k2d98?4>0:9j6c1=83.98>4=fc9m614=:21d9ik50;9l0ce=831d9;h50;9l1a6=831d9>650;9l605=83.98>4=569m614=j21d>8o50;&106<5=>1e>9<5a:9l60e=83.98>4=569m614=121d>8k50;&106<5=>1e>9<58:9l636=83.98>4=569m614=?21d>;<50;&106<5=>1e>9<56:9l632=83.98>4=569m614==21d>;850;&106<5=>1e>9<54:9l63>=83.98>4=569m614=;21d>;o50;&106<5=>1e>9<52:9l143=831d9:j50;9l1f4=831d9hj50;9l0`0=831d9?m50;9l11d=831d98;50;9l10`=831d9l=50;9l60>=83.98>4=569m614=821d>8;50;&106<5=>1e>9<51:9la<<722em97>5;n71e?6=3f>nj7>5;n73e?6=3f?357>5;n7:1?6=3f?i87>5;ccf>5<6290;w)==2;00b>N4911b>>h50;&067<5;o1/>>;5559'617===10qoj6:181>5<7s-99>7k:;I12<>o6jo0;6)==2;3ab>=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:0c8 0`e28k0qpsr;|`bg?6=93:1?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;3b?!3aj3;j7psr}:a76smb583>7<729q/??<5e49K74>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb82e>"2nk0:m6sr}|9~f=?=83;1<7>t$201>75a3A9:46g=3g83>!55:388j6*=34817>"5<809?65rbc194?4=83:p(><=:d78L67?3`;ij7>5$201>4da32e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga95d=#=oh1=l5r}|8yg>0290:6=4?{%116?44n2B8=55f22d94?"4:;09?k5+227967=#:=;1>?54}c`1>5<5290;w)==2;g6?M5602c:nk4?:%116?7en21d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io74g<,50z&067<5;o1C?<64i31e>5<#;;81>>h4$316>77<,;>:6??4;|`a5?6=:3:1N4911b=oh50;&067<6jo10c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?7f3-?mn7?n;|~y>{e0:0;6<4?:1y'774=::l0D>?7;h00b?6=,:896?=i;%001?023-8?=78:;:af5<72;0;6=u+3309a0=O;820ejo4>a:~yx=zj1;1<7?50;2x 6452;9m7E=>8:k17c<72-99>7<t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ:183!55:388j6F<199j66`=83.8>?4=3g9'663=;81/>9?53098ygb629096=4?{%116?c23A9:46g>bg83>!55:3;ij65`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28k0(8hm:0c8yx{z3th2n7>51;294~"4:;09?k5G30:8m75a290/??<522d8 7522;n0(?:>:3f8?xdc83:1>7>50z&0675<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;j7);ib;3b?x{zu2wi544?:083>5}#;;81>>h4H23;?l44n3:1(><=:31e?!44=38i7)<;1;0a?>{ek?0;6?4?:1y'774=m<1C?<64i0`e>5<#;;81=oh4;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn4950;394?6|,:896?=i;I12<>o5;o0;6)==2;00b>"5;<09m6*=4081e>=zjj?1<7<50;2x 6452l?0D>?7;h3ab?6=,:8967<7}#:<81>>k4V0``>7}#=oi1=l5+5g`95d=zutw0qo7<:182>5<7s-99>7<45+25396<=7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,4g?4=3g9K74>6?64$362>7><3thi;7>52;294~"4:;0n96F<199j5g`=83.8>?4>bg98k75b290/??<522g8R64328qG??851zT256<5s-8>>7<4<729q/??<522d8L67?3`88j7>5$201>75a3-8897<8;%075?4032win;4?:383>5}#;;81i85G30:8m4da290/??<51cd8?j44m3:1(><=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>a:&6bg<6i2wvqp5rb9f94?7=83:p(><=:31e?M5602c9?k4?:%116?44n2.9?84=6:&104<5>21vno;50;094?6|,:896h;4H23;?l7en3:1(><=:0`e?>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km51`9'1cd=9h1vqps4}c337?6=93:10583>7<729q/??<5e49K74>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb822>"2nk0::6sr}|9~f46229096=4?{%116?c23A9:46g>bg83>!55:3;ij65`22g94?"4:;09?h5G3338R64328qG??851zT256<5s-8>>7<<=:31e?M5602c9?k4?:%116?44n2.9?84<3:&104<4;21vn<>7:181>5<7s-99>7k:;I12<>o6jo0;6)==2;3ab>=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:048 0`e28<0qpsr;|`24<<72;0;6=u+3309a0=O;820e7}#:<81>>k4V0``>7}#=oi1=;5+5g`953=zutw0qo:ia;295?6=8r.8>?4=3g9K74>6>74$362>6?<3th?jo4?:383>5}#;;81i85G30:8m4da290/??<51cd8?j44m3:1(><=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>a:&6bg<6i2wvqp5rb4d;>5<6290;w)==2;00b>N4911b>>h50;&067<5;o1/>>;5349'617=;<10qo;i9;296?6=8r.8>?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;3b?!3aj3;j7psr}:a6cg=83;1<7>t$201>75a3A9:46g=3g83>!55:388j6*=3487a>"5<80?i65rb3d`>5<5290;w)==2;g6?M5602c:nk4?:%116?7en21d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io74g<,?7;h00b?6=,:896?=i;%001?003-8?=788;:a1g5=8381<7>t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ51;294~"4:;09?k5G30:8m75a290/??<522d8 7522:20(?:>:2:8?xd2>l0;6?4?:1y'774=m<1C?<64i0`e>5<#;;81=oh4;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn8mj:182>5<7s-99>7<52;294~"4:;0n96F<199j5g`=83.8>?4>bg98k75b290/??<522g8R64328qG??851zT256<5s-8>>7<<=:31e?M5602c9?k4?:%116?44n2.9?84=f:&104<5n21vn<>l:181>5<7s-99>7k:;I12<>o6jo0;6)==2;3ab>=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:0c8 0`e28k0qpsr;|`24a<72;0;6=u+3309a0=O;820e7}#:<81>>k4V0``>7}#=oi1=;5+5g`953=zutw0qo;=5;295?6=8r.8>?4=3g9K74>6874$362>0?<3th>>;4?:383>5}#;;81i85G30:8m4da290/??<51cd8?j44m3:1(><=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>a:&6bg<6i2wvqp5rb0`b>5<6290;w)==2;00b>N4911b>>h50;&067<5;o1/>>;5339'617=;;10qo?mb;296?6=8r.8>?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;3b?!3aj3;j7psr}:a13g=83;1<7>t$201>75a3A9:46g=3g83>!55:388j6*=3485<>"5<80=465rb44a>5<5290;w)==2;g6?M5602c:nk4?:%116?7en21d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io74g<,?7;h00b?6=,:896?=i;%001?303-8?=7;8;:a17?=8381<7>t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ51;294~"4:;09?k5G30:8m75a290/??<522d8 7522:4`8?xd25<#;;81=oh4;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn8h::182>5<7s-99>7<52;294~"4:;0n96F<199j5g`=83.8>?4>bg98k75b290/??<522g8R64328qG??851zT256<5s-8>>7<4<729q/??<522d8L67?3`88j7>5$201>75a3-88978n;%075?0f32wio94?:383>5}#;;81i85G30:8m4da290/??<51cd8?j44m3:1(><=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>a:&6bg<6i2wvqp5rb`294?7=83:p(><=:31e?M5602c9?k4?:%116?44n2.9?849b:&104<1j21vni<50;094?6|,:896h;4H23;?l7en3:1(><=:0`e?>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km51`9'1cd=9h1vqps4}cc1>5<6290;w)==2;00b>N4911b>>h50;&067<5;o1/>>;56b9'617=>j10qoj<:181>5<7s-99>7k:;I12<>o6jo0;6)==2;3ab>=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:0c8 0`e28k0qpsr;|`b0?6=93:1?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;3b?!3aj3;j7psr}:ae3<7280;6=u+330966`<@:;37d<7<729q/??<5e49K74>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb82e>"2nk0:m6sr}|9~fd>=83;1<7>t$201>75a3A9:46g=3g83>!55:388j6*=34803>"5<808;65rbe494?4=83:p(><=:d78L67?3`;ij7>5$201>4da32e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga95d=#=oh1=l5r}|8yggf290:6=4?{%116?44n2B8=55f22d94?"4:;09?k5+22797c=#:=;1?k54}cf4>5<5290;w)==2;g6?M5602c:nk4?:%116?7en21d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io74g<,?7;h00b?6=,:896?=i;%001?2a3-8?=7:i;:aag<72;0;6=u+3309a0=O;820ejo4>a:~yx=zj:183!55:388j6F<199l66`=83.8>?4=3g9'663==11/>9?55998yg3cm3:1?7>50z&067<5nl1C?<64i0`e>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;ij6X>bb81!3ak3;37);ib;3;?x{zu2c:==4?:%116?7682\8>94>{M112?7|^8;86?u+2409546<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm4gf94?7=83:p(><=:31e?M5602e9?k4?:%116?44n2.9?84:f:&104<2n21vn9hl:180>5<7s-99>71=vB<2782S76;38p(?;=:0`e?S7ek38p(8hl:0:8 0`e2820qpsr;h324?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,?7;n00b?6=,:896?=i;%001?3d3-8?=7;l;:a13`=8391<7>t$201>7`b3A9:46g>bg83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo;k1;295?6=8r.8>?4=3g9K74>68k4$362>0c<3th>h=4?:283>5}#;;81>kk4H23;?l7en3:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>bg9U5ge=:r.>jn4>8:&6bg<602wvqp5f10294?"4:;0:==5Y33695~J4:?0:w[?>3;0x 73528;;7[?mc;0x 0`d28;0(8hm:038yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f05>290:6=4?{%116?44n2B8=55`22d94?"4:;09?k5+227971=#:=;1?954}c70N4911b=oh50;&067<6jo10c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?7?3-?mn7?7;|~y>{e:<>1<7?50;2x 6452;9m7E=>8:m17c<72-99>7<bg83>!55:3;ij65`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d2820(8hm:0:8yx{z3th99o4?:083>5}#;;81>>h4H23;?j44n3:1(><=:31e?!44=3>>7)<;1;66?>{e:?7;h3ab?6=,:8967<7}#:<81>>k4V0``>7}#=oi1=55+5g`95==zutw0qo<:d;295?6=8r.8>?4=3g9K74>69=4$362>15<3th99n4?:383>5}#;;81i85G30:8m4da290/??<51cd8?j44m3:1(><=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>8:&6bg<602wvqp5rb37e>5<6290;w)==2;00b>N4911d>>h50;&067<5;o1/>>;5409'617=<810qo<:e;296?6=8r.8>?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;3;?!3aj3;37psr}:a637=83;1<7>t$201>75a3A9:46a=3g83>!55:388j6*=34874>"5<80?<65rb343>5<5290;w)==2;g6?M5602c:nk4?:%116?7en21d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io74><,:0;6<4?:1y'774=::l0D>?7;n00b?6=,:896?=i;%001?253-8?=7:=;:a634=8381<7>t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ51;294~"4:;09?k5G30:8k75a290/??<522d8 7522=>0(?:>:568?xd5>=0;6?4?:1y'774=m<1C?<64i0`e>5<#;;81=oh4;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn?88:182>5<7s-99>7<52;294~"4:;0n96F<199j5g`=83.8>?4>bg98k75b290/??<522g8R64328qG??851zT256<5s-8>>7<<=:31e?M5602e9?k4?:%116?44n2.9?84;8:&104<3021vn?87:181>5<7s-99>7k:;I12<>o6jo0;6)==2;3ab>=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:0:8 0`e2820qpsr;|`12g<7280;6=u+330966`<@:;37b<j76sm27c94?4=83:p(><=:d78L67?3`;ij7>5$201>4da32e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga95==#=oh1=55r}|8yg36>3:1=7>50z&067<5;o1C?<64o31e>5<#;;81>>h4$316>03<,;>:68;4;|`650<72;0;6=u+3309a0=O;820ejo4>8:~yx=zj<=n6=4>:183!55:388j6F<199l66`=83.8>?4=3g9'663=;l1/>9?53d98yg30l3:1>7>50z&0675<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;37);ib;3;?x{zu2wi9n=50;394?6|,:896?=i;I12<>i5;o0;6)==2;00b>"5;<08n6*=4080f>=zj7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,4>4<729q/??<522d8L67?3f88j7>5$201>75a3-889786;%075?0>32wi9hj50;094?6|,:896h;4H23;?l7en3:1(><=:0`e?>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5199'1cd=911vqps4}c6f3?6=93:17<729q/??<5e49K74>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb82<>"2nk0:46sr}|9~f04c290:6=4?{%116?44n2B8=55`22d94?"4:;09?k5+22797a=#:=;1?i54}c71g?6=:3:1N4911b=oh50;&067<6jo10c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?7?3-?mn7?7;|~y>{e==i1<7?50;2x 6452;9m7E=>8:m17c<72-99>7<bg83>!55:3;ij65`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d2820(8hm:0:8yx{z3th>9;4?:083>5}#;;81>>h4H23;?j44n3:1(><=:31e?!44=3<=7)<;1;45?>{e=?7;h3ab?6=,:8967<7}#:<81>>k4V0``>7}#=oi1=55+5g`95==zutw0qo;90;295?6=8r.8>?4=3g9K74>6;:4$362>32<3th>9k4?:383>5}#;;81i85G30:8m4da290/??<51cd8?j44m3:1(><=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>8:&6bg<602wvqp5rb4c7>5<6290;w)==2;00b>N4911d>>h50;&067<5;o1/>>;5509'617==810qo;n3;296?6=8r.8>?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;3;?!3aj3;37psr}:a60?=83;1<7>t$201>75a3A9:46a=3g83>!55:388j6*=34864>"5<80><65rb37;>5<5290;w)==2;g6?M5602c:nk4?:%116?7en21d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io74><,?7;n00b?6=,:896?=i;%001?2>3-8?=7:6;:a603=8381<7>t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ:183!55:388j6F<199l66`=83.8>?4=3g9'663=9?54b98ygc>29096=4?{%116?c23A9:46g>bg83>!55:3;ij65`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d2820(8hm:0:8yx{z3thm:7>51;294~"4:;09?k5G30:8k75a290/??<522d8 7522=h0(?:>:5`8?xda=3:1>7>50z&0675<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;37);ib;3;?x{zu2wi9?l50;394?6|,:896?=i;I12<>i5;o0;6)==2;00b>"5;<0>:6*=40862>=zj<8j6=4=:183!55:3o>7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,4>4<729q/??<522d8L67?3f88j7>5$201>75a3-88978?;%075?0732wi8hh50;094?6|,:896h;4H23;?l7en3:1(><=:0`e?>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5199'1cd=911vqps4}c73f?6=93:17<729q/??<5e49K74>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb82<>"2nk0:46sr}|9~f0>f290:6=4?{%116?44n2B8=55`22d94?"4:;09?k5+227917=#:=;19?54}c7;=?6=:3:1N4911b=oh50;&067<6jo10c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?7?3-?mn7?7;|~y>{e=0<1<7?50;2x 6452;9m7E=>8:m17c<72-99>7<bg83>!55:3;ij65`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d2820(8hm:0:8yx{z3th>n84?:083>5}#;;81>>h4H23;?j44n3:1(><=:31e?!44=39h7)<;1;1`?>{e=k>1<7<50;2x 6452l?0D>?7;h3ab?6=,:8967<7}#:<81>>k4V0``>7}#=oi1=55+5g`95==zutw0qo;j2;296?6=8r.8>?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;32?!3aj3;:7psr}:a1`6=8381<7>t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ52;294~"4:;0n96F<199j5g`=83.8>?4>bg98k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm5d194?4=83:p(><=:d78L67?3`;ij7>5$201>4da32e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg3bk3:1?7>50z&067<49o1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga95d=#=oh1=l5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm5dc94?0=83:p(><=:0`g?M5602.:i=4>f:k2fc<72-99>7?mf:T061<6sE99:7?tV317>7}#:<81=oh4V0``>7}#=oi1=<5+5g`954=zutw0eh950;&06794>{M112?7|^;9?6?u+2409a2=Q9ki1>v*:fb825>"2nk0:=6sr}|9ja=<72-99>7k7;W110?4|D:8=6bb81!3ak3;87);ib;30?x{zD:8>69uY2gf97~P69809w);ia;g;?!5?j3?mo6sY10096~"2nh0:nk5+39`91ce`><,:2i68hl;|T257<5s-?mm7?mf:&0:3y'1cg=m11/?5l55ga8yS76:38p(8hn:d58 6>e2{Q:<;1>vX>1081!3ai3o37)=7b;7eg>{#>?h1=h5r}|9j6c0=83.8>?4=f79U772=:rF8>;4>{W000?4|,;?96?h9;W3ag?4|,4b7`13-93n7;ic:'23d=9m1vqp5f2dd94?"4:;09ik5Y33696~J4:?0:w[<<4;0x 7352;om7[?mc;0x 0`d28n0(8hm:0f8yx{K;;?1=vX<1c81S76938p(8hn:3ge?!5?j3?mo6s+67`95a=zut1d>>k50;&067<5;l10qo?me;295?6=8r.8>?4<289K74>5}#;;81>>m4H23;?j44m3:1(><=:31f?>{e=l>1<7=50;2x 6452:;m7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=<5+5g`954=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?763-?mn7?>;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c7f1?6=93:12<729q/??<57d9K74>jo4>c:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7d3-?mn7?l;|~y>o6kj0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74ed3_;io74e<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga95f=#=oh1=n5r}|8m4bf290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`d=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5ad=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo;j8;2954<729q/??<57d9K74>P6jj09w);ic;3`?!3aj3;h7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=n5+5g`95f=zutw0e<;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?7d3-?mn7?l;|~y>o6l>0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e58R4dd2;q/9km51b9'1cd=9j1vqps4i0fb>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>d`9U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1e`94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?kb:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>db83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;3`?!3aj3;h7psr}:k2``<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=ik4V0``>7}#=oi1=n5+5g`95f=zutw0e<;:0yO770=9r\:=>4={%066?7cn2\:nn4={%7eg?763-?mn7?>;|~y>o6k;0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b08R4dd2;q/9km5109'1cd=981vqps4i0a0>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h?6X>bb81!3ak3;:7);ib;32?x{zu2c:o94?:%116?7en2\8>94>{M112?7|^8;86?u+24095f2<^8hh6?u+5ga954=#=oh1=<5r}|8m4e2290/??<51cd8R64328qG??851zT256<5s-8>>7?l5:T2ff<5s-?mo7?>;%7ef?763twvq6g>c783>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo;j6;297?6=8r.8>?4=3e9K74>P6jj09w);ic;32?!3aj3;:7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=<5+5g`954=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e=lh1<7=50;2x 6452>o0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5159'1cd=9=1vqps4i0a2>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>4:&6bg<6<2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th><=4?:383>5}#;;81i85G30:8m4da290/??<51cd8?j44m3:1(><=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb5df>5<5290;w)==2;g6?M5602c:nk4?:%116?7en21d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,5<#;;81=oh4;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn8>>:181>5<7s-99>7k:;I12<>o6jo0;6)==2;3ab>=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`64=<72?0;6=u+33095gb<@:;37)?j0;3e?l7en3:1(><=:0`e?S55<3;p@><9:0yU662=:r.99?4>bg9U5ge=:r.>jn4>1:&6bg<692wvqp5fe683>!55:3o<7[==4;3xH64128q]>>:52z&117;|~y>ob03:1(><=:d:8R6432;qG??851zT171<5s-8>>7k7;W3ag?4|,45`><,:2i68hl;|T257<5s-?mm7?mf:&0:3y'1cg=m11/?5l55ga8yS76:38p(8hn:0`e?!5?j3?mn6s+67`95<=z^;lo6>uY10396~"2nh0n46*<8c86bf=z^8;96?u+5gc9a2=#;1h19km4}%45f?753t\99<4={W325?4|,0`d3t.=:o4>e:~y>o5n?0;6)==2;0e2>P4:=09wA==6;3xR7532;q/>8<52g48R4dd2;q/9km51e9'1cd=9m1vqpB<2482S56j38pZ:3y'1cg=:o<0(>6m:4d`?x"1>k0:h6sr}:k1ac<72-99>77}#:<81>hh4V0``>7}#=oi1=i5+5g`95a=zutF8>84>{W12f?4|^8;:6?u+5gc96``<,:2i68hl;|&52g<6l2wvq6a=3d83>!55:388i65rb421>5<4290;w)==2;12b>N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>1:&6bg<692wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th><>4?:083>5}#;;81??74H23;?j44m3:1(><=:31f?>{e=9?1<7=50;2x 6452>o0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;h7);ib;3`?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg37>3:1?7>50z&067<0m2B8=55f1b294?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>c083>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,4ejo4>1:~yx=zj<:?6=4<:183!55:388h6F<199j5f6=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`64<<72:0;6=u+33093`=O;820e<>;W110?7|D:8=6{zut1b=n?50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;?7);ib;37?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg3093:1>7>50z&0675<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi9:<50;094?6|,:896h;4H23;?l7en3:1(><=:0`e?>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c747?6=:3:1N4911b=oh50;&067<6jo10c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e=>h1<7850;2x 64528ho7E=>8:&2a5<6n2c:nk4?:%116?7en2\8>94>{M112?7|^;9?6?u+24095g`<^8hh6?u+5ga954=#=oh1=<5r}|8m`1=83.8>?4j7:T061<6sE99:7?tV317>7}#:<81i:5Y1ca96~"2nj0:=6*:fc825>{zut1bi54?:%116?c?3_99874}Q::>1>v*=538f<>P6jj09w);ic;30?!3aj3;87psrL206>1}Q:on1?vX>1081!3ai3o37)=7b;7eg>{Q9881>v*:f`82fc=#;1h19km4}%45f?7>3t\9ji4<{W325?4|,0`d3t\:=?4={%7ee?7en2.84o4:fc9~ 30e2830q[2;0x 0`f2l=0(>6m:4d`?x"1>k0:>6sY24396~P69809w);ia;g;?!5?j3?mo6s+67`95`=zut1b>k850;&067<5n?1]??:52zN063<6s_88877`13_;io74b<,1>vB<2782S44<38p(?;=:3ge?S7ek38p(8hl:0f8 0`e28n0qpsC33795~P49k09w[?>1;0x 0`f2;om7)=7b;7eg>{#>?h1=i5r}|9l66c=83.8>?4=3d98yg30<3:1?7>50z&067<49o1C?<64i0a3>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>1:&6bg<692wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28;0(8hm:038yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f012290:6=4?{%116?5512B8=55`22g94?"4:;09?h54}c743?6=<3:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io74e<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1ba94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28i0(8hm:0a8yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f01?290?6=4?{%116?1b3A9:46g>c183>!55:3;ij6X<2582I55>3;pZjo4>c:~yx=n9ji1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:0a8 0`e28i0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn896:186>5<7s-99>79j;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io74e<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1ba94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;o;7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a120=83>1<7>t$201>75c3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2gf<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nm4V0``>7}#=oi1=<5+5g`954=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e=>i1<7=50;2x 6452>o0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5159'1cd=9=1vqps4i0a2>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>4:&6bg<6<2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th>h?4?:383>5}#;;81i85G30:8m4da290/??<51cd8?j44m3:1(><=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb4f0>5<5290;w)==2;g6?M5602c:nk4?:%116?7en21d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,5<#;;81=oh4;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn8jl:185>5<7s-99>7?md:J05==#9l:1=k5f1cd94?"4:;0:nk5Y33695~J4:?0:w[<<4;0x 73528hm7[?mc;0x 0`d28;0(8hm:038yx{z3`o<6=4+3309a2=Q;;>1=vB<2782S44<38p(?;=:d58R4dd2;q/9km5109'1cd=981vqps4id:94?"4:;0n46X<2581I55>3;pZ?=;:3y'604=m11]=om52z&6bf<6;2.>jo4>3:~yI55=3>pZ?hk:2yU547=:r.>jl4j8:&06m:4d`?x"1>k0:56sY2gf97~P69809w);ia;g;?!5?j3?mo6sY10096~"2nh0:nk5+39`91cd`><,:2i68hl;|T257<5s-?mm7k8;%1;f?3ak2w/:;l5139~R7362;q]=5<#;;81>k84V207>7}K;;<1=vX=3581!42:38m:6X>bb81!3ak3;o7);ib;3g?x{zD:8>6"40k0>jn5r$74a>4b<;:3yO770=9r\9?94={%066?4bn2\:nn4={%7eg?7c3-?mn7?k;|~H64228q]?h84?:283>5}#;;81?<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6a=3d83>!55:388i6X<2582I55>3;pZ51;294~"4:;08>45G30:8k75b290/??<522g8?xd2l10;694?:1y'774=?l1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;h7);ib;3`?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga95f=#=oh1=n5r}|8m4ed290/??<51cd8R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?l;%7ef?7d3twvq6a=3d83>!55:388i6X<2582I55>3;pZ54;294~"4:;0?4>bg9U772=9rF8>;4>{W327?4|,;?964e7}#:<81=n?4V0``>7}#=oi1=n5+5g`95f=zutw0e<;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?7d3-?mn7?l;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c7ge?6==3:1N4911b=n>50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;h7);ib;3`?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga95f=#=oh1=n5r}|8m4ed290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5a1=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964ejo4>1:~yx=zj?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9ji1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:038 0`e28;0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn8jk:180>5<7s-99>79j;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io742<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga951=#=oh1=95r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm61194?4=83:p(><=:d78L67?3`;ij7>5$201>4da32e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg07m3:1?7>50z&067<49o1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga95d=#=oh1=l5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm61f94?0=83:p(><=:0`g?M5602.:i=4>f:k2fc<72-99>7?mf:T061<6sE99:7?tV317>7}#:<81=oh4V0``>7}#=oi1=<5+5g`954=zutw0eh950;&06794>{M112?7|^;9?6?u+2409a2=Q9ki1>v*:fb825>"2nk0:=6sr}|9ja=<72-99>7k7;W110?4|D:8=6bb81!3ak3;87);ib;30?x{zD:8>69uY2gf97~P69809w);ia;g;?!5?j3?mo6sY10096~"2nh0:nk5+39`91ce`><,:2i68hl;|T257<5s-?mm7?mf:&0:3y'1cg=m11/?5l55ga8yS76:38p(8hn:d58 6>e2{Q:<;1>vX>1081!3ai3o37)=7b;7eg>{#>?h1=h5r}|9j6c0=83.8>?4=f79U772=:rF8>;4>{W000?4|,;?96?h9;W3ag?4|,4b7`13-93n7;ic:'23d=9m1vqp5f2dd94?"4:;09ik5Y33696~J4:?0:w[<<4;0x 7352;om7[?mc;0x 0`d28n0(8hm:0f8yx{K;;?1=vX<1c81S76938p(8hn:3ge?!5?j3?mo6s+67`95a=zut1d>>k50;&067<5;l10qo8?4;297?6=8r.8>?4<1g9K74>P6jj09w);ic;32?!3aj3;:7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=<5+5g`954=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e>9?1<7?50;2x 6452:827E=>8:m17`<72-99>7<c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;3`?!3aj3;h7psr}:k2gf<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:0a8 0`e28i0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn;>7:18:>5<7s-99>79j;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io740<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga953=#=oh1=;5r}|8m4ed290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb822>"2nk0::6sr}|9j5a1=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:k2`d<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=io4V0``>7}#=oi1=n5+5g`95f=zutw0e<>;W110?7|D:8=6{zut1b=im50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;oo6X>bb81!3ak3;h7);ib;3`?x{zu2c:hi4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528no7[?mc;0x 0`d28i0(8hm:0a8yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f36>29026=4?{%116?1b3A9:46g>c183>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96407}#:<81=n?4V0``>7}#=oi1=;5+5g`953=zutw0e<>;W110?7|D:8=6{zut1b=i950;&067<6jo1]??:51zN063<6s_;:?74b03_;io74e<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d`9U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1e`94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?kb:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>db83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964e7}#:<81=ij4V0``>7}#=oi1=n5+5g`95f=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e>9k1<7?>:183!55:3=n7E=>8:k2g5<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:048 0`e28<0qpsr;h3`5?6=,:896{zut1b=nm50;&067<6jo1]??:51zN063<6s_;:?74ed3_;io740<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga953=#=oh1=;5r}|8m4bf290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`d=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5ad=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:k2`f<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0f`?S7ek38p(8hl:0a8 0`e28i0qpsr;h3g`?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ef8R4dd2;q/9km51b9'1cd=9j1vqps4i0ff>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>dd9U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1ed94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528nm7[?mc;0x 0`d28;0(8hm:038yx{z3`;h>7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g7=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f5=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9j?1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a6?S7ek38p(8hl:038 0`e28;0qpsr;h3`2?6=,:896{zut1b=n950;&067<6jo1]??:51zN063<6s_;:?74e03_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb72a>5<693:1N4911b=n>50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;=7);ib;35?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga953=#=oh1=;5r}|8m4ed290/??<51cd8R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?9;%7ef?713twvq6g>d683>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964ejo4>c:~yx=n9mh1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7cj2\:nn4={%7eg?7d3-?mn7?l;|~y>o6lj0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74bd3_;io74e<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ab<^8hh6?u+5ga95f=#=oh1=n5r}|8m4bb290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382``=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5a`=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9j91<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a0?S7ek38p(8hl:038 0`e28;0qpsr;h3`0?6=,:896{zut1b=n;50;&067<6jo1]??:51zN063<6s_;:?74e23_;io747<,3:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c79U5ge=:r.>jn4>1:&6bg<692wvqp5f1b594?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i<7[?mc;0x 0`d28;0(8hm:038yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f361290=6=4?{%116?44l2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28;0(8hm:038yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5fe=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96471]=om52z&6bf<692.>jo4>1:~yx=n9mk1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0fb?S7ek38p(8hl:038 0`e28;0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn>88:181>5<7s-99>7k:;I12<>o6jo0;6)==2;3ab>=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`02`<72?0;6=u+33095gb<@:;37)?j0;3e?l7en3:1(><=:0`e?S55<3;p@><9:0yU662=:r.99?4>bg9U5ge=:r.>jn4>1:&6bg<692wvqp5fe683>!55:3o<7[==4;3xH64128q]>>:52z&117;|~y>ob03:1(><=:d:8R6432;qG??851zT171<5s-8>>7k7;W3ag?4|,45`><,:2i68hl;|T257<5s-?mm7?mf:&0:3y'1cg=m11/?5l55ga8yS76:38p(8hn:0`e?!5?j3?mn6s+67`95<=z^;lo6>uY10396~"2nh0n46*<8c86bf=z^8;96?u+5gc9a2=#;1h19km4}%45f?753t\99<4={W325?4|,0`d3t.=:o4>e:~y>o5n?0;6)==2;0e2>P4:=09wA==6;3xR7532;q/>8<52g48R4dd2;q/9km51e9'1cd=9m1vqpB<2482S56j38pZ:3y'1cg=:o<0(>6m:4d`?x"1>k0:h6sr}:k1ac<72-99>77}#:<81>hh4V0``>7}#=oi1=i5+5g`95a=zutF8>84>{W12f?4|^8;:6?u+5gc96``<,:2i68hl;|&52g<6l2wvq6a=3d83>!55:388i65rb24;>5<4290;w)==2;12b>N4911b=n>50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm37;94?7=83:p(><=:20:?M5602e9?h4?:%116?44m21vn>8m:180>5<7s-99>79j;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io74e<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga95f=#=oh1=n5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm37a94??=83:p(><=:6g8L67?3`;h<7>5$201>4da3A99=6X<2582I55>3;pZjo4>c:~yx=n9ji1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?7d3-?mn7?l;|~y>o6l>0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74b03_;io74e<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ag<^8hh6?u+5ga95f=#=oh1=n5r}|8m4be290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`g=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5ae=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:k2`a<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0fg?S7ek38p(8hl:0a8 0`e28i0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn>8n:180>5<7s-99>7<1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:038 0`e28;0qpsr;h3`5?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,5<#;;81=oh4;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn9j?:185>5<7s-99>7?md:J05==#9l:1=k5f1cd94?"4:;0:nk5Y33695~J4:?0:w[<<4;0x 73528hm7[?mc;0x 0`d28;0(8hm:038yx{z3`o<6=4+3309a2=Q;;>1=vB<2782S44<38p(?;=:d58R4dd2;q/9km5109'1cd=981vqps4id:94?"4:;0n46X<2581I55>3;pZ?=;:3y'604=m11]=om52z&6bf<6;2.>jo4>3:~yI55=3>pZ?hk:2yU547=:r.>jl4j8:&06m:4d`?x"1>k0:56sY2gf97~P69809w);ia;g;?!5?j3?mo6sY10096~"2nh0:nk5+39`91cd`><,:2i68hl;|T257<5s-?mm7k8;%1;f?3ak2w/:;l5139~R7362;q]=5<#;;81>k84V207>7}K;;<1=vX=3581!42:38m:6X>bb81!3ak3;o7);ib;3g?x{zD:8>6"40k0>jn5r$74a>4b<;:3yO770=9r\9?94={%066?4bn2\:nn4={%7eg?7c3-?mn7?k;|~H64228q]?5}#;;81?<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6a=3d83>!55:388i6X<2582I55>3;pZh:7>51;294~"4:;08>45G30:8k75b290/??<522g8?xd3k10;6>4?:1y'774=?l1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm4b;94?5=83:p(><=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,47jo4>1:~yx=zj=ij6=4<:183!55:3=n7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=;5+5g`953=zutw0e:18'774=9kl0D><>;W110?7|D:8=6{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;=7);ib;35?x{zu2c:o<4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;ho7>5$201>4da3_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb82g>"2nk0:o6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,471<729q/??<57d9K74>P6jj09w);ic;35?!3aj3;=7psr}:k2g4<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:0a8 0`e28i0qpsr;h3`g?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;=7);ib;35?x{zu2c:o<4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;ho7>5$201>4da3_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb82g>"2nk0:o6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,471<729q/??<57d9K74>jo4>c:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:0a8 0`e28i0qpsr;h3`g?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km51b9'1cd=9j1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi8n950;:94?6|,:896?=k;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5109'1cd=981vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;:7);ib;32?x{zu2c:on4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga954=#=oh1=<5r}|8m4b0290/??<51cd8R64328qG??851zT256<5s-8>>7?k7:T2ff<5s-?mo7?>;%7ef?763twvq6g>d`83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`f<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=im4V0``>7}#=oi1=<5+5g`954=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e;1>1<7<50;2x 6452l?0D>?7;h3ab?6=,:8967<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo=7a;292?6=8r.8>?4>be9K74><,8o;65<#;;81=oh4V207>4}K;;<1=vX=3581!42:3;ij6X>bb81!3ak3;:7);ib;32?x{zu2cn;7>5$201>`1<^:8?65<#;;81i55Y33696~J4:?0:w[<<4;0x 7352l20Z2;0x 0`f28hm7)=7b;7eg>{#>?h1=45rV3dg>6}Q98;1>v*:f`8f<>"40k0>jn5rV031>7}#=ok1=oh4$2:a>0`e3t.=:o4>9:U6cb=;r\:=<4={%7ee?c?3-93n7;ic:U544=:r.>jl4j7:&0:3y'1cg=m11/?5l55ga8y!01j3;n7psr;h0e2?6=,:896?h9;W110?4|D:8=6{zuE9997?tV23a>7}Q98;1>v*:f`81b3=#;1h19km4}%45f?7c3twv7d>:52z&117<5mo1]=om52z&6bf<6l2.>jo4>d:~yI55=3;pZ>?m:3yU547=:r.>jl4=eg9'7=d==oi0q)89b;3g?x{z3f88i7>5$201>75b32wi?5850;194?6|,:896>?i;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5109'1cd=981vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;:7);ib;32?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg5??3:1=7>50z&067<4:01C?<64o31f>5<#;;81>>k4;|`0<=<72:0;6=u+33093`=O;820e<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?7d3-?mn7?l;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km51b9'1cd=9j1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi?5;50;194?6|,:896:k4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1b394?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?l;%7ef?7d3twvq6a=3d83>!55:388i6X<2582I55>3;pZ7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,47?4<1g9K74>P6jj09w);ic;32?!3aj3;:7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=l5+5g`95d=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{em:0;6;4?:1y'774=9kn0D>?7;%3f4?7a3`;ij7>5$201>4da3_9987?tL205>4}Q::>1>v*=5382fc=Q9ki1>v*:fb825>"2nk0:=6sr}|9ja2<72-99>7k8;W110?7|D:8=6bb81!3ak3;:7);ib;32?x{zu2cn47>5$201>`><^:8?6?uC33495~P5;=09w)<:2;g;?S7ek38p(8hl:018 0`e2890qpsC33790~P5nm08w[?>1;0x 0`f2l20(>6m:4d`?xP69;09w);ia;3ab>"40k0>jn5r$74a>4?7}#=ok1i55+39`91ce74da3-93n7;ib:'23d=901vZ?hk:2yU547=:r.>jl4j8:&01/?5l55ga8y!01j3;97pX=5081S76938p(8hn:d:8 6>e2{zu2c9j;4?:%116?4a>2\8>94={M112?7|^;9?6?u+24096c0<^8hh6?u+5ga95a=#=oh1=i5r}|N060<6s_9:n77}#=ok1>k84$2:a>0`d3t.=:o4>d:~y>o5mo0;6)==2;0fb>P4:=09wA==6;3xR7532;q/>8<52dd8R4dd2;q/9km51e9'1cd=9m1vqpB<2482S56j38pZ:3y'1cg=:ll0(>6m:4d`?x"1>k0:h6sr}:m17`<72-99>7<t$201>67a3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qojl:182>5<7s-99>7==9:J05==h::o1<7*<23817`=8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=;5+5g`953=zutw0e:18'774=9kl0D><>;W110?7|D:8=6{zut1b=nm50;&067<6jo1]??:51zN063<6s_;:?74ed3_;io74e<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rbed94?1=83:p(><=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5f7=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:k2gf<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nm4V0``>7}#=oi1=n5+5g`95f=zutw0e<;:0yO770=9r\:=>4={%066?7c?2\:nn4={%7eg?7d3-?mn7?l;|~y>o6lh0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74bf3_;io74e<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ad<^8hh6?u+5ga95f=#=oh1=n5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sme183>=<729q/??<57d9K74>2.>jo4>6:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:0a8 0`e28i0qpsr;h3`g?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km51b9'1cd=9j1vqps4i0f4>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;h7);ib;3`?x{zu2c:hl4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528nj7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;on7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;3`?!3aj3;h7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qok>:18;>5<7s-99>79j;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km51b9'1cd=9j1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;h7);ib;3`?x{zu2c:on4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;o;7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`2=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5ag=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:k2`g<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0fa?S7ek38p(8hl:0a8 0`e28i0qpsr;h3gg?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,50z&067<5;m1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga954=#=oh1=<5r}|8m4ed290/??<51cd8R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?>;%7ef?763twvq6g>d683>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a647=8381<7>t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ53;294~"4:;08=k5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?>;%7ef?763twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a64b=83<1<7>t$201>4dc3A9:46*>e182b>o6jo0;6)==2;3ab>P4:=0:wA==6;3xR7532;q/>8<51cd8R4dd2;q/9km5109'1cd=981vqps4id594?"4:;0n;6X<2582I55>3;pZ?=;:3y'604=m>1]=om52z&6bf<692.>jo4>1:~yx=nm10;6)==2;g;?S55<38p@><9:0yU662=:r.99?4j8:T2ff<5s-?mo7?<;%7ef?743twv@><::5yU6cb=;r\:=<4={%7ee?c?3-93n7;ic:U544=:r.>jl4>bg9'7=d==oi0q)89b;3:?xP5nm08w[?>1;0x 0`f2l20(>6m:4d`?xP69;09w);ia;3ab>"40k0>jo5r$74a>4?7}#=ok1i55+39`91ce7`1<,:2i68hl;|&52g<6:2w]>8?52zT254<5s-?mm7k7;%1;f?3ak2w/:;l51d9~yx=n:o<1<7*<2381b3=Q;;>1>vB<2782S44<38p(?;=:3d5?S7ek38p(8hl:0f8 0`e28n0qpsC33795~P49k09w[?>1;0x 0`f2;l=7)=7b;7eg>{#>?h1=i5r}|9j6``=83.8>?4=eg9U772=:rF8>;4>{W000?4|,;?96?ki;W3ag?4|,4b7ca3-93n7;ic:'23d=9m1vqp5`22g94?"4:;09?h54}c026?6=;3:1<>;W110?7|D:8=6{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb330>5<6290;w)==2;11=>N4911d>>k50;&067<5;l10qo<>5;297?6=8r.8>?48e:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:038 0`e28;0qpsr;h3`5?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,4?:1y'774=?l1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm20594?2=83:p(><=:6g8L67?3`;h<7>5$201>4da3A99=6X<2582I55>3;pZ2.>jo4>6:~yx=n9ji1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:0a8 0`e28i0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn??7:186>5<7s-99>79j;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io740<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga953=#=oh1=;5r}|8m4ed290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5a1=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964ejo4>1:~yx=zj;;26=4::183!55:3=n7E=>8:k2g5<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:048 0`e28<0qpsr;h3`5?6=,:896{zut1b=nm50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;=7);ib;35?x{zu2c:h:4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga95f=#=oh1=n5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm20c94?0=83:p(><=:6g8L67?3`;h<7>5$201>4da3A99=6X<2582I55>3;pZ2.>jo4>6:~yx=n9ji1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?713-?mn7?9;|~y>o6l>0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e58R4dd2;q/9km5179'1cd=9?1vqps4i0fb>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;om6X>bb81!3ak3;h7);ib;3`?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg46j3:1:7>50z&067<0m2B8=55f1b294?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?9;%7ef?713twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;35?!3aj3;=7psr}:k2`2<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i94V0``>7}#=oi1=n5+5g`95f=zutw0e<;:0yO770=9r\:=>4={%066?7ci2\:nn4={%7eg?7d3-?mn7?l;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c020?6=03:1<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?763-?mn7?>;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5109'1cd=981vqps4i0a`>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;:7);ib;32?x{zu2c:h:4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga954=#=oh1=<5r}|8m4bf290/??<51cd8R64328qG??851zT256<5s-8>>7?ka:T2ff<5s-?mo7?>;%7ef?763twvq6g>dc83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo=89;296?6=8r.8>?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;32?!3aj3;:7psr}:a7=5=8391<7>t$201>67a3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;3b?!3aj3;j7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo=72;297?6=8r.8>?4=3e9K74>P6jj09w);ic;32?!3aj3;:7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=<5+5g`954=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e;1:1<7850;2x 64528ho7E=>8:&2a5<6n2c:nk4?:%116?7en2\8>94>{M112?7|^;9?6?u+24095g`<^8hh6?u+5ga954=#=oh1=<5r}|8m`1=83.8>?4j7:T061<6sE99:7?tV317>7}#:<81i:5Y1ca96~"2nj0:=6*:fc825>{zut1bi54?:%116?c?3_99874}Q::>1>v*=538f<>P6jj09w);ic;30?!3aj3;87psrL206>1}Q:on1?vX>1081!3ai3o37)=7b;7eg>{Q9881>v*:f`82fc=#;1h19km4}%45f?7>3t\9ji4<{W325?4|,0`d3t\:=?4={%7ee?7en2.84o4:fc9~ 30e2830q[2;0x 0`f2l=0(>6m:4d`?x"1>k0:>6sY24396~P69809w);ia;g;?!5?j3?mo6s+67`95`=zut1b>k850;&067<5n?1]??:52zN063<6s_88877`13_;io74b<,1>vB<2782S44<38p(?;=:3ge?S7ek38p(8hl:0f8 0`e28n0qpsC33795~P49k09w[?>1;0x 0`f2;om7)=7b;7eg>{#>?h1=i5r}|9l66c=83.8>?4=3d98yg50i3:1?7>50z&067<49o1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm36`94?7=83:p(><=:20:?M5602e9?h4?:%116?44m21vn>9k:184>5<7s-99>79j;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io740<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1ba94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?9;%7ef?713twvq6g>d683>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96407}#:<81=io4V0``>7}#=oi1=;5+5g`953=zutw0e<>;W110?7|D:8=6{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28<0(8hm:048yx{z3`;ho7>5$201>4da3A99=6X<2582I55>3;pZ1]=om52z&6bf<6>2.>jo4>6:~yx=n9mk1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0fb?S7ek38p(8hl:0a8 0`e28i0qpsr;h3gf?6=,:896P4:=0:wA==6;3xR4742;q/>8<51e`8R4dd2;q/9km51b9'1cd=9j1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi?:m50;194?6|,:896?=k;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5109'1cd=981vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;:7);ib;32?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg5?93:1?7>50z&067<0m2B8=55f1b294?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?9;%7ef?713twvq6g>c083>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,40jo4>1:~yx=zj8li6=4=:183!55:3o>7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,473<729q/??<51cf8L67?3-;n<7?i;h3ab?6=,:896{zut1bi:4?:%116?c03_9987?tL205>4}Q::>1>v*=538f3>P6jj09w);ic;32?!3aj3;:7psr}:kf7}K;;<1=vX=3581!42:3o37[?mc;0x 0`d2890(8hm:018yx{K;;?18vX=fe80S76938p(8hn:d:8 6>e21381!3ai3;ij6*<8c86bf=z,?1;0x 0`f2l20(>6m:4d`?x"1>k0:i6sr}:k1b3<72-99>77}#:<81>k84V0``>7}#=oi1=i5+5g`95a=zutF8>84>{W12f?4|^8;:6?u+5gc96c0<,:2i68hl;|&52g<6l2wvq6g=eg83>!55:38nj6X<2581I55>3;pZ?=;:3y'604=:ll0Zb;0xR4762;q/9ko52dd8 6>e2{zu2e9?h4?:%116?44m21vn5<7s-99>7=>f:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:038 0`e28;0qpsr;h3`5?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,?7;n00a?6=,:896?=j;:a656=8391<7>t$201>2c<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<6k2.>jo4>c:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?713-?mn7?9;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c035?6=;3:1N4911b=n>50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;h7);ib;3`?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga95f=#=oh1=n5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm1gd94?5=83:p(><=:31g?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6a=3d83>!55:388i6X<2582I55>3;pZ53;294~"4:;0?4>bg9U772=9rF8>;4>{W327?4|,;?964e7}#:<81=n?4V0``>7}#=oi1=n5+5g`95f=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e:8l1<7<50;2x 6452l?0D>?7;h3ab?6=,:8967<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo<=7;292?6=8r.8>?4>be9K74><,8o;65<#;;81=oh4V207>4}K;;<1=vX=3581!42:3;ij6X>bb81!3ak3;:7);ib;32?x{zu2cn;7>5$201>`1<^:8?65<#;;81i55Y33696~J4:?0:w[<<4;0x 7352l20Z2;0x 0`f28hm7)=7b;7eg>{#>?h1=45rV3dg>6}Q98;1>v*:f`8f<>"40k0>jn5rV031>7}#=ok1=oh4$2:a>0`e3t.=:o4>9:U6cb=;r\:=<4={%7ee?c?3-93n7;ic:U544=:r.>jl4j7:&0:3y'1cg=m11/?5l55ga8y!01j3;n7psr;h0e2?6=,:896?h9;W110?4|D:8=6{zuE9997?tV23a>7}Q98;1>v*:f`81b3=#;1h19km4}%45f?7c3twv7d>:52z&117<5mo1]=om52z&6bf<6l2.>jo4>d:~yI55=3;pZ>?m:3yU547=:r.>jl4=eg9'7=d==oi0q)89b;3g?x{z3f88i7>5$201>75b32wi>??50;194?6|,:896>?i;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5109'1cd=981vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;:7);ib;32?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg45:3:1=7>50z&067<4:01C?<64o31f>5<#;;81>>k4;|`161<72:0;6=u+33093`=O;820e<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?7d3-?mn7?l;|~y>o6k80;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e63_;io740<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb306>5<4290;w)==2;5f?M5602c:o=4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82g>"2nk0:o6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,476<729q/??<522f8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,47jo4>1:~yx=zj;8;6=4<:183!55:3=n7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=n5+5g`95f=zutw0e:18'774=9kl0D><>;W110?7|D:8=6{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,5<#;;81=oh4;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn>>6:180>5<7s-99>7=>f:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:038 0`e28;0qpsr;h3`5?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,?7;%3f4?7a3`;ij7>5$201>4da3_9987?tL205>4}Q::>1>v*=5382fc=Q9ki1>v*:fb825>"2nk0:=6sr}|9ja2<72-99>7k8;W110?7|D:8=6bb81!3ak3;:7);ib;32?x{zu2cn47>5$201>`><^:8?6?uC33495~P5;=09w)<:2;g;?S7ek38p(8hl:018 0`e2890qpsC33790~P5nm08w[?>1;0x 0`f2l20(>6m:4d`?xP69;09w);ia;3ab>"40k0>jn5r$74a>4?7}#=ok1i55+39`91ce74da3-93n7;ib:'23d=901vZ?hk:2yU547=:r.>jl4j8:&01/?5l55ga8y!01j3;97pX=5081S76938p(8hn:d:8 6>e2{zu2c9j;4?:%116?4a>2\8>94={M112?7|^;9?6?u+24096c0<^8hh6?u+5ga95a=#=oh1=i5r}|N060<6s_9:n77}#=ok1>k84$2:a>0`d3t.=:o4>d:~y>o5mo0;6)==2;0fb>P4:=09wA==6;3xR7532;q/>8<52dd8R4dd2;q/9km51e9'1cd=9m1vqpB<2482S56j38pZ:3y'1cg=:ll0(>6m:4d`?x"1>k0:h6sr}:m17`<72-99>7<3;0x 73528i;7[?mc;0x 0`d28;0(8hm:038yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb825>"2nk0:=6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,474<729q/??<533;8L67?3f88i7>5$201>75b32wi?=:50;694?6|,:896:k4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1b394?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>cb83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a753=83;:6=4?{%116?1b3A9:46g>c183>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96407}#:<81=n?4V0``>7}#=oi1=n5+5g`95f=zutw0e<>;W110?7|D:8=6{zut1b=i950;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;h7);ib;3`?x{zu2c:hl4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528nj7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;on7>5$201>4da3A99=6X<2582I55>3;pZjo4>c:~yx=n9mn1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7cl2\:nn4={%7eg?7d3-?mn7?l;|~y>o6ll0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74bb3_;io74e<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>dg9U5ge=:r.>jn4>1:&6bg<692wvqp5f1b094?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i97[?mc;0x 0`d28;0(8hm:038yx{z3`;h?7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g6=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f2=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9j<1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a5?S7ek38p(8hl:038 0`e28;0qpsr;h3`3?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,o0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;h7);ib;3`?x{zu2c:on4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;o;7>5$201>4da3A99=6X<2582I55>3;pZjo4>c:~yx=n9mh1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7cj2\:nn4={%7eg?7d3-?mn7?l;|~y>o6lj0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74bd3_;io74e<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ab<^8hh6?u+5ga95f=#=oh1=n5r}|8m4bb290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382``=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5a`=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9j91<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a0?S7ek38p(8hl:038 0`e28;0qpsr;h3`0?6=,:896{zut1b=n;50;&067<6jo1]??:51zN063<6s_;:?74e23_;io747<,3:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c79U5ge=:r.>jn4>1:&6bg<692wvqp5f1b594?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i<7[?mc;0x 0`d28;0(8hm:038yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f664290?6=4?{%116?44l2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28;0(8hm:038yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5fe=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=zj::j6=4=:183!55:3o>7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,477<729q/??<5e49K74>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f67029086=4?{%116?56n2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28;0(8hm:038yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82e>"2nk0:m6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,473<729q/??<51cf8L67?3-;n<7?i;h3ab?6=,:896{zut1bi:4?:%116?c03_9987?tL205>4}Q::>1>v*=538f3>P6jj09w);ic;32?!3aj3;:7psr}:kf7}K;;<1=vX=3581!42:3o37[?mc;0x 0`d2890(8hm:018yx{K;;?18vX=fe80S76938p(8hn:d:8 6>e21381!3ai3;ij6*<8c86bf=z,?1;0x 0`f2l20(>6m:4d`?x"1>k0:i6sr}:k1b3<72-99>77}#:<81>k84V0``>7}#=oi1=i5+5g`95a=zutF8>84>{W12f?4|^8;:6?u+5gc96c0<,:2i68hl;|&52g<6l2wvq6g=eg83>!55:38nj6X<2581I55>3;pZ?=;:3y'604=:ll0Zb;0xR4762;q/9ko52dd8 6>e2{zu2e9?h4?:%116?44m21vn>>m:180>5<7s-99>7=>f:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:038 0`e28;0qpsr;h3`5?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,?7;n00a?6=,:896?=j;:a75c=83>1<7>t$201>2c<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<6>2.>jo4>6:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7d3-?mn7?l;|~y>o6kj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km51b9'1cd=9j1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi?=h50;32>5<7s-99>79j;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io740<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga95f=#=oh1=n5r}|8m4ed290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5a1=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:k2`d<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0fb?S7ek38p(8hl:0a8 0`e28i0qpsr;h3gf?6=,:896P4:=0:wA==6;3xR4742;q/>8<51e`8R4dd2;q/9km51b9'1cd=9j1vqps4i0f`>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>db9U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1ef94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?kd:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>dd83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964e7}#:<81=ih4V0``>7}#=oi1=n5+5g`95f=zutw0e<;:0yO770=9r\:=>4={%066?7d:2\:nn4={%7eg?7d3-?mn7?l;|~y>o6k:0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b18R4dd2;q/9km5109'1cd=981vqps4i0a7>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h86X>bb81!3ak3;:7);ib;32?x{zu2c:o84?:%116?7en2\8>94>{M112?7|^8;86?u+24095f3<^8hh6?u+5ga954=#=oh1=<5r}|8m4e1290/??<51cd8R64328qG??851zT256<5s-8>>7?l6:T2ff<5s-?mo7?>;%7ef?763twvq6g>c683>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a746=83;:6=4?{%116?1b3A9:46g>c183>!55:3;ij6X<2582I55>3;pZjo4>c:~yx=n9ji1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?7d3-?mn7?l;|~y>o6l>0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74b03_;io74e<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ag<^8hh6?u+5ga95f=#=oh1=n5r}|8m4be290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`g=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5ae=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:k2`a<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0fg?S7ek38p(8hl:0a8 0`e28i0qpsr;h3ga?6=,:896P4:=0:wA==6;3xR4742;q/>8<51eg8R4dd2;q/9km51b9'1cd=9j1vqps4i0fe>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>dg9U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1b094?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i97[?mc;0x 0`d28i0(8hm:0a8yx{z3`;h?7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g6=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f2=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9j<1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a5?S7ek38p(8hl:038 0`e28;0qpsr;h3`3?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>1:&6bg<692wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th8=?4?:283>5}#;;81;h5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?8;%7ef?703twvq6g>c083>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,4bjo4>1:~yx=zj:;86=4<:183!55:3=n7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=i5+5g`95a=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?703-?mn7?8;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c120?6=;3:1<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?763-?mn7?>;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5109'1cd=981vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi?;h50;094?6|,:896h;4H23;?l7en3:1(><=:0`e?>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c14<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?763-?mn7?>;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km51`9'1cd=9h1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi?:950;494?6|,:896"6m90:j6g>bg83>!55:3;ij6X<2582I55>3;pZ?=;:3y'604=9kl0ZP4:=0:wA==6;3xR7532;q/>8<5e69U5ge=:r.>jn4>1:&6bg<692wvqp5fe983>!55:3o37[==4;0xH64128q]>>:52z&117kj53zT254<5s-?mm7k7;%1;f?3ak2w]=<<52z&6bd<6jo1/?5l55ga8y!01j3;27pX=fe80S76938p(8hn:d:8 6>e21381!3ai3;ij6*<8c86bg=z,?0`d3t.=:o4>2:U607=:r\:=<4={%7ee?c?3-93n7;ic:'23d=9l1vqp5f2g494?"4:;09j;5Y33696~J4:?0:w[<<4;0x 7352;l=7[?mc;0x 0`d28n0(8hm:0f8yx{K;;?1=vX<1c81S76938p(8hn:3d5?!5?j3?mo6s+67`95a=zut1b>hh50;&067<5mo1]??:52zN063<6s_88877ca3_;io74b<,53;294~"4:;08=k5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?>;%7ef?763twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a727=83;1<7>t$201>64>3A9:46a=3d83>!55:388i65rb250>5<3290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga953=#=oh1=;5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5fe=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964ejo4>1:~yx=zj:=?6=4>1;294~"4:;0?4>bg9K777<^:8?6P6jj09w);ic;35?!3aj3;=7psr}:k2g4<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:0a8 0`e28i0qpsr;h3`g?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km51b9'1cd=9j1vqps4i0f4>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>d69U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1ec94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?ka:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>dc83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964e7}#:<81=im4V0``>7}#=oi1=n5+5g`95f=zutw0e<>;W110?7|D:8=6{zut1b=ik50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;oi6X>bb81!3ak3;h7);ib;3`?x{zu2c:hk4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528nm7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;h>7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g7=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f5=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9j?1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a6?S7ek38p(8hl:038 0`e28;0qpsr;h3`2?6=,:896{zut1b=n950;&067<6jo1]??:51zN063<6s_;:?74e03_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb256>5<693:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io74e<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga95f=#=oh1=n5r}|8m4ed290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5a1=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:k2`d<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0fb?S7ek38p(8hl:0a8 0`e28i0qpsr;h3gf?6=,:896P4:=0:wA==6;3xR4742;q/>8<51e`8R4dd2;q/9km51b9'1cd=9j1vqps4i0f`>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>db9U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1ef94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?kd:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>dd83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964e7}#:<81=ih4V0``>7}#=oi1=n5+5g`95f=zutw0e<;:0yO770=9r\:=>4={%066?7d:2\:nn4={%7eg?763-?mn7?>;|~y>o6k:0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b18R4dd2;q/9km5109'1cd=981vqps4i0a7>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h86X>bb81!3ak3;:7);ib;32?x{zu2c:o84?:%116?7en2\8>94>{M112?7|^8;86?u+24095f3<^8hh6?u+5ga954=#=oh1=<5r}|8m4e1290/??<51cd8R64328qG??851zT256<5s-8>>7?l6:T2ff<5s-?mo7?>;%7ef?763twvq6g>c683>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a724=83>1<7>t$201>75c3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2gf<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nm4V0``>7}#=oi1=<5+5g`954=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e;081<7<50;2x 6452l?0D>?7;h3ab?6=,:8967<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo=6a;292?6=8r.8>?4>be9K74><,8o;65<#;;81=oh4V207>4}K;;<1=vX=3581!42:3;ij6X>bb81!3ak3;:7);ib;32?x{zu2cn;7>5$201>`1<^:8?65<#;;81i55Y33696~J4:?0:w[<<4;0x 7352l20Z2;0x 0`f28hm7)=7b;7eg>{#>?h1=45rV3dg>6}Q98;1>v*:f`8f<>"40k0>jn5rV031>7}#=ok1=oh4$2:a>0`e3t.=:o4>9:U6cb=;r\:=<4={%7ee?c?3-93n7;ic:U544=:r.>jl4j7:&0:3y'1cg=m11/?5l55ga8y!01j3;n7psr;h0e2?6=,:896?h9;W110?4|D:8=6{zuE9997?tV23a>7}Q98;1>v*:f`81b3=#;1h19km4}%45f?7c3twv7d>:52z&117<5mo1]=om52z&6bf<6l2.>jo4>d:~yI55=3;pZ>?m:3yU547=:r.>jl4=eg9'7=d==oi0q)89b;3g?x{z3f88i7>5$201>75b32wi?4=50;194?6|,:896>?i;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>1:&6bg<692wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th8594?:083>5}#;;81??74H23;?j44m3:1(><=:31f?>{e;0<1<7=50;2x 6452>o0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;h7);ib;3`?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg5>?3:1?7>50z&067<0m2B8=55f1b294?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>c083>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,4ejo4>1:~yx=zj:336=49:183!55:3=n7E=>8:k2g5<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:048 0`e28<0qpsr;h3`5?6=,:896{zut1b=nm50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;=7);ib;35?x{zu2c:h:4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga953=#=oh1=;5r}|8m4bf290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`d=Q9ki1>v*:fb82g>"2nk0:o6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,471<729q/??<522f8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,47jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`0=g<72;0;6=u+3309a0=O;820ejo4>1:~yx=zj:k96=49:183!55:3;ih6F<199'5`6=9o1b=oh50;&067<6jo1]??:51zN063<6s_88874da3_;io747<,;4>{W000?4|,;?96h94V0``>7}#=oi1=<5+5g`954=zutw0eh650;&06794={M112?7|^;9?6?u+2409a==Q9ki1>v*:fb827>"2nk0:?6sr}M111?2|^;lo6>uY10396~"2nh0n46*<8c86bf=z^8;96?u+5gc95g`<,:2i68hl;|&52g<612w]>kj53zT254<5s-?mm7k7;%1;f?3ak2w]=<<52z&6bd<6jo1/?5l55g`8y!01j3;27pX=fe80S76938p(8hn:d:8 6>e21381!3ai3o<7)=7b;7eg>{#>?h1=?5rV372>7}Q98;1>v*:f`8f<>"40k0>jn5r$74a>4c<;:3yO770=9r\9?94={%066?4a>2\:nn4={%7eg?7c3-?mn7?k;|~H64228q]?P6jj09w);ic;3g?!3aj3;o7psrL206>4}Q;8h1>vX>1081!3ai38nj6*<8c86bf=z,?4?:1y'774=;8l0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5109'1cd=981vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;:7);ib;32?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg5>l3:1=7>50z&067<4:01C?<64o31f>5<#;;81>>k4;|`0=c<72:0;6=u+33093`=O;820e<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?713-?mn7?9;|~y>o6k80;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e63_;io74e<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb2c3>5<4290;w)==2;5f?M5602c:o=4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;h=7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a7t$201>75c3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo=n3;296?6=8r.8>?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;32?!3aj3;:7psr}:a7dd=83<1<7>t$201>4dc3A9:46*>e182b>o6jo0;6)==2;3ab>P4:=0:wA==6;3xR7532;q/>8<51cd8R4dd2;q/9km5109'1cd=981vqps4id594?"4:;0n;6X<2582I55>3;pZ?=;:3y'604=m>1]=om52z&6bf<692.>jo4>1:~yx=nm10;6)==2;g;?S55<38p@><9:0yU662=:r.99?4j8:T2ff<5s-?mo7?<;%7ef?743twv@><::5yU6cb=;r\:=<4={%7ee?c?3-93n7;ic:U544=:r.>jl4>bg9'7=d==oi0q)89b;3:?xP5nm08w[?>1;0x 0`f2l20(>6m:4d`?xP69;09w);ia;3ab>"40k0>jo5r$74a>4?7}#=ok1i55+39`91ce7`1<,:2i68hl;|&52g<6:2w]>8?52zT254<5s-?mm7k7;%1;f?3ak2w/:;l51d9~yx=n:o<1<7*<2381b3=Q;;>1>vB<2782S44<38p(?;=:3d5?S7ek38p(8hl:0f8 0`e28n0qpsC33795~P49k09w[?>1;0x 0`f2;l=7)=7b;7eg>{#>?h1=i5r}|9j6``=83.8>?4=eg9U772=:rF8>;4>{W000?4|,;?96?ki;W3ag?4|,4b7ca3-93n7;ic:'23d=9m1vqp5`22g94?"4:;09?h54}c1b0?6=;3:1<>;W110?7|D:8=6{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb2c6>5<6290;w)==2;11=>N4911d>>k50;&067<5;l10qo=n7;297?6=8r.8>?48e:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:048 0`e28<0qpsr;h3`5?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km51b9'1cd=9j1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi?l650;494?6|,:896:k4H23;?l7d83:1(><=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga953=#=oh1=;5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb822>"2nk0::6sr}|9j5fe=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964e7}#:<81=i94V0``>7}#=oi1=n5+5g`95f=zutw0e<>;W110?7|D:8=6{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28<0(8hm:048yx{z3`;ho7>5$201>4da3_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb822>"2nk0::6sr}|9j5a1=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:k2`d<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0fb?S7ek38p(8hl:0a8 0`e28i0qpsr;h3gf?6=,:896P4:=0:wA==6;3xR4742;q/>8<51e`8R4dd2;q/9km51b9'1cd=9j1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi?l850;694?6|,:896?=k;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5109'1cd=981vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;:7);ib;32?x{zu2c:on4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm3`a94?4=83:p(><=:d78L67?3`;ij7>5$201>4da32e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg5e=3:1>7>50z&0675<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi?o650;494?6|,:896"6m90:j6g>bg83>!55:3;ij6X<2582I55>3;pZ?=;:3y'604=9kl0ZP4:=0:wA==6;3xR7532;q/>8<5e69U5ge=:r.>jn4>1:&6bg<692wvqp5fe983>!55:3o37[==4;0xH64128q]>>:52z&117kj53zT254<5s-?mm7k7;%1;f?3ak2w]=<<52z&6bd<6jo1/?5l55ga8y!01j3;27pX=fe80S76938p(8hn:d:8 6>e21381!3ai3;ij6*<8c86bg=z,?0`d3t.=:o4>2:U607=:r\:=<4={%7ee?c?3-93n7;ic:'23d=9l1vqp5f2g494?"4:;09j;5Y33696~J4:?0:w[<<4;0x 7352;l=7[?mc;0x 0`d28n0(8hm:0f8yx{K;;?1=vX<1c81S76938p(8hn:3d5?!5?j3?mo6s+67`95a=zut1b>hh50;&067<5mo1]??:52zN063<6s_88877ca3_;io74b<,53;294~"4:;08=k5G30:8m4e7290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,47jo4>1:~yx=zj:kn6=4>:183!55:39956F<199l66c=83.8>?4=3d98yg5e83:1?7>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28;0(8hm:038yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb825>"2nk0:=6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,476<729q/??<57d9K74>P6jj09w);ic;35?!3aj3;=7psr}:k2g4<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:0a8 0`e28i0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn>l=:187>5<7s-99>79j;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5179'1cd=9?1vqps4i0a2>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1ba94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?l;%7ef?7d3twvq6a=3d83>!55:388i6X<2582I55>3;pZ56;294~"4:;0?4>bg9U772=9rF8>;4>{W327?4|,;?96407}#:<81=n?4V0``>7}#=oi1=;5+5g`953=zutw0e<;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?713-?mn7?9;|~y>o6l>0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74b03_;io74e<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ag<^8hh6?u+5ga95f=#=oh1=n5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm3c694?0=83:p(><=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb822>"2nk0::6sr}|9j5f7=83.8>?4>bg9K777<^:8?6P6jj09w);ic;35?!3aj3;=7psr}:k2gf<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:048 0`e28<0qpsr;h3g3?6=,:896P4:=0:wA==6;3xR4742;q/>8<51e58R4dd2;q/9km51b9'1cd=9j1vqps4i0fb>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>d`9U5ge=:r.>jn4>c:&6bg<6k2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th8mk4?:783>5}#;;81>>j4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>1:&6bg<692wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28;0(8hm:038yx{z3`;ho7>5$201>4da3_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5a1=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`0f3<72<0;6=u+33093`=O;820e<>;W110?7|D:8=6{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1e594?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n<7[?mc;0x 0`d28n0(8hm:0f8yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f6d>29096=4?{%116?c23A9:46g>bg83>!55:3;ij65`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th8o?4?:383>5}#;;81i85G30:8m4da290/??<51cd8?j44m3:1(><=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb2a6>5<1290;w)==2;3a`>N4911/=h>51g9j5g`=83.8>?4>bg9U772=9rF8>;4>{W000?4|,;?96471]??:51zN063<6s_8887`1<^8hh6?u+5ga954=#=oh1=<5r}|8m`>=83.8>?4j8:T061<5sE99:7?tV317>7}#:<81i55Y1ca96~"2nj0:?6*:fc827>{zuE9997:tV3dg>6}Q98;1>v*:f`8f<>"40k0>jn5rV031>7}#=ok1=oh4$2:a>0`d3t.=:o4>9:U6cb=;r\:=<4={%7ee?c?3-93n7;ic:U544=:r.>jl4>bg9'7=d==oh0q)89b;3:?xP5nm08w[?>1;0x 0`f2l20(>6m:4d`?xP69;09w);ia;g4?!5?j3?mo6s+67`957=z^;?:6?uY10396~"2nh0n46*<8c86bf=z,?>7<::0yU74d=:r\:=<4={%7ee?4a>2.84o4:fb9~ 30e28n0qps4i3ge>5<#;;81>hh4V207>7}K;;<1=vX=3581!42:38nj6X>bb81!3ak3;o7);ib;3g?x{zD:8>6"40k0>jn5r$74a>4b6<729q/??<530d8L67?3`;h<7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo=mb;295?6=8r.8>?4<289K74>5}#;;81;h5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?>;%7ef?763twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a7gc=838:6=4?{%116?1b3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;35?!3aj3;=7psr}:k2gf<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nm4V0``>7}#=oi1=;5+5g`953=zutw0e<;:0yO770=9r\:=>4={%066?7c?2\:nn4={%7eg?713-?mn7?9;|~y>o6lh0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km5179'1cd=9?1vqps4i0fa>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;on6X>bb81!3ak3;=7);ib;35?x{zu2c:hn4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ae<^8hh6?u+5ga953=#=oh1=;5r}|8m4bc290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`a=Q9ki1>v*:fb822>"2nk0::6sr}|9j5ac=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96402.>jo4>6:~yx=n9j81<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a1?S7ek38p(8hl:048 0`e28<0qpsr;h3`7?6=,:8965Y1ca96~"2nj0::6*:fc822>{zut1b=n:50;&067<6jo1]??:51zN063<6s_;:?74e33_;io740<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c49U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1b494?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i=7[?mc;0x 0`d28<0(8hm:048yx{z3`;h;7>5$201>4da3A99=6X<2582I55>3;pZ2.>jo4>6:~yx=n9j31<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d12\:nn4={%7eg?7d3-?mn7?l;|~y>o6kh0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74ef3_;io74e<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cc9U5ge=:r.>jn4>1:&6bg<692wvqp5f1bf94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528io7[?mc;0x 0`d28;0(8hm:038yx{z3`;hi7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g`=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f`=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9m;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f2?S7ek38p(8hl:038 0`e28;0qpsr;h3g6?6=,:896{zut1b=i=50;&067<6jo1]??:51zN063<6s_;:?74b43_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d59U5ge=:r.>jn4>1:&6bg<692wvqp5f1e794?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n>7[?mc;0x 0`d28;0(8hm:038yx{z3`;o:7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`3=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5a>=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`0fc<72;;1<7>t$201>2c<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<6>2.>jo4>6:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:048 0`e28<0qpsr;h3`g?6=,:896{zut1b=i950;&067<6jo1]??:51zN063<6s_;:?74b03_;io740<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d`9U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1e`94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ni7[?mc;0x 0`d28<0(8hm:048yx{z3`;oo7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`f=Q9ki1>v*:fb822>"2nk0::6sr}|9j5ab=83.8>?4>bg9K777<^:8?6P6jj09w);ic;35?!3aj3;=7psr}:k2``<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0ff?S7ek38p(8hl:048 0`e28<0qpsr;h3gb?6=,:896{zut1b=n<50;&067<6jo1]??:51zN063<6s_;:?74e53_;io740<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c29U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1b694?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i?7[?mc;0x 0`d28<0(8hm:048yx{z3`;h97>5$201>4da3_9987?tL205>4}Q9891>v*=5382g0=Q9ki1>v*:fb822>"2nk0::6sr}|9j5f0=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96401]=om52z&6bf<6k2.>jo4>c:~yx=n9j21<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d02\:nn4={%7eg?713-?mn7?9;|~y>o6k00;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e>3_;io74e<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095fg<^8hh6?u+5ga95f=#=oh1=n5r}|8m4ee290/??<51cd8R64328qG??851zT256<5s-8>>7?lb:T2ff<5s-?mo7?>;%7ef?763twvq6g>ce83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2gc<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nh4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c82\:nn4={%7eg?763-?mn7?>;|~y>o6l80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e38R4dd2;q/9km5109'1cd=981vqps4i0f1>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o>6X>bb81!3ak3;:7);ib;32?x{zu2c:h>4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a5<^8hh6?u+5ga954=#=oh1=<5r}|8m4b3290/??<51cd8R64328qG??851zT256<5s-8>>7?k4:T2ff<5s-?mo7?>;%7ef?763twvq6g>d483>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`=<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i64V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c12\:nn4={%7eg?763-?mn7?>;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c1`4?6=:80;6=u+33093`=O;820e<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?713-?mn7?9;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5179'1cd=9?1vqps4i0a`>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1e594?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n<7[?mc;0x 0`d28<0(8hm:048yx{z3`;om7>5$201>4da3A99=6X<2582I55>3;pZ2.>jo4>6:~yx=n9mi1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7ck2\:nn4={%7eg?713-?mn7?9;|~y>o6lm0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74bc3_;io740<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>dd9U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1ed94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528nm7[?mc;0x 0`d28<0(8hm:048yx{z3`;h>7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g7=Q9ki1>v*:fb822>"2nk0::6sr}|9j5f5=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96402.>jo4>6:~yx=n9j?1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a6?S7ek38p(8hl:048 0`e28<0qpsr;h3`2?6=,:896{zut1b=n950;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h;6X>bb81!3ak3;h7);ib;3`?x{zu2c:o54?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i37[?mc;0x 0`d28<0(8hm:048yx{z3`;h57>5$201>4da3A99=6X<2582I55>3;pZjo4>c:~yx=n9jh1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0aa?S7ek38p(8hl:038 0`e28;0qpsr;h3``?6=,:896{zut1b=nk50;&067<6jo1]??:51zN063<6s_;:?74eb3_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cg9U5ge=:r.>jn4>1:&6bg<692wvqp5f1e294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n;7[?mc;0x 0`d28;0(8hm:038yx{z3`;o=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`4=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5a4=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9m>1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f7?S7ek38p(8hl:038 0`e28;0qpsr;h3g1?6=,:896{zut1b=i850;&067<6jo1]??:51zN063<6s_;:?74b13_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d99U5ge=:r.>jn4>1:&6bg<692wvqp5f1e;94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n27[?mc;0x 0`d28;0(8hm:038yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f6e62909=7>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28<0(8hm:048yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb822>"2nk0::6sr}|9j5fe=83.8>?4>bg9K777<^:8?6P6jj09w);ic;35?!3aj3;=7psr}:k2`2<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i94V0``>7}#=oi1=;5+5g`953=zutw0e<>;W110?7|D:8=6{zut1b=il50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;on6X>bb81!3ak3;=7);ib;35?x{zu2c:hn4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528nh7[?mc;0x 0`d28<0(8hm:048yx{z3`;oh7>5$201>4da3A99=6X<2582I55>3;pZ2.>jo4>6:~yx=n9ml1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0fe?S7ek38p(8hl:048 0`e28<0qpsr;h3`6?6=,:896{zut1b=n=50;&067<6jo1]??:51zN063<6s_;:?74e43_;io740<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c59U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1b794?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i>7[?mc;0x 0`d28<0(8hm:048yx{z3`;h:7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g3=Q9ki1>v*:fb822>"2nk0::6sr}|9j5f1=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964e7}#:<81=n64V0``>7}#=oi1=;5+5g`953=zutw0e<>;W110?7|D:8=6{zut1b=no50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;hm6X>bb81!3ak3;h7);ib;3`?x{zu2c:oo4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fd<^8hh6?u+5ga954=#=oh1=<5r}|8m4ec290/??<51cd8R64328qG??851zT256<5s-8>>7?ld:T2ff<5s-?mo7?>;%7ef?763twvq6g>cd83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i>4V0``>7}#=oi1=<5+5g`954=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7c92\:nn4={%7eg?763-?mn7?>;|~y>o6l;0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e08R4dd2;q/9km5109'1cd=981vqps4i0f0>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o?6X>bb81!3ak3;:7);ib;32?x{zu2c:h94?:%116?7en2\8>94>{M112?7|^8;86?u+24095a2<^8hh6?u+5ga954=#=oh1=<5r}|8m4b2290/??<51cd8R64328qG??851zT256<5s-8>>7?k5:T2ff<5s-?mo7?>;%7ef?763twvq6g>d783>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`<<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i74V0``>7}#=oi1=<5+5g`954=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e;ki1<7850;2x 6452;9o7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=<5+5g`954=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?763-?mn7?>;|~y>o6kj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km5109'1cd=981vqps4i0f4>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;:7);ib;32?x{zu2c:hl4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ag<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm3b194?3=83:p(><=:6g8L67?3`;h<7>5$201>4da3A99=6X<2582I55>3;pZ1/9kl5169~yx{jo4>7:~yx=n9ji1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?703-?mn7?8;|~y>o6l>0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e58R4dd2;q/9km5169'1cd=9>1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi?n850;094?6|,:896h;4H23;?l7en3:1(><=:0`e?>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c1g6?6=>3:1>7?mf:T2ff<5s-?mo7?>;%7ef?763twvq6gj7;29 6452l=0Z><;:0yO770=9r\9?94={%066?c03_;io747<,;4>{W000?4|,;?96h64V0``>7}#=oi1=>5+5g`956=zutF8>84;{W0e`?5|^8;:6?u+5gc9a==#;1h19km4}W326?4|,e2{Q:on1?vX>1081!3ai3o37)=7b;7eg>{Q9881>v*:f`8f3>"40k0>jn5r$74a>44=77}#=ok1i55+39`91ce3:1(><=:3d5?S55<38p@><9:0yU662=:r.99?4=f79U5ge=:r.>jn4>d:&6bg<6l2wvqA==5;3xR67e2;q]={zuE9997?tV23a>7}Q98;1>v*:f`81ac=#;1h19km4}%45f?7c3twv7b<<=:23e?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6a=3d83>!55:388i6X<2582I55>3;pZ51;294~"4:;08>45G30:8k75b290/??<522g8?xd4kh0;6>4?:1y'774=?l1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm3b`94?5=83:p(><=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,47jo4>1:~yx=zj:ih6=4;:183!55:3=n7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=n5+5g`95f=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7d3-?mn7?l;|~y>o6kj0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74ed3_;io74e<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb2ag>5<3290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga95f=#=oh1=n5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>cb83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964ejo4>1:~yx=zj:in6=4;:183!55:3=n7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=n5+5g`95f=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7d3-?mn7?l;|~y>o6kj0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74ed3_;io74e<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb2ae>5<3290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga95f=#=oh1=n5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5fe=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo=k0;290?6=8r.8>?48e:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:0a8 0`e28i0qpsr;h3`5?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km51b9'1cd=9j1vqps4i0a`>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;h7);ib;3`?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg5d13:147>50z&067<5;m1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga954=#=oh1=<5r}|8m4ed290/??<51cd8R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?>;%7ef?763twvq6g>d683>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`g<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=il4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7ck2\:nn4={%7eg?763-?mn7?>;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c6:4?6=:3:1N4911b=oh50;&067<6jo10c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e<0<1<7850;2x 64528ho7E=>8:&2a5<6n2c:nk4?:%116?7en2\8>94>{M112?7|^;9?6?u+24095g`<^8hh6?u+5ga954=#=oh1=<5r}|8m`1=83.8>?4j7:T061<6sE99:7?tV317>7}#:<81i:5Y1ca96~"2nj0:=6*:fc825>{zut1bi54?:%116?c?3_99874}Q::>1>v*=538f<>P6jj09w);ic;30?!3aj3;87psrL206>1}Q:on1?vX>1081!3ai3o37)=7b;7eg>{Q9881>v*:f`82fc=#;1h19km4}%45f?7>3t\9ji4<{W325?4|,0`d3t\:=?4={%7ee?7en2.84o4:fc9~ 30e2830q[2;0x 0`f2l=0(>6m:4d`?x"1>k0:56sY24396~P69809w);ia;g;?!5?j3?mo6s+67`95`=zut1b>k850;&067<5n?1]??:52zN063<6s_88877`13_;io74b<,1>vB<2782S44<38p(?;=:3ge?S7ek38p(8hl:0f8 0`e28n0qpsC33795~P49k09w[?>1;0x 0`f2;om7)=7b;7eg>{#>?h1=i5r}|9l66c=83.8>?4=3d98yg2>:3:1?7>50z&067<49o1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm48194?7=83:p(><=:20:?M5602e9?h4?:%116?44m21vn97;:180>5<7s-99>79j;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io740<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga953=#=oh1=;5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm48394?5=83:p(><=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,4ejo4>1:~yx=zj=3<6=4=:183!55:3o>7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,476<729q/??<530d8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,4gjo4>1:~yx=zj=k86=49:183!55:3;ih6F<199'5`6=9o1b=oh50;&067<6jo1]??:51zN063<6s_88874da3_;io747<,;4>{W000?4|,;?96h94V0``>7}#=oi1=<5+5g`954=zutw0eh650;&06794={M112?7|^;9?6?u+2409a==Q9ki1>v*:fb827>"2nk0:?6sr}M111?2|^;lo6>uY10396~"2nh0n46*<8c86bf=z^8;96?u+5gc95g`<,:2i68hl;|&52g<612w]>kj53zT254<5s-?mm7k7;%1;f?3ak2w]=<<52z&6bd<6jo1/?5l55g`8y!01j3;27pX=fe80S76938p(8hn:d:8 6>e21381!3ai3o<7)=7b;7eg>{#>?h1=?5rV372>7}Q98;1>v*:f`8f<>"40k0>jn5r$74a>4c<;:3yO770=9r\9?94={%066?4a>2\:nn4={%7eg?7c3-?mn7?k;|~H64228q]?P6jj09w);ic;3g?!3aj3;o7psrL206>4}Q;8h1>vX>1081!3ai38nj6*<8c86bf=z,?4?:1y'774=;8l0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5109'1cd=981vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;:7);ib;32?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg2>13:1=7>50z&067<4:01C?<64o31f>5<#;;81>>k4;|`7=g<72:0;6=u+33093`=O;820e<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?7d3-?mn7?l;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km51b9'1cd=9j1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi84m50;194?6|,:896:k4H23;?l7d83:1(><=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga95f=#=oh1=n5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82g>"2nk0:o6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,476<729q/??<57d9K74>P6jj09w);ic;32?!3aj3;:7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=<5+5g`954=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e<0o1<7=50;2x 6452>o0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb5;e>5<4290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga953=#=oh1=;5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?l;%7ef?7d3twvq6a=3d83>!55:388i6X<2582I55>3;pZj<7>53;294~"4:;0?4>bg9K777<^:8?6P6jj09w);ic;35?!3aj3;=7psr}:k2g4<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:0a8 0`e28i0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn9o>:187>5<7s-99>79j;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io740<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1ba94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?l;%7ef?7d3twvq6a=3d83>!55:388i6X<2582I55>3;pZ2m7>58;294~"4:;09?i5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?>;%7ef?763twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`2<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i94V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7ci2\:nn4={%7eg?763-?mn7?>;|~y>o6lk0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e`8R4dd2;q/9km5109'1cd=981vqps4i0f`>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;oo6X>bb81!3ak3;:7);ib;32?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg2f=3:1>7>50z&0675<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi8lh50;194?6|,:896>?i;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5109'1cd=981vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;j7);ib;3b?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg2fm3:1:7>50z&067<6jm1C?<64$0g3>4`P6jj09w);ic;32?!3aj3;:7psr}:kf3?6=,:896h94V207>4}K;;<1=vX=3581!42:3o<7[?mc;0x 0`d28;0(8hm:038yx{z3`o36=4+3309a==Q;;>1>vB<2782S44<38p(?;=:d:8R4dd2;q/9km5129'1cd=9:1vqpB<2487S4al39pZ:3y'1cg=m11/?5l55ga8yS76:38p(8hn:0`e?!5?j3?mo6s+67`95<=z^;lo6>uY10396~"2nh0n46*<8c86bf=z^8;96?u+5gc95g`<,:2i68hm;|&52g<612w]>kj53zT254<5s-?mm7k7;%1;f?3ak2w]=<<52z&6bd5$201>7`13_99874}Q::>1>v*=5381b3=Q9ki1>v*:fb82`>"2nk0:h6sr}M111?7|^:;i6?uY10396~"2nh09j;5+39`91ce<=:3ge?S55<38p@><9:0yU662=:r.99?4=eg9U5ge=:r.>jn4>d:&6bg<6l2wvqA==5;3xR67e2;q]=t$201>67a3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo:n7;295?6=8r.8>?4<289K74>5}#;;81;h5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?9;%7ef?713twvq6g>c083>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,4ejo4>c:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`7ed<72>0;6=u+33093`=O;820e<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?7d3-?mn7?l;|~y>o6k80;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e63_;io74e<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1e594?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?k7:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>d`83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;3`?!3aj3;h7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo:nb;29?48e:J05==n9j:1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?713-?mn7?9;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km51b9'1cd=9j1vqps4i0a`>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1e594?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n<7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;om7>5$201>4da3A99=6X<2582I55>3;pZjo4>c:~yx=n9mi1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7ck2\:nn4={%7eg?7d3-?mn7?l;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c6bg?6=03:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io74e<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1ba94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>d683>!55:3;ij6X<2582I55>3;pZjo4>c:~yx=n9mh1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7cj2\:nn4={%7eg?7d3-?mn7?l;|~y>o6lj0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74bd3_;io74e<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb5c;>5<2290;w)==2;00`>N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>1:&6bg<692wvqp5f1ba94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28;0(8hm:038yx{z3`;o;7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`2=Q9ki1>v*:fb825>"2nk0:=6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,477<729q/??<5e49K74>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f1d1290=6=4?{%116?7el2B8=55+1d295c=n9kl1<7*<2382fc=Q;;>1=vB<2782S44<38p(?;=:0`e?S7ek38p(8hl:038 0`e28;0qpsr;hg4>5<#;;81i:5Y33695~J4:?0:w[<<4;0x 7352l=0ZP4:=09wA==6;3xR7532;q/>8<5e99U5ge=:r.>jn4>3:&6bg<6;2wvqA==5;6xR7`c2:q]=e2{Q:on1?vX>1081!3ai3o37)=7b;7eg>{Q9881>v*:f`82fc=#;1h19kl4}%45f?7>3t\9ji4<{W325?4|,0`d3t\:=?4={%7ee?c03-93n7;ic:'23d=901vZ?;>:3yU547=:r.>jl4j8:&0P6jj09w);ic;3g?!3aj3;o7psrL206>4}Q;8h1>vX>1081!3ai38m:6*<8c86bf=z,?>7<::0yU74d=:r\:=<4={%7ee?4bn2.84o4:fb9~ 30e28n0qps4o31f>5<#;;81>>k4;|`7f7<72:0;6=u+330974`<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<692.>jo4>1:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:038 0`e28;0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn9l<:182>5<7s-99>7==9:J05==h::o1<7*<23817`=i87>53;294~"4:;0?4>bg9K777<^:8?6P6jj09w);ic;35?!3aj3;=7psr}:k2g4<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:048 0`e28<0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn9l>:180>5<7s-99>79j;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km51b9'1cd=9j1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;h7);ib;3`?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg06k3:1>7>50z&0675<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi:?650;194?6|,:896>?i;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5109'1cd=981vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;j7);ib;3b?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg05?3:1:7>50z&067<6jm1C?<64$0g3>4`P6jj09w);ic;32?!3aj3;:7psr}:kf3?6=,:896h94V207>4}K;;<1=vX=3581!42:3o<7[?mc;0x 0`d28;0(8hm:038yx{z3`o36=4+3309a==Q;;>1>vB<2782S44<38p(?;=:d:8R4dd2;q/9km5129'1cd=9:1vqpB<2487S4al39pZ:3y'1cg=m11/?5l55ga8yS76:38p(8hn:0`e?!5?j3?mo6s+67`95<=z^;lo6>uY10396~"2nh0n46*<8c86bf=z^8;96?u+5gc95g`<,:2i68hm;|&52g<612w]>kj53zT254<5s-?mm7k7;%1;f?3ak2w]=<<52z&6bd5$201>7`13_99874}Q::>1>v*=5381b3=Q9ki1>v*:fb82`>"2nk0:h6sr}M111?7|^:;i6?uY10396~"2nh09j;5+39`91ce<=:3ge?S55<38p@><9:0yU662=:r.99?4=eg9U5ge=:r.>jn4>d:&6bg<6l2wvqA==5;3xR67e2;q]=t$201>67a3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo8>e;295?6=8r.8>?4<289K74>=4?:283>5}#;;81;h5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?>;%7ef?763twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a277=83>1<7>t$201>2c<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<6>2.>jo4>6:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7d3-?mn7?l;|~y>o6kj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km51b9'1cd=9j1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi:?<50;32>5<7s-99>79j;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io740<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1ba94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;o;7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`2=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5ag=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964e7}#:<81=il4V0``>7}#=oi1=n5+5g`95f=zutw0e<;:0yO770=9r\:=>4={%066?7ck2\:nn4={%7eg?7d3-?mn7?l;|~y>o6lm0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ef8R4dd2;q/9km51b9'1cd=9j1vqps4i0ff>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;oi6X>bb81!3ak3;h7);ib;3`?x{zu2c:hk4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528nm7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;h>7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g7=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f5=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9j?1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a6?S7ek38p(8hl:038 0`e28;0qpsr;h3`2?6=,:896{zut1b=n950;&067<6jo1]??:51zN063<6s_;:?74e03_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb700>5<693:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io74e<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1ba94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;o;7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`2=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5ag=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964e7}#:<81=il4V0``>7}#=oi1=n5+5g`95f=zutw0e<;:0yO770=9r\:=>4={%066?7ck2\:nn4={%7eg?7d3-?mn7?l;|~y>o6lm0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ef8R4dd2;q/9km51b9'1cd=9j1vqps4i0ff>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;oi6X>bb81!3ak3;h7);ib;3`?x{zu2c:hk4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528nm7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;h>7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g7=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f5=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9j?1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a6?S7ek38p(8hl:038 0`e28;0qpsr;h3`2?6=,:896{zut1b=n950;&067<6jo1]??:51zN063<6s_;:?74e03_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb707>5<693:1N4911b=n>50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;=7);ib;35?x{zu2c:o<4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28<0(8hm:048yx{z3`;ho7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;3`?!3aj3;h7psr}:k2`d<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=io4V0``>7}#=oi1=n5+5g`95f=zutw0e<>;W110?7|D:8=6{zut1b=im50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;oo6X>bb81!3ak3;h7);ib;3`?x{zu2c:hi4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528no7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;oi7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;3`?!3aj3;h7psr}:k2g7<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n<4V0``>7}#=oi1=n5+5g`95f=zutw0e<;:0yO770=9r\:=>4={%066?7d;2\:nn4={%7eg?7d3-?mn7?l;|~y>o6k=0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e33_;io74e<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c49U5ge=:r.>jn4>1:&6bg<692wvqp5f1b494?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i=7[?mc;0x 0`d28;0(8hm:038yx{z3`;h;7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g2=Q9ki1>v*:fb825>"2nk0:=6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,4747=83:p(><=:6g8L67?3`;h<7>5$201>4da3A99=6X<2582I55>3;pZ2.>jo4>6:~yx=n9ji1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:0a8 0`e28i0qpsr;h3g3?6=,:896{zut1b=io50;&067<6jo1]??:51zN063<6s_;:?74bf3_;io74e<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ad<^8hh6?u+5ga95f=#=oh1=n5r}|8m4bd290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`f=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5ab=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:k2``<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0ff?S7ek38p(8hl:0a8 0`e28i0qpsr;h3gb?6=,:896{zut1b=n<50;&067<6jo1]??:51zN063<6s_;:?74e53_;io74e<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c29U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1b694?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l4:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>c483>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2g2<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n94V0``>7}#=oi1=<5+5g`954=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e>8l1<7950;2x 6452;9o7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=<5+5g`954=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?763-?mn7?>;|~y>o6kj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km5109'1cd=981vqps4i0f4>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;:7);ib;32?x{zu2c:hl4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ag<^8hh6?u+5ga954=#=oh1=<5r}|8m4be290/??<51cd8R64328qG??851zT256<5s-8>>7?kb:T2ff<5s-?mo7?>;%7ef?763twvq6a=3d83>!55:388i6X<2582I55>3;pZ52;294~"4:;0n96F<199j5g`=83.8>?4>bg98k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm55594?4=83:p(><=:d78L67?3`;ij7>5$201>4da32e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg33>3:1:7>50z&067<6jm1C?<64$0g3>4`P6jj09w);ic;32?!3aj3;:7psr}:kf3?6=,:896h94V207>4}K;;<1=vX=3581!42:3o<7[?mc;0x 0`d28;0(8hm:038yx{z3`o36=4+3309a==Q;;>1>vB<2782S44<38p(?;=:d:8R4dd2;q/9km5129'1cd=9:1vqpB<2487S4al39pZ:3y'1cg=m11/?5l55ga8yS76:38p(8hn:0`e?!5?j3?mo6s+67`95<=z^;lo6>uY10396~"2nh0n46*<8c86bf=z^8;96?u+5gc95g`<,:2i68hm;|&52g<612w]>kj53zT254<5s-?mm7k7;%1;f?3ak2w]=<<52z&6bd5$201>7`13_99874}Q::>1>v*=5381b3=Q9ki1>v*:fb82`>"2nk0:h6sr}M111?7|^:;i6?uY10396~"2nh09j;5+39`91ce<=:3ge?S55<38p@><9:0yU662=:r.99?4=eg9U5ge=:r.>jn4>d:&6bg<6l2wvqA==5;3xR67e2;q]=t$201>67a3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo;?4<289K74>?h4?:283>5}#;;81;h5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?>;%7ef?763twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a16`=8391<7>t$201>2c<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<692.>jo4>1:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:038 0`e28;0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn8:?:180>5<7s-99>79j;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km51b9'1cd=9j1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;h7);ib;3`?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg3393:1?7>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82g>"2nk0:o6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,476<729q/??<57d9K74>P6jj09w);ic;3`?!3aj3;h7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=n5+5g`95f=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e==91<7=50;2x 6452>o0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km51b9'1cd=9j1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;h7);ib;3`?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg33<3:1?7>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82g>"2nk0:o6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,47=<729q/??<522f8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,47jo4>1:~yx=n9m=1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f4?S7ek38p(8hl:038 0`e28;0qpsr;h3ge?6=,:896{zut1b=il50;&067<6jo1]??:51zN063<6s_;:?74be3_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>db9U5ge=:r.>jn4>1:&6bg<692wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th=?;4?:383>5}#;;81i85G30:8m4da290/??<51cd8?j44m3:1(><=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb761>5<1290;w)==2;3a`>N4911/=h>51g9j5g`=83.8>?4>bg9U772=9rF8>;4>{W000?4|,;?96471]??:51zN063<6s_8887`1<^8hh6?u+5ga954=#=oh1=<5r}|8m`>=83.8>?4j8:T061<5sE99:7?tV317>7}#:<81i55Y1ca96~"2nj0:?6*:fc827>{zuE9997:tV3dg>6}Q98;1>v*:f`8f<>"40k0>jn5rV031>7}#=ok1=oh4$2:a>0`d3t.=:o4>9:U6cb=;r\:=<4={%7ee?c?3-93n7;ic:U544=:r.>jl4>bg9'7=d==oh0q)89b;3:?xP5nm08w[?>1;0x 0`f2l20(>6m:4d`?xP69;09w);ia;g4?!5?j3?mo6s+67`957=z^;?:6?uY10396~"2nh0n46*<8c86bf=z,?>7<::0yU74d=:r\:=<4={%7ee?4a>2.84o4:fb9~ 30e28n0qps4i3ge>5<#;;81>hh4V207>7}K;;<1=vX=3581!42:38nj6X>bb81!3ak3;o7);ib;3g?x{zD:8>6"40k0>jn5r$74a>4b6<729q/??<530d8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,47jo4>1:~yx=zj?936=4>:183!55:39956F<199l66c=83.8>?4=3d98yg04i3:1?7>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28;0(8hm:038yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb825>"2nk0:=6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,476<729q/??<57d9K74>P6jj09w);ic;32?!3aj3;:7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=<5+5g`954=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e>:i1<7=50;2x 6452>o0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km51b9'1cd=9j1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;h7);ib;3`?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg04l3:187>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;h=7>5$201>4da3A99=6X<2582I55>3;pZjo4>c:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`57`<72>0;6=u+33093`=O;820e<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?713-?mn7?9;|~y>o6k80;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e63_;io740<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga95f=#=oh1=n5r}|8m4b0290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`2=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5ag=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:k2`g<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0fa?S7ek38p(8hl:0a8 0`e28i0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn;=i:184>5<7s-99>79j;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5179'1cd=9?1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;h7);ib;3`?x{zu2c:on4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;o;7>5$201>4da3A99=6X<2582I55>3;pZjo4>c:~yx=n9mh1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7cj2\:nn4={%7eg?7d3-?mn7?l;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c474?6=03:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io740<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga95f=#=oh1=n5r}|8m4ed290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5a1=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:k2`d<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=io4V0``>7}#=oi1=n5+5g`95f=zutw0e<;:0yO770=9r\:=>4={%066?7cj2\:nn4={%7eg?7d3-?mn7?l;|~y>o6lj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ea8R4dd2;q/9km51b9'1cd=9j1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi:>750;:94?6|,:896?=k;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5109'1cd=981vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;:7);ib;32?x{zu2c:on4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga954=#=oh1=<5r}|8m4b0290/??<51cd8R64328qG??851zT256<5s-8>>7?k7:T2ff<5s-?mo7?>;%7ef?763twvq6g>d`83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`f<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=im4V0``>7}#=oi1=<5+5g`954=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e>=l1<7<50;2x 6452l?0D>?7;h3ab?6=,:8967<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo8:8;296?6=8r.8>?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;32?!3aj3;:7psr}:a20e=8391<7>t$201>67a3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;3b?!3aj3;j7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo8:b;292?6=8r.8>?4>be9K74><,8o;65<#;;81=oh4V207>4}K;;<1=vX=3581!42:3;ij6X>bb81!3ak3;:7);ib;32?x{zu2cn;7>5$201>`1<^:8?65<#;;81i55Y33696~J4:?0:w[<<4;0x 7352l20Z2;0x 0`f28hm7)=7b;7eg>{#>?h1=45rV3dg>6}Q98;1>v*:f`8f<>"40k0>jn5rV031>7}#=ok1=oh4$2:a>0`e3t.=:o4>9:U6cb=;r\:=<4={%7ee?c?3-93n7;ic:U544=:r.>jl4j7:&0:3y'1cg=m11/?5l55ga8y!01j3;n7psr;h0e2?6=,:896?h9;W110?4|D:8=6{zuE9997?tV23a>7}Q98;1>v*:f`81b3=#;1h19km4}%45f?7c3twv7d>:52z&117<5mo1]=om52z&6bf<6l2.>jo4>d:~yI55=3;pZ>?m:3yU547=:r.>jl4=eg9'7=d==oi0q)89b;3g?x{z3f88i7>5$201>75b32wi:8>50;194?6|,:896>?i;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>1:&6bg<692wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th=9<4?:083>5}#;;81??74H23;?j44m3:1(><=:31f?>{e><91<7=50;2x 6452>o0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb777>5<4290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga95f=#=oh1=n5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82g>"2nk0:o6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,471<729q/??<57d9K74>jo4>c:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7d3-?mn7?l;|~y>o6kj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km51b9'1cd=9j1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi:8850;794?6|,:896:k4H23;?l7d83:1(><=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga95f=#=oh1=n5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5fe=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:k2`2<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i94V0``>7}#=oi1=n5+5g`95f=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e><=1<7;50;2x 6452>o0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km51b9'1cd=9j1vqps4i0a2>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1ba94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>d683>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a204=83<1<7>t$201>75c3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2gf<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nm4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c?2\:nn4={%7eg?763-?mn7?>;|~y>o6lh0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km5109'1cd=981vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi:8750;794?6|,:896:k4H23;?l7d83:1(><=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga95a=#=oh1=i5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>cb83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;3g?!3aj3;o7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo8;3;296?6=8r.8>?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;32?!3aj3;:7psr}:a21c=8391<7>t$201>67a3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;3b?!3aj3;j7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo8;d;292?6=8r.8>?4>be9K74><,8o;65<#;;81=oh4V207>4}K;;<1=vX=3581!42:3;ij6X>bb81!3ak3;:7);ib;32?x{zu2cn;7>5$201>`1<^:8?65<#;;81i55Y33696~J4:?0:w[<<4;0x 7352l20Z2;0x 0`f28hm7)=7b;7eg>{#>?h1=45rV3dg>6}Q98;1>v*:f`8f<>"40k0>jn5rV031>7}#=ok1=oh4$2:a>0`e3t.=:o4>9:U6cb=;r\:=<4={%7ee?c?3-93n7;ic:U544=:r.>jl4j7:&0:3y'1cg=m11/?5l55ga8y!01j3;n7psr;h0e2?6=,:896?h9;W110?4|D:8=6{zuE9997?tV23a>7}Q98;1>v*:f`81b3=#;1h19km4}%45f?7c3twv7d>:52z&117<5mo1]=om52z&6bf<6l2.>jo4>d:~yI55=3;pZ>?m:3yU547=:r.>jl4=eg9'7=d==oi0q)89b;3g?x{z3f88i7>5$201>75b32wi:9:50;194?6|,:896>?i;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5109'1cd=981vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;:7);ib;32?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg03=3:1=7>50z&067<4:01C?<64o31f>5<#;;81>>k4;|`502<72:0;6=u+33093`=O;820e<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?7d3-?mn7?l;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km51b9'1cd=9j1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi:9650;;94?6|,:896:k4H23;?l7d83:1(><=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga953=#=oh1=;5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb822>"2nk0::6sr}|9j5fe=83.8>?4>bg9K777<^:8?6P6jj09w);ic;35?!3aj3;=7psr}:k2`2<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0f4?S7ek38p(8hl:0a8 0`e28i0qpsr;h3ge?6=,:896{zut1b=il50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;on6X>bb81!3ak3;h7);ib;3`?x{zu2c:hn4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528nh7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;oh7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a21?=8331<7>t$201>2c<@:;37d?l0;29 64528hm7E==1:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=;5+5g`953=zutw0e:18'774=9kl0D><>;W110?7|D:8=6{zut1b=nm50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;h7);ib;3`?x{zu2c:h:4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga95f=#=oh1=n5r}|8m4bf290/??<51cd8R64328qG??851zT256<5s-8>>7?ka:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>dc83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964e7}#:<81=im4V0``>7}#=oi1=n5+5g`95f=zutw0e<>;W110?7|D:8=6{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,o0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5179'1cd=9?1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;=7);ib;35?x{zu2c:on4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga953=#=oh1=;5r}|8m4b0290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`2=Q9ki1>v*:fb822>"2nk0::6sr}|9j5ag=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:k2`g<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0fa?S7ek38p(8hl:0a8 0`e28i0qpsr;h3gg?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ea8R4dd2;q/9km51b9'1cd=9j1vqps4i0fg>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>de9U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1eg94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?ke:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>dg83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2g6<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n=4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7d<2\:nn4={%7eg?763-?mn7?>;|~y>o6k<0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b78R4dd2;q/9km5109'1cd=981vqps4i0a5>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h:6X>bb81!3ak3;:7);ib;32?x{zu2c:o:4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f1<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm65`94?76290;w)==2;5f?M5602c:o=4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28<0(8hm:048yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb822>"2nk0::6sr}|9j5fe=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96407}#:<81=i94V0``>7}#=oi1=n5+5g`95f=zutw0e<;:0yO770=9r\:=>4={%066?7ci2\:nn4={%7eg?7d3-?mn7?l;|~y>o6lk0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74be3_;io74e<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ae<^8hh6?u+5ga95f=#=oh1=n5r}|8m4bc290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`a=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5ac=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:k2`c<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=ih4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7d:2\:nn4={%7eg?763-?mn7?>;|~y>o6k:0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b18R4dd2;q/9km5109'1cd=981vqps4i0a7>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h86X>bb81!3ak3;:7);ib;32?x{zu2c:o84?:%116?7en2\8>94>{M112?7|^8;86?u+24095f3<^8hh6?u+5ga954=#=oh1=<5r}|8m4e1290/??<51cd8R64328qG??851zT256<5s-8>>7?l6:T2ff<5s-?mo7?>;%7ef?763twvq6g>c683>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a210=83<1<7>t$201>75c3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2gf<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nm4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c?2\:nn4={%7eg?763-?mn7?>;|~y>o6lh0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km5109'1cd=981vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi:8j50;094?6|,:896h;4H23;?l7en3:1(><=:0`e?>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c45e?6=;3:1<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?763-?mn7?>;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km51`9'1cd=9h1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi:;750;494?6|,:896"6m90:j6g>bg83>!55:3;ij6X<2582I55>3;pZ?=;:3y'604=9kl0ZP4:=0:wA==6;3xR7532;q/>8<5e69U5ge=:r.>jn4>1:&6bg<692wvqp5fe983>!55:3o37[==4;0xH64128q]>>:52z&117kj53zT254<5s-?mm7k7;%1;f?3ak2w]=<<52z&6bd<6jo1/?5l55ga8y!01j3;27pX=fe80S76938p(8hn:d:8 6>e21381!3ai3;ij6*<8c86bg=z,?0`d3t.=:o4>2:U607=:r\:=<4={%7ee?c?3-93n7;ic:'23d=9l1vqp5f2g494?"4:;09j;5Y33696~J4:?0:w[<<4;0x 7352;l=7[?mc;0x 0`d28n0(8hm:0f8yx{K;;?1=vX<1c81S76938p(8hn:3d5?!5?j3?mo6s+67`95a=zut1b>hh50;&067<5mo1]??:52zN063<6s_88877ca3_;io74b<,i7>53;294~"4:;08=k5G30:8m4e7290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,47jo4>1:~yx=zj??m6=4>:183!55:39956F<199l66c=83.8>?4=3d98yg0193:1?7>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28;0(8hm:038yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb825>"2nk0:=6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,476<729q/??<57d9K74>P6jj09w);ic;32?!3aj3;:7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=<5+5g`954=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e>?91<7;50;2x 6452>o0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;h7);ib;3`?x{zu2c:on4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;o;7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a232=83<1<7>t$201>2c<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<6k2.>jo4>c:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7d3-?mn7?l;|~y>o6kj0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74ed3_;io74e<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga95f=#=oh1=n5r}|8m4bf290/??<51cd8R64328qG??851zT256<5s-8>>7?ka:T2ff<5s-?mo7?l;%7ef?7d3twvq6a=3d83>!55:388i6X<2582I55>3;pZ56;294~"4:;0?4>bg9U772=9rF8>;4>{W327?4|,;?964e7}#:<81=n?4V0``>7}#=oi1=n5+5g`95f=zutw0e<>;W110?7|D:8=6{zut1b=i950;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;h7);ib;3`?x{zu2c:hl4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528nj7[?mc;0x 0`d28i0(8hm:0a8yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f301290=6=4?{%116?1b3A9:46g>c183>!55:3;ij6X<2582I55>3;pZjo4>c:~yx=n9ji1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?7d3-?mn7?l;|~y>o6l>0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74b03_;io74e<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ag<^8hh6?u+5ga95f=#=oh1=n5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm67594?0=83:p(><=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5f7=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:k2gf<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:0a8 0`e28i0qpsr;h3g3?6=,:896P4:=0:wA==6;3xR4742;q/>8<51e58R4dd2;q/9km51b9'1cd=9j1vqps4i0fb>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>d`9U5ge=:r.>jn4>c:&6bg<6k2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th=:=4?:983>5}#;;81>>j4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>1:&6bg<692wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28;0(8hm:038yx{z3`;ho7>5$201>4da3_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5a1=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9mh1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0fa?S7ek38p(8hl:038 0`e28;0qpsr;h3gg?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,5<#;;81=oh4;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn98::185>5<7s-99>7?md:J05==#9l:1=k5f1cd94?"4:;0:nk5Y33695~J4:?0:w[<<4;0x 73528hm7[?mc;0x 0`d28;0(8hm:038yx{z3`o<6=4+3309a2=Q;;>1=vB<2782S44<38p(?;=:d58R4dd2;q/9km5109'1cd=981vqps4id:94?"4:;0n46X<2581I55>3;pZ?=;:3y'604=m11]=om52z&6bf<6;2.>jo4>3:~yI55=3>pZ?hk:2yU547=:r.>jl4j8:&06m:4d`?x"1>k0:56sY2gf97~P69809w);ia;g;?!5?j3?mo6sY10096~"2nh0:nk5+39`91cd`><,:2i68hl;|T257<5s-?mm7k8;%1;f?3ak2w/:;l5139~R7362;q]=5<#;;81>k84V207>7}K;;<1=vX=3581!42:38m:6X>bb81!3ak3;o7);ib;3g?x{zD:8>6"40k0>jn5r$74a>4b<;:3yO770=9r\9?94={%066?4bn2\:nn4={%7eg?7c3-?mn7?k;|~H64228q]?5}#;;81?<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>1:&6bg<692wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28;0(8hm:038yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f13a290:6=4?{%116?5512B8=55`22g94?"4:;09?h54}c655?6=;3:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io74e<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>c:&6bg<6k2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th?:?4?:583>5}#;;81;h5G30:8m4e7290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5f7=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:k2gf<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nm4V0``>7}#=oi1=n5+5g`95f=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{eo0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km51b9'1cd=9j1vqps4i0a2>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1ba94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>d683>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?9640jo4>c:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`725<72=0;6=u+330966b<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<692.>jo4>1:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:038 0`e28;0qpsr;h3`g?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,5<#;;81=oh4;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn9;?:185>5<7s-99>7?md:J05==#9l:1=k5f1cd94?"4:;0:nk5Y33695~J4:?0:w[<<4;0x 73528hm7[?mc;0x 0`d28;0(8hm:038yx{z3`o<6=4+3309a2=Q;;>1=vB<2782S44<38p(?;=:d58R4dd2;q/9km5109'1cd=981vqps4id:94?"4:;0n46X<2581I55>3;pZ?=;:3y'604=m11]=om52z&6bf<6;2.>jo4>3:~yI55=3>pZ?hk:2yU547=:r.>jl4j8:&06m:4d`?x"1>k0:56sY2gf97~P69809w);ia;g;?!5?j3?mo6sY10096~"2nh0:nk5+39`91cd`><,:2i68hl;|T257<5s-?mm7k8;%1;f?3ak2w/:;l5139~R7362;q]=5<#;;81>k84V207>7}K;;<1=vX=3581!42:38m:6X>bb81!3ak3;o7);ib;3g?x{zD:8>6"40k0>jn5r$74a>4b<;:3yO770=9r\9?94={%066?4bn2\:nn4={%7eg?7c3-?mn7?k;|~H64228q]?5}#;;81?<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>1:&6bg<692wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28;0(8hm:038yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f12e290:6=4?{%116?5512B8=55`22g94?"4:;09?h54}c67`?6=;3:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io74e<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>c:&6bg<6k2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th?8h4?:283>5}#;;81;h5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>c083>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,4ejo4>1:~yx=zj=>h6=4<:183!55:388h6F<199j5f6=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`714<72;0;6=u+3309a0=O;820ejo4>1:~yx=zj=?h6=4<:183!55:39:j6F<199j5f6=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>a:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`71g<72?0;6=u+33095gb<@:;37)?j0;3e?l7en3:1(><=:0`e?S55<3;p@><9:0yU662=:r.99?4>bg9U5ge=:r.>jn4>1:&6bg<692wvqp5fe683>!55:3o<7[==4;3xH64128q]>>:52z&117;|~y>ob03:1(><=:d:8R6432;qG??851zT171<5s-8>>7k7;W3ag?4|,45`><,:2i68hl;|T257<5s-?mm7?mf:&0:3y'1cg=m11/?5l55ga8yS76:38p(8hn:0`e?!5?j3?mn6s+67`95<=z^;lo6>uY10396~"2nh0n46*<8c86bf=z^8;96?u+5gc9a2=#;1h19km4}%45f?753t\99<4={W325?4|,0`d3t.=:o4>e:~y>o5n?0;6)==2;0e2>P4:=09wA==6;3xR7532;q/>8<52g48R4dd2;q/9km51e9'1cd=9m1vqpB<2482S56j38pZ:3y'1cg=:o<0(>6m:4d`?x"1>k0:h6sr}:k1ac<72-99>77}#:<81>hh4V0``>7}#=oi1=i5+5g`95a=zutF8>84>{W12f?4|^8;:6?u+5gc96``<,:2i68hl;|&52g<6l2wvq6a=3d83>!55:388i65rb571>5<4290;w)==2;12b>N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>1:&6bg<692wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th?9>4?:083>5}#;;81??74H23;?j44m3:1(><=:31f?>{e<o0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km51b9'1cd=9j1vqps4i0a2>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1ba94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28i0(8hm:0a8yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f131290=6=4?{%116?1b3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;3`?!3aj3;h7psr}:k2gf<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nm4V0``>7}#=oi1=n5+5g`95f=zutw0e<;:0yO770=9r\:=>4={%066?7c?2\:nn4={%7eg?713-?mn7?9;|~y>o6lh0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km51b9'1cd=9j1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi88950;594?6|,:896:k4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;ho7>5$201>4da3_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5a1=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9640jo4>c:~yx=n9mh1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0fa?S7ek38p(8hl:0a8 0`e28i0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn9;7:184>5<7s-99>79j;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km51b9'1cd=9j1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;h7);ib;3`?x{zu2c:on4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga95f=#=oh1=n5r}|8m4b0290/??<51cd8R64328qG??851zT256<5s-8>>7?k7:T2ff<5s-?mo7?9;%7ef?713twvq6g>d`83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;3`?!3aj3;h7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo::9;293?6=8r.8>?48e:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:0a8 0`e28i0qpsr;h3`5?6=,:896{zut1b=nm50;&067<6jo1]??:51zN063<6s_;:?74ed3_;io74e<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d69U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1ec94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528nj7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;on7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`g=Q9ki1>v*:fb82g>"2nk0:o6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,473<729q/??<522f8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,47jo4>1:~yx=n9m=1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f4?S7ek38p(8hl:038 0`e28;0qpsr;h3ge?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,5<#;;81=oh4;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn9k::185>5<7s-99>7?md:J05==#9l:1=k5f1cd94?"4:;0:nk5Y33695~J4:?0:w[<<4;0x 73528hm7[?mc;0x 0`d28;0(8hm:038yx{z3`o<6=4+3309a2=Q;;>1=vB<2782S44<38p(?;=:d58R4dd2;q/9km5109'1cd=981vqps4id:94?"4:;0n46X<2581I55>3;pZ?=;:3y'604=m11]=om52z&6bf<6;2.>jo4>3:~yI55=3>pZ?hk:2yU547=:r.>jl4j8:&06m:4d`?x"1>k0:56sY2gf97~P69809w);ia;g;?!5?j3?mo6sY10096~"2nh0:nk5+39`91cd`><,:2i68hl;|T257<5s-?mm7k8;%1;f?3ak2w/:;l5139~R7362;q]=5<#;;81>k84V207>7}K;;<1=vX=3581!42:38m:6X>bb81!3ak3;o7);ib;3g?x{zD:8>6"40k0>jn5r$74a>4b<;:3yO770=9r\9?94={%066?4bn2\:nn4={%7eg?7c3-?mn7?k;|~H64228q]?5}#;;81?<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6a=3d83>!55:388i6X<2582I55>3;pZon7>51;294~"4:;08>45G30:8k75b290/??<522g8?xd3lm0;6>4?:1y'774=?l1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm4eg94?5=83:p(><=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,47jo4>1:~yx=zj=nm6=4;:183!55:3=n7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=n5+5g`95f=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7d3-?mn7?l;|~y>o6kj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km51b9'1cd=9j1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi8h>50;694?6|,:896:k4H23;?l7d83:1(><=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga95f=#=oh1=n5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>cb83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964ejo4>1:~yx=zj=o:6=4::183!55:3=n7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=n5+5g`95f=zutw0e:18'774=9kl0D><>;W110?7|D:8=6{zut1b=nm50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;h7);ib;3`?x{zu2c:h:4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga95f=#=oh1=n5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm4d094?0=83:p(><=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb822>"2nk0::6sr}|9j5f7=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:k2gf<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:0a8 0`e28i0qpsr;h3g3?6=,:896P4:=0:wA==6;3xR4742;q/>8<51e58R4dd2;q/9km51b9'1cd=9j1vqps4i0fb>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;om6X>bb81!3ak3;h7);ib;3`?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg2b;3:1;7>50z&067<0m2B8=55f1b294?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?9;%7ef?713twvq6g>c083>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,407}#:<81=nm4V0``>7}#=oi1=n5+5g`95f=zutw0e<>;W110?7|D:8=6{zut1b=io50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;om6X>bb81!3ak3;h7);ib;3`?x{zu2c:ho4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ad<^8hh6?u+5ga95f=#=oh1=n5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm4ea94?>=83:p(><=:31g?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6g>cb83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`d<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=io4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7cj2\:nn4={%7eg?763-?mn7?>;|~y>o6lj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ea8R4dd2;q/9km5109'1cd=981vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi?k650;094?6|,:896h;4H23;?l7en3:1(><=:0`e?>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c635?6=;3:1<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?763-?mn7?>;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km51`9'1cd=9h1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi8=>50;494?6|,:896"6m90:j6g>bg83>!55:3;ij6X<2582I55>3;pZ?=;:3y'604=9kl0ZP4:=0:wA==6;3xR7532;q/>8<5e69U5ge=:r.>jn4>1:&6bg<692wvqp5fe983>!55:3o37[==4;0xH64128q]>>:52z&117kj53zT254<5s-?mm7k7;%1;f?3ak2w]=<<52z&6bd<6jo1/?5l55ga8y!01j3;27pX=fe80S76938p(8hn:d:8 6>e21381!3ai3;ij6*<8c86bg=z,?0`d3t.=:o4>2:U607=:r\:=<4={%7ee?c?3-93n7;ic:'23d=9l1vqp5f2g494?"4:;09j;5Y33696~J4:?0:w[<<4;0x 7352;l=7[?mc;0x 0`d28n0(8hm:0f8yx{K;;?1=vX<1c81S76938p(8hn:3d5?!5?j3?mo6s+67`95a=zut1b>hh50;&067<5mo1]??:52zN063<6s_88877ca3_;io74b<,53;294~"4:;08=k5G30:8m4e7290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,47jo4>1:~yx=zj:lj6=4>:183!55:39956F<199l66c=83.8>?4=3d98yg5ak3:187>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;h=7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;3`?!3aj3;h7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo=id;293?6=8r.8>?48e:J05==n9j:1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?7d3-?mn7?l;|~y>o6k80;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e63_;io74e<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga95f=#=oh1=n5r}|8m4b0290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`2=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5ag=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:k2`g<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=il4V0``>7}#=oi1=n5+5g`95f=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e;oo1<7950;2x 6452>o0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km51b9'1cd=9j1vqps4i0a2>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1ba94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>d683>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964e7}#:<81=io4V0``>7}#=oi1=n5+5g`95f=zutw0e<>;W110?7|D:8=6{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>1:&6bg<692wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th?5}#;;81i85G30:8m4da290/??<51cd8?j44m3:1(><=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb52b>5<1290;w)==2;3a`>N4911/=h>51g9j5g`=83.8>?4>bg9U772=9rF8>;4>{W000?4|,;?96471]??:51zN063<6s_8887`1<^8hh6?u+5ga954=#=oh1=<5r}|8m`>=83.8>?4j8:T061<5sE99:7?tV317>7}#:<81i55Y1ca96~"2nj0:?6*:fc827>{zuE9997:tV3dg>6}Q98;1>v*:f`8f<>"40k0>jn5rV031>7}#=ok1=oh4$2:a>0`d3t.=:o4>9:U6cb=;r\:=<4={%7ee?c?3-93n7;ic:U544=:r.>jl4>bg9'7=d==oh0q)89b;3:?xP5nm08w[?>1;0x 0`f2l20(>6m:4d`?xP69;09w);ia;g4?!5?j3?mo6s+67`957=z^;?:6?uY10396~"2nh0n46*<8c86bf=z,?>7<::0yU74d=:r\:=<4={%7ee?4a>2.84o4:fb9~ 30e28n0qps4i3ge>5<#;;81>hh4V207>7}K;;<1=vX=3581!42:38nj6X>bb81!3ak3;o7);ib;3g?x{zD:8>6"40k0>jn5r$74a>4b6<729q/??<530d8L67?3`;h<7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo:?4;295?6=8r.8>?4<289K74>5}#;;81;h5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a051=8391<7>t$201>2c<@:;37d?l0;29 64528hm7E==1:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=n5+5g`95f=zutw0e:18'774=9kl0D><>;W110?7|D:8=6{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,4?:1y'774=?l1C?<64i0a3>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28i0(8hm:0a8yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f162290?6=4?{%116?44l2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28;0(8hm:038yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5fe=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=zj=:i6=4=:183!55:3o>7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,473<729q/??<51cf8L67?3-;n<7?i;h3ab?6=,:896{zut1bi:4?:%116?c03_9987?tL205>4}Q::>1>v*=538f3>P6jj09w);ic;32?!3aj3;:7psr}:kf7}K;;<1=vX=3581!42:3o37[?mc;0x 0`d2890(8hm:018yx{K;;?18vX=fe80S76938p(8hn:d:8 6>e21381!3ai3;ij6*<8c86bf=z,?1;0x 0`f2l20(>6m:4d`?x"1>k0:i6sr}:k1b3<72-99>77}#:<81>k84V0``>7}#=oi1=i5+5g`95a=zutF8>84>{W12f?4|^8;:6?u+5gc96c0<,:2i68hl;|&52g<6l2wvq6g=eg83>!55:38nj6X<2581I55>3;pZ?=;:3y'604=:ll0Zb;0xR4762;q/9ko52dd8 6>e2{zu2e9?h4?:%116?44m21vn9>l:180>5<7s-99>7=>f:J05==n9j:1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?763-?mn7?>;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5109'1cd=981vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi8=j50;394?6|,:896><6;I12<>i5;l0;6)==2;00a>=zj=:m6=4<:183!55:3=n7E=>8:k2g5<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:0a8 0`e28i0qpsr;h3`5?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km51b9'1cd=9j1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi8<>50;194?6|,:896:k4H23;?l7d83:1(><=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga95f=#=oh1=n5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82g>"2nk0:o6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,476<729q/??<57d9K74>jo4>c:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:0a8 0`e28i0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn9?=:187>5<7s-99>79j;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km51b9'1cd=9j1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;h7);ib;3`?x{zu2c:on4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga95f=#=oh1=n5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm40194?2=83:p(><=:6g8L67?3`;h<7>5$201>4da3A99=6X<2582I55>3;pZjo4>c:~yx=n9ji1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:0a8 0`e28i0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn9>j:185>5<7s-99>7<1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:038 0`e28;0qpsr;h3`5?6=,:896{zut1b=nm50;&067<6jo1]??:51zN063<6s_;:?74ed3_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d69U5ge=:r.>jn4>1:&6bg<692wvqp5f1ec94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528nj7[?mc;0x 0`d28;0(8hm:038yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f1b629096=4?{%116?c23A9:46g>bg83>!55:3;ij65`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th?h54?:783>5}#;;81=oj4H23;?!7b83;m7d?mf;29 64528hm7[==4;3xH64128q]>>:52z&117<6jo1]=om52z&6bf<692.>jo4>1:~yx=nm>0;6)==2;g4?S55<3;p@><9:0yU662=:r.99?4j7:T2ff<5s-?mo7?>;%7ef?763twvq6gj8;29 6452l20Z><;:3yO770=9r\9?94={%066?c?3_;io745<,0`d3t\:=?4={%7ee?7en2.84o4:fb9~ 30e2830q[2;0x 0`f28hm7)=7b;7ef>{#>?h1=45rV3dg>6}Q98;1>v*:f`8f<>"40k0>jn5rV031>7}#=ok1i:5+39`91ce`><,:2i68hl;|&52g<6m2wvq6g=f783>!55:38m:6X<2581I55>3;pZ?=;:3y'604=:o<0Zb;0xR4762;q/9ko52g48 6>e2{zu2c9ik4?:%116?4bn2\8>94={M112?7|^;9?6?u+24096``<^8hh6?u+5ga95a=#=oh1=i5r}|N060<6s_9:n77}#=ok1>hh4$2:a>0`d3t.=:o4>d:~y>i5;l0;6)==2;00a>=zj=n96=4<:183!55:39:j6F<199j5f6=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`7`6<7280;6=u+330977?<@:;37b<<=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,4ejo4>1:~yx=zj=n=6=4<:183!55:3=n7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=n5+5g`95f=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7d3-?mn7?l;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c6g0?6=;3:1<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?763-?mn7?>;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5109'1cd=981vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi8<850;094?6|,:896h;4H23;?l7en3:1(><=:0`e?>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c62b?6=;3:1<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?763-?mn7?>;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km51`9'1cd=9h1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi8"6m90:j6g>bg83>!55:3;ij6X<2582I55>3;pZ?=;:3y'604=9kl0ZP4:=0:wA==6;3xR7532;q/>8<5e69U5ge=:r.>jn4>1:&6bg<692wvqp5fe983>!55:3o37[==4;0xH64128q]>>:52z&117kj53zT254<5s-?mm7k7;%1;f?3ak2w]=<<52z&6bd<6jo1/?5l55ga8y!01j3;27pX=fe80S76938p(8hn:d:8 6>e21381!3ai3;ij6*<8c86bg=z,?0`d3t.=:o4>2:U607=:r\:=<4={%7ee?c?3-93n7;ic:'23d=9l1vqp5f2g494?"4:;09j;5Y33696~J4:?0:w[<<4;0x 7352;l=7[?mc;0x 0`d28n0(8hm:0f8yx{K;;?1=vX<1c81S76938p(8hn:3d5?!5?j3?mo6s+67`95a=zut1b>hh50;&067<5mo1]??:52zN063<6s_88877ca3_;io74b<,:;7>53;294~"4:;08=k5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?>;%7ef?763twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a04>=83;1<7>t$201>64>3A9:46a=3d83>!55:388i65rb53b>5<3290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga95f=#=oh1=n5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5fe=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964ejo4>1:~yx=zj=;i6=4::183!55:3=n7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=n5+5g`95f=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7d3-?mn7?l;|~y>o6kj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km51b9'1cd=9j1vqps4i0f4>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;h7);ib;3`?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg26k3:197>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5fe=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964e7}#:<81=i94V0``>7}#=oi1=n5+5g`95f=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e<831<7:50;2x 6452;9o7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=<5+5g`954=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?763-?mn7?>;|~y>o6kj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km5109'1cd=981vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi8?>50;094?6|,:896h;4H23;?l7en3:1(><=:0`e?>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c61e?6=;3:1<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?763-?mn7?>;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km51`9'1cd=9h1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi8?750;494?6|,:896"6m90:j6g>bg83>!55:3;ij6X<2582I55>3;pZ?=;:3y'604=9kl0ZP4:=0:wA==6;3xR7532;q/>8<5e69U5ge=:r.>jn4>1:&6bg<692wvqp5fe983>!55:3o37[==4;0xH64128q]>>:52z&117kj53zT254<5s-?mm7k7;%1;f?3ak2w]=<<52z&6bd<6jo1/?5l55ga8y!01j3;27pX=fe80S76938p(8hn:d:8 6>e21381!3ai3;ij6*<8c86bg=z,?0`d3t.=:o4>2:U607=:r\:=<4={%7ee?c?3-93n7;ic:'23d=9l1vqp5f2g494?"4:;09j;5Y33696~J4:?0:w[<<4;0x 7352;l=7[?mc;0x 0`d28n0(8hm:0f8yx{K;;?1=vX<1c81S76938p(8hn:3d5?!5?j3?mo6s+67`95a=zut1b>hh50;&067<5mo1]??:52zN063<6s_88877ca3_;io74b<,9=7>53;294~"4:;08=k5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?>;%7ef?763twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a074=83;1<7>t$201>64>3A9:46a=3d83>!55:388i65rb507>5<3290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga95f=#=oh1=n5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5fe=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964ejo4>1:~yx=zj=8>6=49:183!55:3=n7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=n5+5g`95f=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7d3-?mn7?l;|~y>o6kj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km51b9'1cd=9j1vqps4i0f4>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;h7);ib;3`?x{zu2c:hl4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ag<^8hh6?u+5ga95f=#=oh1=n5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm43494?0=83:p(><=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,4ejo4>c:~yx=n9m=1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f4?S7ek38p(8hl:0a8 0`e28i0qpsr;h3ge?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km51b9'1cd=9j1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi8?950;494?6|,:896:k4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;ho7>5$201>4da3A99=6X<2582I55>3;pZ1]=om52z&6bf<6k2.>jo4>c:~yx=n9mk1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0fb?S7ek38p(8hl:0a8 0`e28i0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn9<<:186>5<7s-99>7<1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:038 0`e28;0qpsr;h3`5?6=,:896{zut1b=nm50;&067<6jo1]??:51zN063<6s_;:?74ed3_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d69U5ge=:r.>jn4>1:&6bg<692wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th8>l4?:383>5}#;;81i85G30:8m4da290/??<51cd8?j44m3:1(><=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb213>5<4290;w)==2;12b>N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>a:&6bg<6i2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th8>k4?:783>5}#;;81=oj4H23;?!7b83;m7d?mf;29 64528hm7[==4;3xH64128q]>>:52z&117<6jo1]=om52z&6bf<692.>jo4>1:~yx=nm>0;6)==2;g4?S55<3;p@><9:0yU662=:r.99?4j7:T2ff<5s-?mo7?>;%7ef?763twvq6gj8;29 6452l20Z><;:3yO770=9r\9?94={%066?c?3_;io745<,0`d3t\:=?4={%7ee?7en2.84o4:fb9~ 30e2830q[2;0x 0`f28hm7)=7b;7ef>{#>?h1=45rV3dg>6}Q98;1>v*:f`8f<>"40k0>jn5rV031>7}#=ok1i:5+39`91ce`><,:2i68hl;|&52g<6m2wvq6g=f783>!55:38m:6X<2581I55>3;pZ?=;:3y'604=:o<0Zb;0xR4762;q/9ko52g48 6>e2{zu2c9ik4?:%116?4bn2\8>94={M112?7|^;9?6?u+24096``<^8hh6?u+5ga95a=#=oh1=i5r}|N060<6s_9:n77}#=ok1>hh4$2:a>0`d3t.=:o4>d:~y>i5;l0;6)==2;00a>=zj:8i6=4<:183!55:39:j6F<199j5f6=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`06f<7280;6=u+330977?<@:;37b<<=:31`?M5602e9?h4?:%116?44m21vn>:k:181>5<7s-99>7k:;I12<>o6jo0;6)==2;3ab>=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`016<72:0;6=u+330974`<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<692.>jo4>1:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:0c8 0`e28k0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn>;=:185>5<7s-99>7?md:J05==#9l:1=k5f1cd94?"4:;0:nk5Y33695~J4:?0:w[<<4;0x 73528hm7[?mc;0x 0`d28;0(8hm:038yx{z3`o<6=4+3309a2=Q;;>1=vB<2782S44<38p(?;=:d58R4dd2;q/9km5109'1cd=981vqps4id:94?"4:;0n46X<2581I55>3;pZ?=;:3y'604=m11]=om52z&6bf<6;2.>jo4>3:~yI55=3>pZ?hk:2yU547=:r.>jl4j8:&06m:4d`?x"1>k0:56sY2gf97~P69809w);ia;g;?!5?j3?mo6sY10096~"2nh0:nk5+39`91cd`><,:2i68hl;|T257<5s-?mm7k8;%1;f?3ak2w/:;l5139~R7362;q]=5<#;;81>k84V207>7}K;;<1=vX=3581!42:38m:6X>bb81!3ak3;o7);ib;3g?x{zD:8>6"40k0>jn5r$74a>4b<;:3yO770=9r\9?94={%066?4bn2\:nn4={%7eg?7c3-?mn7?k;|~H64228q]?5}#;;81?<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>1:&6bg<692wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28;0(8hm:038yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f62a290:6=4?{%116?5512B8=55`22g94?"4:;09?h54}c164?6=>3:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io74e<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1ba94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;o;7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`2=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5ag=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964ejo4>1:~yx=zj:??6=4=:183!55:3o>7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,476<729q/??<530d8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,4gjo4>1:~yx=zj:?26=49:183!55:3;ih6F<199'5`6=9o1b=oh50;&067<6jo1]??:51zN063<6s_88874da3_;io747<,;4>{W000?4|,;?96h94V0``>7}#=oi1=<5+5g`954=zutw0eh650;&06794={M112?7|^;9?6?u+2409a==Q9ki1>v*:fb827>"2nk0:?6sr}M111?2|^;lo6>uY10396~"2nh0n46*<8c86bf=z^8;96?u+5gc95g`<,:2i68hl;|&52g<612w]>kj53zT254<5s-?mm7k7;%1;f?3ak2w]=<<52z&6bd<6jo1/?5l55g`8y!01j3;27pX=fe80S76938p(8hn:d:8 6>e21381!3ai3o<7)=7b;7eg>{#>?h1=?5rV372>7}Q98;1>v*:f`8f<>"40k0>jn5r$74a>4c<;:3yO770=9r\9?94={%066?4a>2\:nn4={%7eg?7c3-?mn7?k;|~H64228q]?P6jj09w);ic;3g?!3aj3;o7psrL206>4}Q;8h1>vX>1081!3ai38nj6*<8c86bf=z,?4?:1y'774=;8l0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb275>5<6290;w)==2;11=>N4911d>>k50;&067<5;l10qo=:7;293?6=8r.8>?48e:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:0a8 0`e28i0qpsr;h3`5?6=,:896{zut1b=nm50;&067<6jo1]??:51zN063<6s_;:?74ed3_;io74e<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d69U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1ec94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528nj7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;on7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`g=Q9ki1>v*:fb82g>"2nk0:o6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,477<729q/??<5e49K74>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f1>a290=6=4?{%116?7el2B8=55+1d295c=n9kl1<7*<2382fc=Q;;>1=vB<2782S44<38p(?;=:0`e?S7ek38p(8hl:038 0`e28;0qpsr;hg4>5<#;;81i:5Y33695~J4:?0:w[<<4;0x 7352l=0ZP4:=09wA==6;3xR7532;q/>8<5e99U5ge=:r.>jn4>3:&6bg<6;2wvqA==5;6xR7`c2:q]=e2{Q:on1?vX>1081!3ai3o37)=7b;7eg>{Q9881>v*:f`82fc=#;1h19kl4}%45f?7>3t\9ji4<{W325?4|,0`d3t\:=?4={%7ee?c03-93n7;ic:'23d=9;1vZ?;>:3yU547=:r.>jl4j8:&0P6jj09w);ic;3g?!3aj3;o7psrL206>4}Q;8h1>vX>1081!3ai38m:6*<8c86bf=z,?>7<::0yU74d=:r\:=<4={%7ee?4bn2.84o4:fb9~ 30e28n0qps4o31f>5<#;;81>>k4;|`7jo4>1:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:038 0`e28;0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn96l:182>5<7s-99>7==9:J05==h::o1<7*<23817`=3h7>53;294~"4:;0?4>bg9U772=9rF8>;4>{W327?4|,;?964ejo4>c:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`074<72;0;6=u+3309a0=O;820ejo4>1:~yx=zj:9<6=4<:183!55:39:j6F<199j5f6=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>a:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`073<72?0;6=u+33095gb<@:;37)?j0;3e?l7en3:1(><=:0`e?S55<3;p@><9:0yU662=:r.99?4>bg9U5ge=:r.>jn4>1:&6bg<692wvqp5fe683>!55:3o<7[==4;3xH64128q]>>:52z&117;|~y>ob03:1(><=:d:8R6432;qG??851zT171<5s-8>>7k7;W3ag?4|,45`><,:2i68hl;|T257<5s-?mm7?mf:&0:3y'1cg=m11/?5l55ga8yS76:38p(8hn:0`e?!5?j3?mn6s+67`95<=z^;lo6>uY10396~"2nh0n46*<8c86bf=z^8;96?u+5gc9a2=#;1h19km4}%45f?753t\99<4={W325?4|,0`d3t.=:o4>e:~y>o5n?0;6)==2;0e2>P4:=09wA==6;3xR7532;q/>8<52g48R4dd2;q/9km51e9'1cd=9m1vqpB<2482S56j38pZ:3y'1cg=:o<0(>6m:4d`?x"1>k0:h6sr}:k1ac<72-99>77}#:<81>hh4V0``>7}#=oi1=i5+5g`95a=zutF8>84>{W12f?4|^8;:6?u+5gc96``<,:2i68hl;|&52g<6l2wvq6a=3d83>!55:388i65rb211>5<4290;w)==2;12b>N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>1:&6bg<692wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th8?>4?:083>5}#;;81??74H23;?j44m3:1(><=:31f?>{e;:>1<7=50;2x 6452>o0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io74e<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb21;>5<5290;w)==2;g6?M5602c:nk4?:%116?7en21d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,4?:1y'774=;8l0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io74g<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb21g>5<1290;w)==2;3a`>N4911/=h>51g9j5g`=83.8>?4>bg9U772=9rF8>;4>{W000?4|,;?96471]??:51zN063<6s_8887`1<^8hh6?u+5ga954=#=oh1=<5r}|8m`>=83.8>?4j8:T061<5sE99:7?tV317>7}#:<81i55Y1ca96~"2nj0:?6*:fc827>{zuE9997:tV3dg>6}Q98;1>v*:f`8f<>"40k0>jn5rV031>7}#=ok1=oh4$2:a>0`d3t.=:o4>9:U6cb=;r\:=<4={%7ee?c?3-93n7;ic:U544=:r.>jl4>bg9'7=d==oh0q)89b;3:?xP5nm08w[?>1;0x 0`f2l20(>6m:4d`?xP69;09w);ia;g4?!5?j3?mo6s+67`957=z^;?:6?uY10396~"2nh0n46*<8c86bf=z,?>7<::0yU74d=:r\:=<4={%7ee?4a>2.84o4:fb9~ 30e28n0qps4i3ge>5<#;;81>hh4V207>7}K;;<1=vX=3581!42:38nj6X>bb81!3ak3;o7);ib;3g?x{zD:8>6"40k0>jn5r$74a>4b6<729q/??<530d8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,47jo4>1:~yx=zj:9j6=4>:183!55:39956F<199l66c=83.8>?4=3d98yg54j3:1?7>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82g>"2nk0:o6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,477<729q/??<5e49K74>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f62229086=4?{%116?56n2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28;0(8hm:038yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82e>"2nk0:m6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,473<729q/??<51cf8L67?3-;n<7?i;h3ab?6=,:896{zut1bi:4?:%116?c03_9987?tL205>4}Q::>1>v*=538f3>P6jj09w);ic;32?!3aj3;:7psr}:kf7}K;;<1=vX=3581!42:3o37[?mc;0x 0`d2890(8hm:018yx{K;;?18vX=fe80S76938p(8hn:d:8 6>e21381!3ai3;ij6*<8c86bf=z,?1;0x 0`f2l20(>6m:4d`?x"1>k0:i6sr}:k1b3<72-99>77}#:<81>k84V0``>7}#=oi1=i5+5g`95a=zutF8>84>{W12f?4|^8;:6?u+5gc96c0<,:2i68hl;|&52g<6l2wvq6g=eg83>!55:38nj6X<2581I55>3;pZ?=;:3y'604=:ll0Zb;0xR4762;q/9ko52dd8 6>e2{zu2e9?h4?:%116?44m21vn>:?:180>5<7s-99>7=>f:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:038 0`e28;0qpsr;h3`5?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,?7;n00a?6=,:896?=j;:a714=83>1<7>t$201>2c<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<6k2.>jo4>c:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:0a8 0`e28i0qpsr;h3`g?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,5<#;;81=oh4;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn>:l:180>5<7s-99>7=>f:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:038 0`e28;0qpsr;h3`5?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,?7;%3f4?7a3`;ij7>5$201>4da3_9987?tL205>4}Q::>1>v*=5382fc=Q9ki1>v*:fb825>"2nk0:=6sr}|9ja2<72-99>7k8;W110?7|D:8=6bb81!3ak3;:7);ib;32?x{zu2cn47>5$201>`><^:8?6?uC33495~P5;=09w)<:2;g;?S7ek38p(8hl:018 0`e2890qpsC33790~P5nm08w[?>1;0x 0`f2l20(>6m:4d`?xP69;09w);ia;3ab>"40k0>jn5r$74a>4?7}#=ok1i55+39`91ce74da3-93n7;ib:'23d=901vZ?hk:2yU547=:r.>jl4j8:&01/?5l55ga8y!01j3;97pX=5081S76938p(8hn:d:8 6>e2{zu2c9j;4?:%116?4a>2\8>94={M112?7|^;9?6?u+24096c0<^8hh6?u+5ga95a=#=oh1=i5r}|N060<6s_9:n77}#=ok1>k84$2:a>0`d3t.=:o4>d:~y>o5mo0;6)==2;0fb>P4:=09wA==6;3xR7532;q/>8<52dd8R4dd2;q/9km51e9'1cd=9m1vqpB<2482S56j38pZ:3y'1cg=:ll0(>6m:4d`?x"1>k0:h6sr}:m17`<72-99>7<3;0x 73528i;7[?mc;0x 0`d28;0(8hm:038yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb825>"2nk0:=6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,474<729q/??<533;8L67?3f88i7>5$201>75b32wi?9750;794?6|,:896:k4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;ho7>5$201>4da3_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5a1=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964ejo4>1:~yx=zj=h<6=4=:183!55:3o>7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,473<729q/??<51cf8L67?3-;n<7?i;h3ab?6=,:896{zut1bi:4?:%116?c03_9987?tL205>4}Q::>1>v*=538f3>P6jj09w);ic;32?!3aj3;:7psr}:kf7}K;;<1=vX=3581!42:3o37[?mc;0x 0`d2890(8hm:018yx{K;;?18vX=fe80S76938p(8hn:d:8 6>e21381!3ai3;ij6*<8c86bf=z,?1;0x 0`f2l20(>6m:4d`?x"1>k0:i6sr}:k1b3<72-99>77}#:<81>k84V0``>7}#=oi1=i5+5g`95a=zutF8>84>{W12f?4|^8;:6?u+5gc96c0<,:2i68hl;|&52g<6l2wvq6g=eg83>!55:38nj6X<2581I55>3;pZ?=;:3y'604=:ll0Zb;0xR4762;q/9ko52dd8 6>e2{zu2e9?h4?:%116?44m21vn9l7:180>5<7s-99>7=>f:J05==n9j:1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?763-?mn7?>;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5109'1cd=981vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi8o750;394?6|,:896><6;I12<>i5;l0;6)==2;00a>=zj=hi6=4<:183!55:3=n7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=n5+5g`95f=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7d3-?mn7?l;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c6ag?6=;3:1N4911b=n>50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;h7);ib;3`?x{zu2c:o<4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28i0(8hm:0a8yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f1dc29086=4?{%116?1b3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo:me;297?6=8r.8>?48e:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:038 0`e28;0qpsr;h3`5?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,4?:1y'774=?l1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;=7);ib;35?x{zu2c:o<4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28i0(8hm:0a8yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f1e729086=4?{%116?1b3A9:46g>c183>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964e7}#:<81=n?4V0``>7}#=oi1=n5+5g`95f=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{eo0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5179'1cd=9?1vqps4i0a2>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1ba94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?l;%7ef?7d3twvq6a=3d83>!55:388i6X<2582I55>3;pZim7>58;294~"4:;09?i5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?>;%7ef?763twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`2<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i94V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7ci2\:nn4={%7eg?763-?mn7?>;|~y>o6lk0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e`8R4dd2;q/9km5109'1cd=981vqps4i0f`>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;oo6X>bb81!3ak3;:7);ib;32?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg3al3:1>7>50z&0675<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi:=<50;494?6|,:896"6m90:j6g>bg83>!55:3;ij6X<2582I55>3;pZ?=;:3y'604=9kl0ZP4:=0:wA==6;3xR7532;q/>8<5e69U5ge=:r.>jn4>1:&6bg<692wvqp5fe983>!55:3o37[==4;0xH64128q]>>:52z&117kj53zT254<5s-?mm7k7;%1;f?3ak2w]=<<52z&6bd<6jo1/?5l55ga8y!01j3;27pX=fe80S76938p(8hn:d:8 6>e21381!3ai3;ij6*<8c86bg=z,?0`d3t.=:o4>2:U607=:r\:=<4={%7ee?c?3-93n7;ic:'23d=9l1vqp5f2g494?"4:;09j;5Y33696~J4:?0:w[<<4;0x 7352;l=7[?mc;0x 0`d28n0(8hm:0f8yx{K;;?1=vX<1c81S76938p(8hn:3d5?!5?j3?mo6s+67`95a=zut1b>hh50;&067<5mo1]??:52zN063<6s_88877ca3_;io74b<,53;294~"4:;08=k5G30:8m4e7290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,47jo4>1:~yx=zj:183!55:39956F<199l66c=83.8>?4=3d98yg0783:1?7>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28<0(8hm:048yx{z3`;h=7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a5`7=8381<7>t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ56;294~"4:;0:ni5G30:8 4c728l0e<;:0yO770=9r\9?94={%066?7en2\:nn4={%7eg?763-?mn7?>;|~y>ob?3:1(><=:d58R64328qG??851zT171<5s-8>>7k8;W3ag?4|,47`><^8hh6?u+5ga956=#=oh1=>5r}|N060<3s_8mh7=tV032>7}#=ok1i55+39`91ce74da3-93n7;ic:'23d=901vZ?hk:2yU547=:r.>jl4j8:&06m:4da?x"1>k0:56sY2gf97~P69809w);ia;g;?!5?j3?mo6sY10096~"2nh0n;6*<8c86bf=z,?>:52z&117<5n?1]=om52z&6bf<6l2.>jo4>d:~yI55=3;pZ>?m:3yU547=:r.>jl4=f79'7=d==oi0q)89b;3g?x{z3`8nj7>5$201>7ca3_99874}Q::>1>v*=5381ac=Q9ki1>v*:fb82`>"2nk0:h6sr}M111?7|^:;i6?uY10396~"2nh09ik5+39`91ce<=:31f?>{e9l81<7=50;2x 6452:;m7E=>8:k2g5<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:038 0`e28;0qpsr;h3`5?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,?7;n00a?6=,:896?=j;:a5`3=8391<7>t$201>2c<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<692.>jo4>1:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:038 0`e28;0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn5<7s-99>79j;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5109'1cd=981vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;:7);ib;32?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg7b?3:1?7>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;h=7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a5`>=83>1<7>t$201>2c<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<6k2.>jo4>c:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7d3-?mn7?l;|~y>o6kj0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74ed3_;io74e<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb0g:>5<3290;w)==2;5f?M5602c:o=4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;h=7>5$201>4da3A99=6X<2582I55>3;pZjo4>c:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`2ad<72<0;6=u+33093`=O;820e<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?7d3-?mn7?l;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km51b9'1cd=9j1vqps4i0a`>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;=7);ib;35?x{zu2c:h:4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga95f=#=oh1=n5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm1d`94?0=83:p(><=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,4e2.>jo4>6:~yx=n9m=1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f4?S7ek38p(8hl:0a8 0`e28i0qpsr;h3ge?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>1:&6bg<692wvqp5f1e594?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n<7[?mc;0x 0`d28;0(8hm:038yx{z3`;om7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`d=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5ad=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`2a`<72;0;6=u+3309a0=O;820ejo4>1:~yx=zj8lj6=4<:183!55:39:j6F<199j5f6=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>a:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`2b<<72?0;6=u+33095gb<@:;37)?j0;3e?l7en3:1(><=:0`e?S55<3;p@><9:0yU662=:r.99?4>bg9U5ge=:r.>jn4>1:&6bg<692wvqp5fe683>!55:3o<7[==4;3xH64128q]>>:52z&117;|~y>ob03:1(><=:d:8R6432;qG??851zT171<5s-8>>7k7;W3ag?4|,45`><,:2i68hl;|T257<5s-?mm7?mf:&0:3y'1cg=m11/?5l55ga8yS76:38p(8hn:0`e?!5?j3?mn6s+67`95<=z^;lo6>uY10396~"2nh0n46*<8c86bf=z^8;96?u+5gc9a2=#;1h19km4}%45f?753t\99<4={W325?4|,0`d3t.=:o4>e:~y>o5n?0;6)==2;0e2>P4:=09wA==6;3xR7532;q/>8<52g48R4dd2;q/9km51e9'1cd=9m1vqpB<2482S56j38pZ:3y'1cg=:o<0(>6m:4d`?x"1>k0:h6sr}:k1ac<72-99>77}#:<81>hh4V0``>7}#=oi1=i5+5g`95a=zutF8>84>{W12f?4|^8;:6?u+5gc96``<,:2i68hl;|&52g<6l2wvq6a=3d83>!55:388i65rb0ge>5<4290;w)==2;12b>N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>1:&6bg<692wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th:j=4?:083>5}#;;81??74H23;?j44m3:1(><=:31f?>{e9o81<7=50;2x 6452>o0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb0d0>5<2290;w)==2;5f?M5602c:o=4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;h=7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;3`?!3aj3;h7psr}:k2`2<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i94V0``>7}#=oi1=n5+5g`95f=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e9o>1<7650;2x 6452>o0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io74e<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1e594?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n<7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;om7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`d=Q9ki1>v*:fb822>"2nk0::6sr}|9j5ad=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9640jo4>c:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`2b0<7210;6=u+33093`=O;820e<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?7d3-?mn7?l;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km51b9'1cd=9j1vqps4i0a`>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;h7);ib;3`?x{zu2c:h:4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528n<7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;om7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`d=Q9ki1>v*:fb822>"2nk0::6sr}|9j5ad=83.8>?4>bg9K777<^:8?6P6jj09w);ic;35?!3aj3;=7psr}:k2`f<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=im4V0``>7}#=oi1=n5+5g`95f=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e9o<1<7750;2x 6452>o0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io74e<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1e594?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n<7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;om7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`d=Q9ki1>v*:fb822>"2nk0::6sr}|9j5ad=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9640jo4>c:~yx=n9mn1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0fg?S7ek38p(8hl:0a8 0`e28i0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn5<7s-99>79j;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km51b9'1cd=9j1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;h7);ib;3`?x{zu2c:on4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga95f=#=oh1=n5r}|8m4b0290/??<51cd8R64328qG??851zT256<5s-8>>7?k7:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>d`83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;35?!3aj3;=7psr}:k2`f<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=im4V0``>7}#=oi1=n5+5g`95f=zutw0e<;:0yO770=9r\:=>4={%066?7cl2\:nn4={%7eg?7d3-?mn7?l;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c3e5?6=?3:1<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?763-?mn7?>;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5109'1cd=981vqps4i0a`>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;:7);ib;32?x{zu2c:h:4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga954=#=oh1=<5r}|8m4bf290/??<51cd8R64328qG??851zT256<5s-8>>7?ka:T2ff<5s-?mo7?>;%7ef?763twvq6g>dc83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a6ab=8381<7>t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ7>56;294~"4:;0:ni5G30:8 4c728l0e<;:0yO770=9r\9?94={%066?7en2\:nn4={%7eg?763-?mn7?>;|~y>ob?3:1(><=:d58R64328qG??851zT171<5s-8>>7k8;W3ag?4|,47`><^8hh6?u+5ga956=#=oh1=>5r}|N060<3s_8mh7=tV032>7}#=ok1i55+39`91ce74da3-93n7;ic:'23d=901vZ?hk:2yU547=:r.>jl4j8:&06m:4da?x"1>k0:56sY2gf97~P69809w);ia;g;?!5?j3?mo6sY10096~"2nh0n;6*<8c86bf=z,?>:52z&117<5n?1]=om52z&6bf<6l2.>jo4>d:~yI55=3;pZ>?m:3yU547=:r.>jl4=f79'7=d==oi0q)89b;3g?x{z3`8nj7>5$201>7ca3_99874}Q::>1>v*=5381ac=Q9ki1>v*:fb82`>"2nk0:h6sr}M111?7|^:;i6?uY10396~"2nh09ik5+39`91ce<=:31f?>{e:mo1<7=50;2x 6452:;m7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=<5+5g`954=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?763-?mn7?>;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c0gb?6=93:16<729q/??<57d9K74>2.>jo4>6:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?713-?mn7?9;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c0f7?6=:3:1N4911b=oh50;&067<6jo10c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e:l21<7850;2x 64528ho7E=>8:&2a5<6n2c:nk4?:%116?7en2\8>94>{M112?7|^;9?6?u+24095g`<^8hh6?u+5ga954=#=oh1=<5r}|8m`1=83.8>?4j7:T061<6sE99:7?tV317>7}#:<81i:5Y1ca96~"2nj0:=6*:fc825>{zut1bi54?:%116?c?3_99874}Q::>1>v*=538f<>P6jj09w);ic;30?!3aj3;87psrL206>1}Q:on1?vX>1081!3ai3o37)=7b;7eg>{Q9881>v*:f`82fc=#;1h19km4}%45f?7>3t\9ji4<{W325?4|,0`d3t\:=?4={%7ee?7en2.84o4:fc9~ 30e2830q[2;0x 0`f2l=0(>6m:4d`?x"1>k0:>6sY24396~P69809w);ia;g;?!5?j3?mo6s+67`95`=zut1b>k850;&067<5n?1]??:52zN063<6s_88877`13_;io74b<,1>vB<2782S44<38p(?;=:3ge?S7ek38p(8hl:0f8 0`e28n0qpsC33795~P49k09w[?>1;0x 0`f2;om7)=7b;7eg>{#>?h1=i5r}|9l66c=83.8>?4=3d98yg4b<3:1?7>50z&067<49o1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm2d794?7=83:p(><=:20:?M5602e9?h4?:%116?44m21vn?k9:180>5<7s-99>79j;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km51b9'1cd=9j1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;h7);ib;3`?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg52j3:1>7>50z&0675<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi?8h50;094?6|,:896h;4H23;?l7en3:1(><=:0`e?>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c152?6=;3:1<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?763-?mn7?>;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km51`9'1cd=9h1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi?;;50;494?6|,:896"6m90:j6g>bg83>!55:3;ij6X<2582I55>3;pZ?=;:3y'604=9kl0ZP4:=0:wA==6;3xR7532;q/>8<5e69U5ge=:r.>jn4>1:&6bg<692wvqp5fe983>!55:3o37[==4;0xH64128q]>>:52z&117kj53zT254<5s-?mm7k7;%1;f?3ak2w]=<<52z&6bd<6jo1/?5l55ga8y!01j3;27pX=fe80S76938p(8hn:d:8 6>e21381!3ai3;ij6*<8c86bg=z,?0`d3t.=:o4>2:U607=:r\:=<4={%7ee?c?3-93n7;ic:'23d=9l1vqp5f2g494?"4:;09j;5Y33696~J4:?0:w[<<4;0x 7352;l=7[?mc;0x 0`d28n0(8hm:0f8yx{K;;?1=vX<1c81S76938p(8hn:3d5?!5?j3?mo6s+67`95a=zut1b>hh50;&067<5mo1]??:52zN063<6s_88877ca3_;io74b<,o7>53;294~"4:;08=k5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?>;%7ef?763twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a70b=83;1<7>t$201>64>3A9:46a=3d83>!55:388i65rb27f>594>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga95f=#=oh1=n5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>cb83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;3`?!3aj3;h7psr}:k2`d<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=io4V0``>7}#=oi1=n5+5g`95f=zutw0e<;:0yO770=9r\:=>4={%066?7cj2\:nn4={%7eg?7d3-?mn7?l;|~y>o6lj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ea8R4dd2;q/9km51b9'1cd=9j1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi?;>50;494?6|,:896:k4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1b394?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?8;%7ef?703twvq6g>cb83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96417}#:<81=i94V0``>7}#=oi1=:5+5g`952=zutw0e<>;W110?7|D:8=6{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,80;6;4?:1y'774=?l1C?<64i0a3>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28=0(8hm:058yx{z3`;ho7>5$201>4da3A99=6X<2582I55>3;pZ1/9kl5169~yx{1]=om52z&6bf<6?2.>jo4>7:~yx=n9mk1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0fb?S7ek38p(8hl:058 0`e28=0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn>8=:185>5<7s-99>79j;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga952=#=oh1=:5r}|8m4ed290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5a1=83.8>?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2`d<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0fb?S7ek38p(8hl:0f8 0`e28n0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn>8<:187>5<7s-99>7<1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:038 0`e28;0qpsr;h3`5?6=,:896{zut1b=nm50;&067<6jo1]??:51zN063<6s_;:?74ed3_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb514>5<5290;w)==2;g6?M5602c:nk4?:%116?7en21d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,?7;%3f4?7a3`;ij7>5$201>4da3_9987?tL205>4}Q::>1>v*=5382fc=Q9ki1>v*:fb825>"2nk0:=6sr}|9ja2<72-99>7k8;W110?7|D:8=6bb81!3ak3;:7);ib;32?x{zu2cn47>5$201>`><^:8?6?uC33495~P5;=09w)<:2;g;?S7ek38p(8hl:018 0`e2890qpsC33790~P5nm08w[?>1;0x 0`f2l20(>6m:4d`?xP69;09w);ia;3ab>"40k0>jn5r$74a>4?7}#=ok1i55+39`91ce74da3-93n7;ib:'23d=901vZ?hk:2yU547=:r.>jl4j8:&01/?5l55ga8y!01j3;97pX=5081S76938p(8hn:d:8 6>e2{zu2c9j;4?:%116?4a>2\8>94={M112?7|^;9?6?u+24096c0<^8hh6?u+5ga95a=#=oh1=i5r}|N060<6s_9:n77}#=ok1>k84$2:a>0`d3t.=:o4>d:~y>o5mo0;6)==2;0fb>P4:=09wA==6;3xR7532;q/>8<52dd8R4dd2;q/9km51e9'1cd=9m1vqpB<2482S56j38pZ:3y'1cg=:ll0(>6m:4d`?x"1>k0:h6sr}:m17`<72-99>7<3;0x 73528i;7[?mc;0x 0`d28;0(8hm:038yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb825>"2nk0:=6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,474<729q/??<533;8L67?3f88i7>5$201>75b32wi8>o50;194?6|,:896:k4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28<0(8hm:048yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f12429096=4?{%116?c23A9:46g>bg83>!55:3;ij65`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th?854?:783>5}#;;81=oj4H23;?!7b83;m7d?mf;29 64528hm7[==4;3xH64128q]>>:52z&117<6jo1]=om52z&6bf<692.>jo4>1:~yx=nm>0;6)==2;g4?S55<3;p@><9:0yU662=:r.99?4j7:T2ff<5s-?mo7?>;%7ef?763twvq6gj8;29 6452l20Z><;:3yO770=9r\9?94={%066?c?3_;io745<,0`d3t\:=?4={%7ee?7en2.84o4:fb9~ 30e2830q[2;0x 0`f28hm7)=7b;7ef>{#>?h1=45rV3dg>6}Q98;1>v*:f`8f<>"40k0>jn5rV031>7}#=ok1i:5+39`91ce`><,:2i68hl;|&52g<6m2wvq6g=f783>!55:38m:6X<2581I55>3;pZ?=;:3y'604=:o<0Zb;0xR4762;q/9ko52g48 6>e2{zu2c9ik4?:%116?4bn2\8>94={M112?7|^;9?6?u+24096``<^8hh6?u+5ga95a=#=oh1=i5r}|N060<6s_9:n77}#=ok1>hh4$2:a>0`d3t.=:o4>d:~y>i5;l0;6)==2;00a>=zj=>?6=4<:183!55:39:j6F<199j5f6=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`700<7280;6=u+330977?<@:;37b<<=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,4ejo4>1:~yx=zj;836=4=:183!55:3o>7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,473<729q/??<51cf8L67?3-;n<7?i;h3ab?6=,:896{zut1bi:4?:%116?c03_9987?tL205>4}Q::>1>v*=538f3>P6jj09w);ic;32?!3aj3;:7psr}:kf7}K;;<1=vX=3581!42:3o37[?mc;0x 0`d2890(8hm:018yx{K;;?18vX=fe80S76938p(8hn:d:8 6>e21381!3ai3;ij6*<8c86bf=z,?1;0x 0`f2l20(>6m:4d`?x"1>k0:i6sr}:k1b3<72-99>77}#:<81>k84V0``>7}#=oi1=i5+5g`95a=zutF8>84>{W12f?4|^8;:6?u+5gc96c0<,:2i68hl;|&52g<6l2wvq6g=eg83>!55:38nj6X<2581I55>3;pZ?=;:3y'604=:ll0Zb;0xR4762;q/9ko52dd8 6>e2{zu2e9?h4?:%116?44m21vn?<6:180>5<7s-99>7=>f:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:038 0`e28;0qpsr;h3`5?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,?7;n00a?6=,:896?=j;:a67d=8391<7>t$201>2c<@:;37d?l0;29 64528hm7E==1:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=;5+5g`953=zutw0e:18'774=9kl0D><>;W110?7|D:8=6{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,5<#;;81=oh4;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn?kj:185>5<7s-99>7?md:J05==#9l:1=k5f1cd94?"4:;0:nk5Y33695~J4:?0:w[<<4;0x 73528hm7[?mc;0x 0`d28;0(8hm:038yx{z3`o<6=4+3309a2=Q;;>1=vB<2782S44<38p(?;=:d58R4dd2;q/9km5109'1cd=981vqps4id:94?"4:;0n46X<2581I55>3;pZ?=;:3y'604=m11]=om52z&6bf<6;2.>jo4>3:~yI55=3>pZ?hk:2yU547=:r.>jl4j8:&06m:4d`?x"1>k0:56sY2gf97~P69809w);ia;g;?!5?j3?mo6sY10096~"2nh0:nk5+39`91cd`><,:2i68hl;|T257<5s-?mm7k8;%1;f?3ak2w/:;l5139~R7362;q]=5<#;;81>k84V207>7}K;;<1=vX=3581!42:38m:6X>bb81!3ak3;o7);ib;3g?x{zD:8>6"40k0>jn5r$74a>4b<;:3yO770=9r\9?94={%066?4bn2\:nn4={%7eg?7c3-?mn7?k;|~H64228q]?5}#;;81?<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>1:&6bg<692wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28;0(8hm:038yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f7ce290:6=4?{%116?5512B8=55`22g94?"4:;09?h54}c0fg?6=;3:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io74e<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>c:&6bg<6k2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th9j=4?:383>5}#;;81i85G30:8m4da290/??<51cd8?j44m3:1(><=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb3d6>5<1290;w)==2;3a`>N4911/=h>51g9j5g`=83.8>?4>bg9U772=9rF8>;4>{W000?4|,;?96471]??:51zN063<6s_8887`1<^8hh6?u+5ga954=#=oh1=<5r}|8m`>=83.8>?4j8:T061<5sE99:7?tV317>7}#:<81i55Y1ca96~"2nj0:?6*:fc827>{zuE9997:tV3dg>6}Q98;1>v*:f`8f<>"40k0>jn5rV031>7}#=ok1=oh4$2:a>0`d3t.=:o4>9:U6cb=;r\:=<4={%7ee?c?3-93n7;ic:U544=:r.>jl4>bg9'7=d==oh0q)89b;3:?xP5nm08w[?>1;0x 0`f2l20(>6m:4d`?xP69;09w);ia;g4?!5?j3?mo6s+67`957=z^;?:6?uY10396~"2nh0n46*<8c86bf=z,?>7<::0yU74d=:r\:=<4={%7ee?4a>2.84o4:fb9~ 30e28n0qps4i3ge>5<#;;81>hh4V207>7}K;;<1=vX=3581!42:38nj6X>bb81!3ak3;o7);ib;3g?x{zD:8>6"40k0>jn5r$74a>4b6<729q/??<530d8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,47jo4>1:~yx=zj;l96=4>:183!55:39956F<199l66c=83.8>?4=3d98yg4a;3:1?7>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;h=7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a7`6=8381<7>t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ56;294~"4:;0:ni5G30:8 4c728l0e<;:0yO770=9r\9?94={%066?7en2\:nn4={%7eg?763-?mn7?>;|~y>ob?3:1(><=:d58R64328qG??851zT171<5s-8>>7k8;W3ag?4|,47`><^8hh6?u+5ga956=#=oh1=>5r}|N060<3s_8mh7=tV032>7}#=ok1i55+39`91ce74da3-93n7;ic:'23d=901vZ?hk:2yU547=:r.>jl4j8:&06m:4da?x"1>k0:56sY2gf97~P69809w);ia;g;?!5?j3?mo6sY10096~"2nh0n;6*<8c86bf=z,?>:52z&117<5n?1]=om52z&6bf<6l2.>jo4>d:~yI55=3;pZ>?m:3yU547=:r.>jl4=f79'7=d==oi0q)89b;3g?x{z3`8nj7>5$201>7ca3_99874}Q::>1>v*=5381ac=Q9ki1>v*:fb82`>"2nk0:h6sr}M111?7|^:;i6?uY10396~"2nh09ik5+39`91ce<=:31f?>{e;l;1<7=50;2x 6452:;m7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=<5+5g`954=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?763-?mn7?>;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c1f6?6=93:16<729q/??<57d9K74>2.>jo4>6:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?713-?mn7?9;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c1fg?6=:3:1N4911b=oh50;&067<6jo10c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e;o;1<7850;2x 64528ho7E=>8:&2a5<6n2c:nk4?:%116?7en2\8>94>{M112?7|^;9?6?u+24095g`<^8hh6?u+5ga954=#=oh1=<5r}|8m`1=83.8>?4j7:T061<6sE99:7?tV317>7}#:<81i:5Y1ca96~"2nj0:=6*:fc825>{zut1bi54?:%116?c?3_99874}Q::>1>v*=538f<>P6jj09w);ic;30?!3aj3;87psrL206>1}Q:on1?vX>1081!3ai3o37)=7b;7eg>{Q9881>v*:f`82fc=#;1h19km4}%45f?7>3t\9ji4<{W325?4|,0`d3t\:=?4={%7ee?7en2.84o4:fc9~ 30e2830q[2;0x 0`f2l=0(>6m:4d`?x"1>k0:>6sY24396~P69809w);ia;g;?!5?j3?mo6s+67`95`=zut1b>k850;&067<5n?1]??:52zN063<6s_88877`13_;io74b<,1>vB<2782S44<38p(?;=:3ge?S7ek38p(8hl:0f8 0`e28n0qpsC33795~P49k09w[?>1;0x 0`f2;om7)=7b;7eg>{#>?h1=i5r}|9l66c=83.8>?4=3d98yg5bl3:1?7>50z&067<49o1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm3dg94?7=83:p(><=:20:?M5602e9?h4?:%116?44m21vn>ki:180>5<7s-99>79j;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io740<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga953=#=oh1=;5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm3g094?4=83:p(><=:d78L67?3`;ij7>5$201>4da32e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg5a?3:1:7>50z&067<6jm1C?<64$0g3>4`P6jj09w);ic;32?!3aj3;:7psr}:kf3?6=,:896h94V207>4}K;;<1=vX=3581!42:3o<7[?mc;0x 0`d28;0(8hm:038yx{z3`o36=4+3309a==Q;;>1>vB<2782S44<38p(?;=:d:8R4dd2;q/9km5129'1cd=9:1vqpB<2487S4al39pZ:3y'1cg=m11/?5l55ga8yS76:38p(8hn:0`e?!5?j3?mo6s+67`95<=z^;lo6>uY10396~"2nh0n46*<8c86bf=z^8;96?u+5gc95g`<,:2i68hm;|&52g<612w]>kj53zT254<5s-?mm7k7;%1;f?3ak2w]=<<52z&6bd5$201>7`13_99874}Q::>1>v*=5381b3=Q9ki1>v*:fb82`>"2nk0:h6sr}M111?7|^:;i6?uY10396~"2nh09j;5+39`91ce<=:3ge?S55<38p@><9:0yU662=:r.99?4=eg9U5ge=:r.>jn4>d:&6bg<6l2wvqA==5;3xR67e2;q]=t$201>67a3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo=i4;295?6=8r.8>?4<289K74>5}#;;81;h5G30:8m4e7290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb822>"2nk0::6sr}|9j5f7=83.8>?4>bg9K777<^:8?6P6jj09w);ic;35?!3aj3;=7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo:=b;296?6=8r.8>?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;32?!3aj3;:7psr}:a07`=8381<7>t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ8:7>56;294~"4:;0:ni5G30:8 4c728l0e<;:0yO770=9r\9?94={%066?7en2\:nn4={%7eg?763-?mn7?>;|~y>ob?3:1(><=:d58R64328qG??851zT171<5s-8>>7k8;W3ag?4|,47`><^8hh6?u+5ga956=#=oh1=>5r}|N060<3s_8mh7=tV032>7}#=ok1i55+39`91ce74da3-93n7;ic:'23d=901vZ?hk:2yU547=:r.>jl4j8:&06m:4da?x"1>k0:56sY2gf97~P69809w);ia;g;?!5?j3?mo6sY10096~"2nh0n;6*<8c86bf=z,?>:52z&117<5n?1]=om52z&6bf<6l2.>jo4>d:~yI55=3;pZ>?m:3yU547=:r.>jl4=f79'7=d==oi0q)89b;3g?x{z3`8nj7>5$201>7ca3_99874}Q::>1>v*=5381ac=Q9ki1>v*:fb82`>"2nk0:h6sr}M111?7|^:;i6?uY10396~"2nh09ik5+39`91ce<=:31f?>{e<;i1<7=50;2x 6452:;m7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=<5+5g`954=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?763-?mn7?>;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c61`?6=93:12<729q/??<57d9K74>jo4>c:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7d3-?mn7?l;|~y>o6kj0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74ed3_;io74e<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga95f=#=oh1=n5r}|8m4bf290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`d=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5ad=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964ejo4>1:~yx=zj=9;6=46:183!55:3=n7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=i5+5g`95a=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7c3-?mn7?k;|~y>o6kj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km51e9'1cd=9m1vqps4i0f4>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;o7);ib;3g?x{zu2c:hl4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ag<^8hh6?u+5ga952=#=oh1=:5r}|8m4be290/??<51cd8R64328qG??851zT256<5s-8>>7?kb:T2ff<5s-?mo7?8;%7ef?703twvq6g>db83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;3g?!3aj3;o7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo:<1;29=?6=8r.8>?48e:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:0f8 0`e28n0qpsr;h3`5?6=,:896{zut1b=nm50;&067<6jo1]??:51zN063<6s_;:?74ed3_;io74b<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga95a=#=oh1=i5r}|8m4bf290/??<51cd8R64328qG??851zT256<5s-8>>7?ka:T2ff<5s-?mo7?8;%7ef?703twvq6g>dc83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?9641jo4>d:~yx=n9mn1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0fg?S7ek38p(8hl:0f8 0`e28n0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn9==:18:>5<7s-99>79j;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km51e9'1cd=9m1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;o7);ib;3g?x{zu2c:on4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga95a=#=oh1=i5r}|8m4b0290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`2=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5ag=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96417}#:<81=il4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7ck2\:nn4={%7eg?7c3-?mn7?k;|~y>o6lm0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ef8R4dd2;q/9km51e9'1cd=9m1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi8>=50;;94?6|,:896:k4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;ho7>5$201>4da3_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5a1=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2`d<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=io4V0``>7}#=oi1=:5+5g`952=zutw0e<>;W110?7|D:8=6{zut1b=im50;&067<6jo1]??:51zN063<6s_;:?74bd3_;io74b<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>de9U5ge=:r.>jn4>d:&6bg<6l2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th??94?:483>5}#;;81>>j4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>1:&6bg<692wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28;0(8hm:038yx{z3`;ho7>5$201>4da3_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5a1=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=zj=9o6=4=:183!55:3o>7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,473<729q/??<51cf8L67?3-;n<7?i;h3ab?6=,:896{zut1bi:4?:%116?c03_9987?tL205>4}Q::>1>v*=538f3>P6jj09w);ic;32?!3aj3;:7psr}:kf7}K;;<1=vX=3581!42:3o37[?mc;0x 0`d2890(8hm:018yx{K;;?18vX=fe80S76938p(8hn:d:8 6>e21381!3ai3;ij6*<8c86bf=z,?1;0x 0`f2l20(>6m:4d`?x"1>k0:i6sr}:k1b3<72-99>77}#:<81>k84V0``>7}#=oi1=i5+5g`95a=zutF8>84>{W12f?4|^8;:6?u+5gc96c0<,:2i68hl;|&52g<6l2wvq6g=eg83>!55:38nj6X<2581I55>3;pZ?=;:3y'604=:ll0Zb;0xR4762;q/9ko52dd8 6>e2{zu2e9?h4?:%116?44m21vn9=j:180>5<7s-99>7=>f:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:038 0`e28;0qpsr;h3`5?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,?7;n00a?6=,:896?=j;:a016=8391<7>t$201>2c<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<6k2.>jo4>c:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:0a8 0`e28i0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn99=:181>5<7s-99>7k:;I12<>o6jo0;6)==2;3ab>=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`732<72?0;6=u+33095gb<@:;37)?j0;3e?l7en3:1(><=:0`e?S55<3;p@><9:0yU662=:r.99?4>bg9U5ge=:r.>jn4>1:&6bg<692wvqp5fe683>!55:3o<7[==4;3xH64128q]>>:52z&117;|~y>ob03:1(><=:d:8R6432;qG??851zT171<5s-8>>7k7;W3ag?4|,45`><,:2i68hl;|T257<5s-?mm7?mf:&0:3y'1cg=m11/?5l55ga8yS76:38p(8hn:0`e?!5?j3?mn6s+67`95<=z^;lo6>uY10396~"2nh0n46*<8c86bf=z^8;96?u+5gc9a2=#;1h19km4}%45f?753t\99<4={W325?4|,0`d3t.=:o4>e:~y>o5n?0;6)==2;0e2>P4:=09wA==6;3xR7532;q/>8<52g48R4dd2;q/9km51e9'1cd=9m1vqpB<2482S56j38pZ:3y'1cg=:o<0(>6m:4d`?x"1>k0:h6sr}:k1ac<72-99>77}#:<81>hh4V0``>7}#=oi1=i5+5g`95a=zutF8>84>{W12f?4|^8;:6?u+5gc96``<,:2i68hl;|&52g<6l2wvq6a=3d83>!55:388i65rb550>5<4290;w)==2;12b>N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>1:&6bg<692wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th?;94?:083>5}#;;81??74H23;?j44m3:1(><=:31f?>{e<>?1<7=50;2x 6452>o0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5179'1cd=9?1vqps4i0a2>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>6:&6bg<6>2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th?;54?:383>5}#;;81i85G30:8m4da290/??<51cd8?j44m3:1(><=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb55g>5<1290;w)==2;3a`>N4911/=h>51g9j5g`=83.8>?4>bg9U772=9rF8>;4>{W000?4|,;?96471]??:51zN063<6s_8887`1<^8hh6?u+5ga954=#=oh1=<5r}|8m`>=83.8>?4j8:T061<5sE99:7?tV317>7}#:<81i55Y1ca96~"2nj0:?6*:fc827>{zuE9997:tV3dg>6}Q98;1>v*:f`8f<>"40k0>jn5rV031>7}#=ok1=oh4$2:a>0`d3t.=:o4>9:U6cb=;r\:=<4={%7ee?c?3-93n7;ic:U544=:r.>jl4>bg9'7=d==oh0q)89b;3:?xP5nm08w[?>1;0x 0`f2l20(>6m:4d`?xP69;09w);ia;g4?!5?j3?mo6s+67`957=z^;?:6?uY10396~"2nh0n46*<8c86bf=z,?>7<::0yU74d=:r\:=<4={%7ee?4a>2.84o4:fb9~ 30e28n0qps4i3ge>5<#;;81>hh4V207>7}K;;<1=vX=3581!42:38nj6X>bb81!3ak3;o7);ib;3g?x{zD:8>6"40k0>jn5r$74a>4b6<729q/??<530d8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,47jo4>1:~yx=zj==j6=4>:183!55:39956F<199l66c=83.8>?4=3d98yg20j3:1?7>50z&067<0m2B8=55f1b294?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?9;%7ef?713twvq6g>c083>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,40jo4>1:~yx=zj;n?6=4=:183!55:3o>7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,477<729q/??<5e49K74>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f7bd290=6=4?{%116?7el2B8=55+1d295c=n9kl1<7*<2382fc=Q;;>1=vB<2782S44<38p(?;=:0`e?S7ek38p(8hl:038 0`e28;0qpsr;hg4>5<#;;81i:5Y33695~J4:?0:w[<<4;0x 7352l=0ZP4:=09wA==6;3xR7532;q/>8<5e99U5ge=:r.>jn4>3:&6bg<6;2wvqA==5;6xR7`c2:q]=e2{Q:on1?vX>1081!3ai3o37)=7b;7eg>{Q9881>v*:f`82fc=#;1h19kl4}%45f?7>3t\9ji4<{W325?4|,0`d3t\:=?4={%7ee?c03-93n7;ic:'23d=901vZ?;>:3yU547=:r.>jl4j8:&0P6jj09w);ic;3g?!3aj3;o7psrL206>4}Q;8h1>vX>1081!3ai38m:6*<8c86bf=z,?>7<::0yU74d=:r\:=<4={%7ee?4bn2.84o4:fb9~ 30e28n0qps4o31f>5<#;;81>>k4;|`1`3<72:0;6=u+330974`<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<692.>jo4>1:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:038 0`e28;0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn?j8:182>5<7s-99>7==9:J05==h::o1<7*<23817`=51;294~"4:;09?n5G30:8k75b290/??<522g8?xd5lh0;6;4?:1y'774=?l1C?<64i0a3>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1b394?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?8;%7ef?703twvq6g>cb83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96417}#:<81=i94V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=6{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1b394?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>cb83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a2`<72;0;6=u+3309a0=O;820ejo4>1:~yx=zj><1<7850;2x 64528ho7E=>8:&2a5<6n2c:nk4?:%116?7en2\8>94>{M112?7|^;9?6?u+24095g`<^8hh6?u+5ga954=#=oh1=<5r}|8m`1=83.8>?4j7:T061<6sE99:7?tV317>7}#:<81i:5Y1ca96~"2nj0:=6*:fc825>{zut1bi54?:%116?c?3_99874}Q::>1>v*=538f<>P6jj09w);ic;30?!3aj3;87psrL206>1}Q:on1?vX>1081!3ai3o37)=7b;7eg>{Q9881>v*:f`82fc=#;1h19km4}%45f?7>3t\9ji4<{W325?4|,0`d3t\:=?4={%7ee?7en2.84o4:fc9~ 30e2830q[2;0x 0`f2l=0(>6m:4d`?x"1>k0:>6sY24396~P69809w);ia;g;?!5?j3?mo6s+67`95`=zut1b>k850;&067<5n?1]??:52zN063<6s_88877`13_;io74b<,1>vB<2782S44<38p(?;=:3ge?S7ek38p(8hl:0f8 0`e28n0qpsC33795~P49k09w[?>1;0x 0`f2;om7)=7b;7eg>{#>?h1=i5r}|9l66c=83.8>?4=3d98yg0a29086=4?{%116?56n2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28;0(8hm:038yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb825>"2nk0:=6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,47?4<289K74>7>53;294~"4:;0?4>bg9U772=9rF8>;4>{W327?4|,;?964ejo4>c:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`47?6=;3:1N4911b=n>50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;h7);ib;3`?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga95f=#=oh1=n5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm7583>1<729q/??<57d9K74>jo4>c:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7d3-?mn7?l;|~y>o6kj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km51b9'1cd=9j1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi;<4?:583>5}#;;81>>j4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>1:&6bg<692wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28;0(8hm:038yx{z3`;ho7>5$201>4da3_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb825>"2nk0:=6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,47?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;32?!3aj3;:7psr}:a3f<72?0;6=u+33095gb<@:;37)?j0;3e?l7en3:1(><=:0`e?S55<3;p@><9:0yU662=:r.99?4>bg9U5ge=:r.>jn4>1:&6bg<692wvqp5fe683>!55:3o<7[==4;3xH64128q]>>:52z&117;|~y>ob03:1(><=:d:8R6432;qG??851zT171<5s-8>>7k7;W3ag?4|,45`><,:2i68hl;|T257<5s-?mm7?mf:&0:3y'1cg=m11/?5l55ga8yS76:38p(8hn:0`e?!5?j3?mn6s+67`95<=z^;lo6>uY10396~"2nh0n46*<8c86bf=z^8;96?u+5gc9a2=#;1h19km4}%45f?753t\99<4={W325?4|,0`d3t.=:o4>e:~y>o5n?0;6)==2;0e2>P4:=09wA==6;3xR7532;q/>8<52g48R4dd2;q/9km51e9'1cd=9m1vqpB<2482S56j38pZ:3y'1cg=:o<0(>6m:4d`?x"1>k0:h6sr}:k1ac<72-99>77}#:<81>hh4V0``>7}#=oi1=i5+5g`95a=zutF8>84>{W12f?4|^8;:6?u+5gc96``<,:2i68hl;|&52g<6l2wvq6a=3d83>!55:388i65rb6:94?5=83:p(><=:23e?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6a=3d83>!55:388i6X<2582I55>3;pZ:183!55:39956F<199l66c=83.8>?4=3d98yg1f29086=4?{%116?1b3A9:46g>c183>!55:3;ij6X<2582I55>3;pZjo4>c:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`141<72;0;6=u+3309a0=O;820ejo4>1:~yx=zj;;;6=49:183!55:3;ih6F<199'5`6=9o1b=oh50;&067<6jo1]??:51zN063<6s_88874da3_;io747<,;4>{W000?4|,;?96h94V0``>7}#=oi1=<5+5g`954=zutw0eh650;&06794={M112?7|^;9?6?u+2409a==Q9ki1>v*:fb827>"2nk0:?6sr}M111?2|^;lo6>uY10396~"2nh0n46*<8c86bf=z^8;96?u+5gc95g`<,:2i68hl;|&52g<612w]>kj53zT254<5s-?mm7k7;%1;f?3ak2w]=<<52z&6bd<6jo1/?5l55g`8y!01j3;27pX=fe80S76938p(8hn:d:8 6>e21381!3ai3o<7)=7b;7eg>{#>?h1=?5rV372>7}Q98;1>v*:f`8f<>"40k0>jn5r$74a>4c<;:3yO770=9r\9?94={%066?4a>2\:nn4={%7eg?7c3-?mn7?k;|~H64228q]?P6jj09w);ic;3g?!3aj3;o7psrL206>4}Q;8h1>vX>1081!3ai38nj6*<8c86bf=z,?4?:1y'774=;8l0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5109'1cd=981vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;:7);ib;32?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg47>3:1=7>50z&067<4:01C?<64o31f>5<#;;81>>k4;|`14=<72:0;6=u+33093`=O;820e<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?763-?mn7?>;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5109'1cd=981vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi>=750;194?6|,:896:k4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>1:&6bg<692wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28;0(8hm:038yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f76f29086=4?{%116?1b3A9:46g>c183>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964ejo4>c:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`14g<72:0;6=u+33093`=O;820e<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?7d3-?mn7?l;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km51b9'1cd=9j1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi>=m50;694?6|,:896:k4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1b394?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>cb83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a65b=83?1<7>t$201>2c<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<6>2.>jo4>6:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7d3-?mn7?l;|~y>o6kj0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74ed3_;io74e<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d69U5ge=:r.>jn4>c:&6bg<6k2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th95}#;;81;h5G30:8m4e7290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb822>"2nk0::6sr}|9j5f7=83.8>?4>bg9K777<^:8?6P6jj09w);ic;35?!3aj3;=7psr}:k2gf<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:0a8 0`e28i0qpsr;h3g3?6=,:896P4:=0:wA==6;3xR4742;q/>8<51e58R4dd2;q/9km51b9'1cd=9j1vqps4i0fb>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;om6X>bb81!3ak3;h7);ib;3`?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg47?3:147>50z&067<5;m1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga954=#=oh1=<5r}|8m4ed290/??<51cd8R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?>;%7ef?763twvq6g>d683>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`g<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=il4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7ck2\:nn4={%7eg?763-?mn7?>;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c01a?6=:3:1N4911b=oh50;&067<6jo10c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e::91<7850;2x 64528ho7E=>8:&2a5<6n2c:nk4?:%116?7en2\8>94>{M112?7|^;9?6?u+24095g`<^8hh6?u+5ga954=#=oh1=<5r}|8m`1=83.8>?4j7:T061<6sE99:7?tV317>7}#:<81i:5Y1ca96~"2nj0:=6*:fc825>{zut1bi54?:%116?c?3_99874}Q::>1>v*=538f<>P6jj09w);ic;30?!3aj3;87psrL206>1}Q:on1?vX>1081!3ai3o37)=7b;7eg>{Q9881>v*:f`82fc=#;1h19km4}%45f?7>3t\9ji4<{W325?4|,0`d3t\:=?4={%7ee?7en2.84o4:fc9~ 30e2830q[2;0x 0`f2l=0(>6m:4d`?x"1>k0:>6sY24396~P69809w);ia;g;?!5?j3?mo6s+67`95`=zut1b>k850;&067<5n?1]??:52zN063<6s_88877`13_;io74b<,1>vB<2782S44<38p(?;=:3ge?S7ek38p(8hl:0f8 0`e28n0qpsC33795~P49k09w[?>1;0x 0`f2;om7)=7b;7eg>{#>?h1=i5r}|9l66c=83.8>?4=3d98yg45n3:1?7>50z&067<49o1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm22294?7=83:p(><=:20:?M5602e9?h4?:%116?44m21vn?=>:180>5<7s-99>79j;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km51b9'1cd=9j1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;h7);ib;3`?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg5?k3:1>7>50z&0675<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi?4?50;494?6|,:896"6m90:j6g>bg83>!55:3;ij6X<2582I55>3;pZ?=;:3y'604=9kl0ZP4:=0:wA==6;3xR7532;q/>8<5e69U5ge=:r.>jn4>1:&6bg<692wvqp5fe983>!55:3o37[==4;0xH64128q]>>:52z&117kj53zT254<5s-?mm7k7;%1;f?3ak2w]=<<52z&6bd<6jo1/?5l55ga8y!01j3;27pX=fe80S76938p(8hn:d:8 6>e21381!3ai3;ij6*<8c86bg=z,?0`d3t.=:o4>2:U607=:r\:=<4={%7ee?c?3-93n7;ic:'23d=9l1vqp5f2g494?"4:;09j;5Y33696~J4:?0:w[<<4;0x 7352;l=7[?mc;0x 0`d28n0(8hm:0f8yx{K;;?1=vX<1c81S76938p(8hn:3d5?!5?j3?mo6s+67`95a=zut1b>hh50;&067<5mo1]??:52zN063<6s_88877ca3_;io74b<,53;294~"4:;08=k5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?>;%7ef?763twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a7=c=83;1<7>t$201>64>3A9:46a=3d83>!55:388i65rb2:e>5<4290;w)==2;5f?M5602c:o=4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28<0(8hm:048yx{z3`;h=7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a7a5=8381<7>t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ56;294~"4:;0:ni5G30:8 4c728l0e<;:0yO770=9r\9?94={%066?7en2\:nn4={%7eg?763-?mn7?>;|~y>ob?3:1(><=:d58R64328qG??851zT171<5s-8>>7k8;W3ag?4|,47`><^8hh6?u+5ga956=#=oh1=>5r}|N060<3s_8mh7=tV032>7}#=ok1i55+39`91ce74da3-93n7;ic:'23d=901vZ?hk:2yU547=:r.>jl4j8:&06m:4da?x"1>k0:56sY2gf97~P69809w);ia;g;?!5?j3?mo6sY10096~"2nh0n;6*<8c86bf=z,?>:52z&117<5n?1]=om52z&6bf<6l2.>jo4>d:~yI55=3;pZ>?m:3yU547=:r.>jl4=f79'7=d==oi0q)89b;3g?x{z3`8nj7>5$201>7ca3_99874}Q::>1>v*=5381ac=Q9ki1>v*:fb82`>"2nk0:h6sr}M111?7|^:;i6?uY10396~"2nh09ik5+39`91ce<=:31f?>{e;m>1<7=50;2x 6452:;m7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=<5+5g`954=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?763-?mn7?>;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c1g1?6=93:16<729q/??<57d9K74>2.>jo4>6:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?713-?mn7?9;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c1g=?6=:3:1N4911b=oh50;&067<6jo10c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e;mo1<7850;2x 64528ho7E=>8:&2a5<6n2c:nk4?:%116?7en2\8>94>{M112?7|^;9?6?u+24095g`<^8hh6?u+5ga954=#=oh1=<5r}|8m`1=83.8>?4j7:T061<6sE99:7?tV317>7}#:<81i:5Y1ca96~"2nj0:=6*:fc825>{zut1bi54?:%116?c?3_99874}Q::>1>v*=538f<>P6jj09w);ic;30?!3aj3;87psrL206>1}Q:on1?vX>1081!3ai3o37)=7b;7eg>{Q9881>v*:f`82fc=#;1h19km4}%45f?7>3t\9ji4<{W325?4|,0`d3t\:=?4={%7ee?7en2.84o4:fc9~ 30e2830q[2;0x 0`f2l=0(>6m:4d`?x"1>k0:>6sY24396~P69809w);ia;g;?!5?j3?mo6s+67`95`=zut1b>k850;&067<5n?1]??:52zN063<6s_88877`13_;io74b<,1>vB<2782S44<38p(?;=:3ge?S7ek38p(8hl:0f8 0`e28n0qpsC33795~P49k09w[?>1;0x 0`f2;om7)=7b;7eg>{#>?h1=i5r}|9l66c=83.8>?4=3d98yg5ci3:1?7>50z&067<49o1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm3e`94?7=83:p(><=:20:?M5602e9?h4?:%116?44m21vn>jl:180>5<7s-99>79j;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io740<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga953=#=oh1=;5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm3d494?4=83:p(><=:d78L67?3`;ij7>5$201>4da32e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg5bj3:1:7>50z&067<6jm1C?<64$0g3>4`P6jj09w);ic;32?!3aj3;:7psr}:kf3?6=,:896h94V207>4}K;;<1=vX=3581!42:3o<7[?mc;0x 0`d28;0(8hm:038yx{z3`o36=4+3309a==Q;;>1>vB<2782S44<38p(?;=:d:8R4dd2;q/9km5129'1cd=9:1vqpB<2487S4al39pZ:3y'1cg=m11/?5l55ga8yS76:38p(8hn:0`e?!5?j3?mo6s+67`95<=z^;lo6>uY10396~"2nh0n46*<8c86bf=z^8;96?u+5gc95g`<,:2i68hm;|&52g<612w]>kj53zT254<5s-?mm7k7;%1;f?3ak2w]=<<52z&6bd5$201>7`13_99874}Q::>1>v*=5381b3=Q9ki1>v*:fb82`>"2nk0:h6sr}M111?7|^:;i6?uY10396~"2nh09j;5+39`91ce<=:3ge?S55<38p@><9:0yU662=:r.99?4=eg9U5ge=:r.>jn4>d:&6bg<6l2wvqA==5;3xR67e2;q]=t$201>67a3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo=j8;295?6=8r.8>?4<289K74>5}#;;81;h5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a030=8381<7>t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ=n7>56;294~"4:;0:ni5G30:8 4c728l0e<;:0yO770=9r\9?94={%066?7en2\:nn4={%7eg?763-?mn7?>;|~y>ob?3:1(><=:d58R64328qG??851zT171<5s-8>>7k8;W3ag?4|,47`><^8hh6?u+5ga956=#=oh1=>5r}|N060<3s_8mh7=tV032>7}#=ok1i55+39`91ce74da3-93n7;ic:'23d=901vZ?hk:2yU547=:r.>jl4j8:&06m:4da?x"1>k0:56sY2gf97~P69809w);ia;g;?!5?j3?mo6sY10096~"2nh0n;6*<8c86bf=z,?>:52z&117<5n?1]=om52z&6bf<6l2.>jo4>d:~yI55=3;pZ>?m:3yU547=:r.>jl4=f79'7=d==oi0q)89b;3g?x{z3`8nj7>5$201>7ca3_99874}Q::>1>v*=5381ac=Q9ki1>v*:fb82`>"2nk0:h6sr}M111?7|^:;i6?uY10396~"2nh09ik5+39`91ce<=:31f?>{e8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=<5+5g`954=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?763-?mn7?>;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c656<729q/??<57d9K74>2.>jo4>6:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?713-?mn7?9;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c65g?6=:3:1N4911b=oh50;&067<6jo10c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e<>;1<7850;2x 64528ho7E=>8:&2a5<6n2c:nk4?:%116?7en2\8>94>{M112?7|^;9?6?u+24095g`<^8hh6?u+5ga954=#=oh1=<5r}|8m`1=83.8>?4j7:T061<6sE99:7?tV317>7}#:<81i:5Y1ca96~"2nj0:=6*:fc825>{zut1bi54?:%116?c?3_99874}Q::>1>v*=538f<>P6jj09w);ic;30?!3aj3;87psrL206>1}Q:on1?vX>1081!3ai3o37)=7b;7eg>{Q9881>v*:f`82fc=#;1h19km4}%45f?7>3t\9ji4<{W325?4|,0`d3t\:=?4={%7ee?7en2.84o4:fc9~ 30e2830q[2;0x 0`f2l=0(>6m:4d`?x"1>k0:>6sY24396~P69809w);ia;g;?!5?j3?mo6s+67`95`=zut1b>k850;&067<5n?1]??:52zN063<6s_88877`13_;io74b<,1>vB<2782S44<38p(?;=:3ge?S7ek38p(8hl:0f8 0`e28n0qpsC33795~P49k09w[?>1;0x 0`f2;om7)=7b;7eg>{#>?h1=i5r}|9l66c=83.8>?4=3d98yg21l3:1?7>50z&067<49o1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm47g94?7=83:p(><=:20:?M5602e9?h4?:%116?44m21vn98i:180>5<7s-99>79j;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io740<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga953=#=oh1=;5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm46g94?4=83:p(><=:d78L67?3`;ij7>5$201>4da32e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg2?:3:1>7>50z&0675<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi85750;494?6|,:896"6m90:j6g>bg83>!55:3;ij6X<2582I55>3;pZ?=;:3y'604=9kl0ZP4:=0:wA==6;3xR7532;q/>8<5e69U5ge=:r.>jn4>1:&6bg<692wvqp5fe983>!55:3o37[==4;0xH64128q]>>:52z&117kj53zT254<5s-?mm7k7;%1;f?3ak2w]=<<52z&6bd<6jo1/?5l55ga8y!01j3;27pX=fe80S76938p(8hn:d:8 6>e21381!3ai3;ij6*<8c86bg=z,?0`d3t.=:o4>2:U607=:r\:=<4={%7ee?c?3-93n7;ic:'23d=9l1vqp5f2g494?"4:;09j;5Y33696~J4:?0:w[<<4;0x 7352;l=7[?mc;0x 0`d28n0(8hm:0f8yx{K;;?1=vX<1c81S76938p(8hn:3d5?!5?j3?mo6s+67`95a=zut1b>hh50;&067<5mo1]??:52zN063<6s_88877ca3_;io74b<,53;294~"4:;08=k5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?>;%7ef?763twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a0=6=83;1<7>t$201>64>3A9:46a=3d83>!55:388i65rb5:2>5<3290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga95f=#=oh1=n5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5fe=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo:73;2954<729q/??<57d9K74>P6jj09w);ic;34?!3aj3;<7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?703-?mn7?8;|~y>o6l>0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e58R4dd2;q/9km5169'1cd=9>1vqps4i0fb>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;om6X>bb81!3ak3;<7);ib;34?x{zu2c:ho4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ad<^8hh6?u+5ga952=#=oh1=:5r}|8m4bd290/??<51cd8R64328qG??851zT256<5s-8>>7?kc:T2ff<5s-?mo7?8;%7ef?703twvq6g>de83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964b7}#:<81=ik4V0``>7}#=oi1=:5+5g`952=zutw0e<>;W110?7|D:8=6{zut1b=n<50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h>6X>bb81!3ak3;o7);ib;3g?x{zu2c:o>4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f5<^8hh6?u+5ga954=#=oh1=<5r}|8m4e3290/??<51cd8R64328qG??851zT256<5s-8>>7?l4:T2ff<5s-?mo7?>;%7ef?763twvq6g>c483>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2g2<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n94V0``>7}#=oi1=<5+5g`954=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e<1>1<7?>:183!55:3=n7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=:5+5g`952=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?703-?mn7?8;|~y>o6kj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km5169'1cd=9>1vqps4i0f4>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;<7);ib;34?x{zu2c:hl4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ag<^8hh6?u+5ga952=#=oh1=:5r}|8m4be290/??<51cd8R64328qG??851zT256<5s-8>>7?kb:T2ff<5s-?mo7?8;%7ef?703twvq6g>db83>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{jo4>d:~yx=n9mo1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7cm2\:nn4={%7eg?703-?mn7?8;|~y>o6lo0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74ba3_;io74b<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f4<^8hh6?u+5ga95a=#=oh1=i5r}|8m4e4290/??<51cd8R64328qG??851zT256<5s-8>>7?l3:T2ff<5s-?mo7?>;%7ef?763twvq6g>c583>!55:3;ij6X<2582I55>3;pZ0Z6=4+33095g`<^:8?6P6jj09w);ic;32?!3aj3;:7psr}:k2g3<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n84V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7d?2\:nn4={%7eg?763-?mn7?>;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c6;1?6=980;6=u+33093`=O;820e<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?703-?mn7?8;|~y>o6k80;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e63_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1e594?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n<7[?mc;0x 0`d28=0(8hm:058yx{z3`;om7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`d=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5ad=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9641jo4>7:~yx=n9mn1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0fg?S7ek38p(8hl:058 0`e28=0qpsr;h3ga?6=,:896{zut1b=ih50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;oj6X>bb81!3ak3;<7);ib;34?x{zu2c:o?4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i97[?mc;0x 0`d28n0(8hm:0f8yx{z3`;h?7>5$201>4da3A99=6X<2582I55>3;pZjo4>d:~yx=n9j?1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a6?S7ek38p(8hl:038 0`e28;0qpsr;h3`2?6=,:896{zut1b=n950;&067<6jo1]??:51zN063<6s_;:?74e03_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb5:5>5<693:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga952=#=oh1=:5r}|8m4ed290/??<51cd8R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?8;%7ef?703twvq6g>d683>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2`g<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=il4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7ck2\:nn4={%7eg?703-?mn7?8;|~y>o6lm0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ef8R4dd2;q/9km5169'1cd=9>1vqps4i0ff>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;oi6X>bb81!3ak3;o7);ib;3g?x{zu2c:hk4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528nm7[?mc;0x 0`d28=0(8hm:058yx{z3`;h>7>5$201>4da3A99=6X<2582I55>3;pZjo4>d:~yx=n9j>1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d<2\:nn4={%7eg?7c3-?mn7?k;|~y>o6k<0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b78R4dd2;q/9km5109'1cd=981vqps4i0a5>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h:6X>bb81!3ak3;:7);ib;32?x{zu2c:o:4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f1<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm49594?3=83:p(><=:31g?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6g>cb83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo8?f;296?6=8r.8>?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;32?!3aj3;:7psr}:a24d=83<1<7>t$201>4dc3A9:46*>e182b>o6jo0;6)==2;3ab>P4:=0:wA==6;3xR7532;q/>8<51cd8R4dd2;q/9km5109'1cd=981vqps4id594?"4:;0n;6X<2582I55>3;pZ?=;:3y'604=m>1]=om52z&6bf<692.>jo4>1:~yx=nm10;6)==2;g;?S55<38p@><9:0yU662=:r.99?4j8:T2ff<5s-?mo7?<;%7ef?743twv@><::5yU6cb=;r\:=<4={%7ee?c?3-93n7;ic:U544=:r.>jl4>bg9'7=d==oi0q)89b;3:?xP5nm08w[?>1;0x 0`f2l20(>6m:4d`?xP69;09w);ia;3ab>"40k0>jo5r$74a>4?7}#=ok1i55+39`91ce7`1<,:2i68hl;|&52g<6:2w]>8?52zT254<5s-?mm7k7;%1;f?3ak2w/:;l51d9~yx=n:o<1<7*<2381b3=Q;;>1>vB<2782S44<38p(?;=:3d5?S7ek38p(8hl:0f8 0`e28n0qpsC33795~P49k09w[?>1;0x 0`f2;l=7)=7b;7eg>{#>?h1=i5r}|9j6``=83.8>?4=eg9U772=:rF8>;4>{W000?4|,;?96?ki;W3ag?4|,4b7ca3-93n7;ic:'23d=9m1vqp5`22g94?"4:;09?h54}c424?6=;3:1<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?763-?mn7?>;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5109'1cd=981vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi:<6;I12<>i5;l0;6)==2;00a>=zj?;86=4<:183!55:3=n7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=<5+5g`954=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?763-?mn7?>;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c420?6=;3:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>1:&6bg<692wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th==84?:283>5}#;;81;h5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>c083>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,4ejo4>1:~yx=zj?;=6=4<:183!55:3=n7E=>8:k2g5<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:0a8 0`e28i0qpsr;h3`5?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,0;694?:1y'774=?l1C?<64i0a3>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;ho7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a24>=83<1<7>t$201>2c<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<6>2.>jo4>6:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?713-?mn7?9;|~y>o6kj0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74ed3_;io74e<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga95f=#=oh1=n5r}|8m4bf290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`d=Q9ki1>v*:fb82g>"2nk0:o6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,473<729q/??<57d9K74>P6jj09w);ic;35?!3aj3;=7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=n5+5g`95f=zutw0e<>;W110?7|D:8=6{zut1b=i950;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;h7);ib;3`?x{zu2c:hl4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528nj7[?mc;0x 0`d28i0(8hm:0a8yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f37529036=4?{%116?44l2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28;0(8hm:038yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5fe=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96471]=om52z&6bf<692.>jo4>1:~yx=n9mk1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0fb?S7ek38p(8hl:038 0`e28;0qpsr;h3gf?6=,:896{zut1b=im50;&067<6jo1]??:51zN063<6s_;:?74bd3_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb70:>5<5290;w)==2;g6?M5602c:nk4?:%116?7en21d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,?7;%3f4?7a3`;ij7>5$201>4da3_9987?tL205>4}Q::>1>v*=5382fc=Q9ki1>v*:fb825>"2nk0:=6sr}|9ja2<72-99>7k8;W110?7|D:8=6bb81!3ak3;:7);ib;32?x{zu2cn47>5$201>`><^:8?6?uC33495~P5;=09w)<:2;g;?S7ek38p(8hl:018 0`e2890qpsC33790~P5nm08w[?>1;0x 0`f2l20(>6m:4d`?xP69;09w);ia;3ab>"40k0>jn5r$74a>4?7}#=ok1i55+39`91ce74da3-93n7;ib:'23d=901vZ?hk:2yU547=:r.>jl4j8:&01/?5l55ga8y!01j3;97pX=5081S76938p(8hn:d:8 6>e2{zu2c9j;4?:%116?4a>2\8>94={M112?7|^;9?6?u+24096c0<^8hh6?u+5ga95a=#=oh1=i5r}|N060<6s_9:n77}#=ok1>k84$2:a>0`d3t.=:o4>d:~y>o5mo0;6)==2;0fb>P4:=09wA==6;3xR7532;q/>8<52dd8R4dd2;q/9km51e9'1cd=9m1vqpB<2482S56j38pZ:3y'1cg=:ll0(>6m:4d`?x"1>k0:h6sr}:m17`<72-99>7<>7?l0:T2ff<5s-?mo7?>;%7ef?763twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a27d=83;1<7>t$201>64>3A9:46a=3d83>!55:388i65rb70g>5<4290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6a=3d83>!55:388i6X<2582I55>3;pZ53;294~"4:;0?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`56c<72>0;6=u+33093`=O;820e<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?713-?mn7?9;|~y>o6k80;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e63_;io740<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1e594?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?k7:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>d`83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964e7}#:<81=il4V0``>7}#=oi1=n5+5g`95f=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e>::1<7950;2x 6452>o0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5179'1cd=9?1vqps4i0a2>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1ba94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>d683>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964e7}#:<81=io4V0``>7}#=oi1=n5+5g`95f=zutw0e<>;W110?7|D:8=6{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1b394?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?9;%7ef?713twvq6g>cb83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964e7}#:<81=i94V0``>7}#=oi1=n5+5g`95f=zutw0e<>;W110?7|D:8=6{zut1b=il50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;on6X>bb81!3ak3;h7);ib;3`?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg04:3:1;7>50z&067<0m2B8=55f1b294?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?9;%7ef?713twvq6g>c083>!55:3;ij6X<2582I55>3;pZjo4>c:~yx=n9m=1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7c?2\:nn4={%7eg?7d3-?mn7?l;|~y>o6lh0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74bf3_;io74e<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ad<^8hh6?u+5ga95f=#=oh1=n5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm62194?>=83:p(><=:6g8L67?3`;h<7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;35?!3aj3;=7psr}:k2gf<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nm4V0``>7}#=oi1=;5+5g`953=zutw0e<>;W110?7|D:8=6{zut1b=io50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;om6X>bb81!3ak3;h7);ib;3`?x{zu2c:ho4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528ni7[?mc;0x 0`d28i0(8hm:0a8yx{z3`;oo7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a27e=8321<7>t$201>75c3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2gf<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nm4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c?2\:nn4={%7eg?763-?mn7?>;|~y>o6lh0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km5109'1cd=981vqps4i0fa>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;on6X>bb81!3ak3;:7);ib;32?x{zu2c:hn4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ae<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm27a94?4=83:p(><=:d78L67?3`;ij7>5$201>4da32e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg40:3:1>7>50z&0675<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;>7);ib;36?x{zu2wi>;j50;194?6|,:896>?i;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5109'1cd=981vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;:7);ib;32?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg41m3:1=7>50z&067<4:01C?<64o31f>5<#;;81>>k4;|`135<72:0;6=u+33093`=O;820e<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?713-?mn7?9;|~y>o6k80;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e63_;io74e<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb352>5<4290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga95f=#=oh1=n5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?9;%7ef?713twvq6a=3d83>!55:388i6X<2582I55>3;pZ53;294~"4:;09?i5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?>;%7ef?763twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a625=8381<7>t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ52;294~"4:;0n96F<199j5g`=83.8>?4>bg98k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm26g94?4=83:p(><=:d78L67?3`;ij7>5$201>4da32e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga950=#=oh1=85r}|8yg40<3:1?7>50z&067<49o1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm26794?7=83:p(><=:20:?M5602e9?h4?:%116?44m21vn?99:180>5<7s-99>79j;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5109'1cd=981vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;:7);ib;32?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg4003:1?7>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;h=7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a62?=8391<7>t$201>2c<@:;37d?l0;29 64528hm7E==1:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=i5+5g`95a=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7c3-?mn7?k;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c04e?6=;3:1N4911b=n>50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;o7);ib;3g?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga95a=#=oh1=i5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm26`94?0=83:p(><=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f7=83.8>?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2gf<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:0f8 0`e28n0qpsr;h3g3?6=,:896P4:=0:wA==6;3xR4742;q/>8<51e58R4dd2;q/9km51e9'1cd=9m1vqps4i0fb>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>d`9U5ge=:r.>jn4>d:&6bg<6l2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th9;n4?:783>5}#;;81;h5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?8;%7ef?703twvq6g>c083>!55:3;ij6X<2582I55>3;pZjo4>d:~yx=n9m=1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7c?2\:nn4={%7eg?7c3-?mn7?k;|~y>o6lh0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74bf3_;io74b<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb35g>5<1290;w)==2;00`>N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>1:&6bg<692wvqp5f1ba94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28;0(8hm:038yx{z3`;o;7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`2=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5ag=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=zj;=m6=4=:183!55:3o>7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,477<729q/??<5e49K74>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f7>f29096=4?{%116?c23A9:46g>bg83>!55:3;ij65`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28?0(8hm:078yx{z3th94=4?:283>5}#;;81?<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>1:&6bg<692wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28;0(8hm:038yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f7>6290:6=4?{%116?5512B8=55`22g94?"4:;09?h54}c0;6?6=;3:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>1:&6bg<692wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th9494?:583>5}#;;81;h5G30:8m4e7290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,417}#:<81=nm4V0``>7}#=oi1=:5+5g`952=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e:1?1<7:50;2x 6452>o0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5169'1cd=9>1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;<7);ib;34?x{zu2c:on4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga952=#=oh1=:5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm29494?2=83:p(><=:6g8L67?3`;h<7>5$201>4da3A99=6X<2582I55>3;pZ1/9kl5169~yx{jo4>7:~yx=n9ji1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:058 0`e28=0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn?68:186>5<7s-99>79j;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga952=#=oh1=:5r}|8m4ed290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5a1=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9641jo4>1:~yx=zj;236=4::183!55:3=n7E=>8:k2g5<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:058 0`e28=0qpsr;h3`5?6=,:896{zut1b=nm50;&067<6jo1]??:51zN063<6s_;:?74ed3_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d69U5ge=:r.>jn4>d:&6bg<6l2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th9444?:783>5}#;;81>>j4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>1:&6bg<692wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28;0(8hm:038yx{z3`;ho7>5$201>4da3_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5a1=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`1jo4>1:~yx=zj;3:6=4=:183!55:3o>7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,477<729q/??<5e49K74>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb821>"2nk0:96sr}|9~f7>d29086=4?{%116?56n2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28;0(8hm:038yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb825>"2nk0:=6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,474<729q/??<533;8L67?3f88i7>5$201>75b32wi>5h50;194?6|,:896:k4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1b394?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?l;%7ef?7d3twvq6a=3d83>!55:388i6X<2582I55>3;pZ53;294~"4:;0?4>bg9U772=9rF8>;4>{W327?4|,;?964e2.>jo4>6:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`1<`<72:0;6=u+330966b<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<692.>jo4>1:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:038 0`e28;0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn?7=:180>5<7s-99>79j;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5169'1cd=9>1vqps4i0a2>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>d:&6bg<6l2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th95>4?:283>5}#;;81;h5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>c083>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;32?!3aj3;:7psr}:a6<2=8391<7>t$201>75c3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo<66;296?6=8r.8>?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;32?!3aj3;:7psr}:a6t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ52;294~"4:;0n96F<199j5g`=83.8>?4>bg98k75b290/??<522g8R64328qG??851zT256<5s-8>>7<<=:23e?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6a=3d83>!55:388i6X<2582I55>3;pZ51;294~"4:;08>45G30:8k75b290/??<522g8?xd5100;6>4?:1y'774=?l1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm28`94?1=83:p(><=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f7=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2gf<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:0f8 0`e28n0qpsr;h3g3?6=,:896{zut1b=io50;&067<6jo1]??:51zN063<6s_;:?74bf3_;io74b<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>dc9U5ge=:r.>jn4>d:&6bg<6l2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th95n4?:683>5}#;;81;h5G30:8m4e7290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,4b7}#:<81=nm4V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=6{zut1b=io50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;om6X>bb81!3ak3;o7);ib;3g?x{zu2c:ho4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528ni7[?mc;0x 0`d28n0(8hm:0f8yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f7?c290<6=4?{%116?1b3A9:46g>c183>!55:3;ij6X<2582I55>3;pZjo4>d:~yx=n9ji1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?7c3-?mn7?k;|~y>o6l>0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e58R4dd2;q/9km51e9'1cd=9m1vqps4i0fb>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;om6X>bb81!3ak3;o7);ib;3g?x{zu2c:ho4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ad<^8hh6?u+5ga95a=#=oh1=i5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm28g94?1=83:p(><=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,4b7}#:<81=nm4V0``>7}#=oi1=i5+5g`95a=zutw0e<;:0yO770=9r\:=>4={%066?7c?2\:nn4={%7eg?7c3-?mn7?k;|~y>o6lh0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km51e9'1cd=9m1vqps4i0fa>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;on6X>bb81!3ak3;o7);ib;3g?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg4>n3:147>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28=0(8hm:058yx{z3`;h=7>5$201>4da3A99=6X<2582I55>3;pZjo4>d:~yx=n9m=1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7c?2\:nn4={%7eg?7c3-?mn7?k;|~y>o6lh0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km51e9'1cd=9m1vqps4i0fa>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;on6X>bb81!3ak3;o7);ib;3g?x{zu2c:hn4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ae<^8hh6?u+5ga95a=#=oh1=i5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm2`294?0=83:p(><=:31g?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6g>cb83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`d<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=io4V0``>7}#=oi1=<5+5g`954=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e:h81<7<50;2x 6452l?0D>?7;h3ab?6=,:8967<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;32?!3aj3;:7psr}:a6db=8381<7>t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ53;294~"4:;08=k5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?>;%7ef?763twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a6d2=83;1<7>t$201>64>3A9:46a=3d83>!55:388i65rb3c6>5<4290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6a=3d83>!55:388i6X<2582I55>3;pZ54;294~"4:;0?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2g4<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:058 0`e28=0qpsr;h3`g?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km5169'1cd=9>1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi>l650;694?6|,:896:k4H23;?l7d83:1(><=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga952=#=oh1=:5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?8;%7ef?703twvq6g>cb83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?9641jo4>1:~yx=zj;k26=4;:183!55:3=n7E=>8:k2g5<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:058 0`e28=0qpsr;h3`5?6=,:896{zut1b=nm50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;<7);ib;34?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg4fi3:187>50z&067<0m2B8=55f1b294?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?8;%7ef?703twvq6g>c083>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{jo4>7:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`1eg<72=0;6=u+33093`=O;820e<>;W110?7|D:8=6{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga952=#=oh1=:5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm2`a94?0=83:p(><=:31g?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6g>cb83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`d<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=io4V0``>7}#=oi1=<5+5g`954=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e:ho1<7<50;2x 6452l?0D>?7;h3ab?6=,:8967<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;32?!3aj3;:7psr}:a6g>=8381<7>t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ53;294~"4:;08=k5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?>;%7ef?763twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a6g6=83;1<7>t$201>64>3A9:46a=3d83>!55:388i65rb3`1>5<4290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga953=#=oh1=;5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82g>"2nk0:o6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,476<729q/??<57d9K74>P6jj09w);ic;3`?!3aj3;h7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=;5+5g`953=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e:k;1<7=50;2x 6452;9o7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=<5+5g`954=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?763-?mn7?>;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c0a1?6=;3:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga95a=#=oh1=i5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm2c494?5=83:p(><=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,41jo4>1:~yx=zj;h<6=4<:183!55:388h6F<199j5f6=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`1f<<72;0;6=u+3309a0=O;820ejo4>1:~yx=zj;hm6=4=:183!55:3o>7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,436<729q/??<530d8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,47jo4>1:~yx=zj;hi6=4>:183!55:39956F<199l66c=83.8>?4=3d98yg4el3:1?7>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28<0(8hm:048yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82g>"2nk0:o6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,476<729q/??<57d9K74>P6jj09w);ic;35?!3aj3;=7psr}:k2g4<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:0a8 0`e28i0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn?ll:180>5<7s-99>7<1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:038 0`e28;0qpsr;h3`5?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,5<#;;81=oh4;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn?mn:181>5<7s-99>7k:;I12<>o6jo0;6)==2;3ab>=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:078 0`e28?0qpsr;|`1g4<72:0;6=u+330974`<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<692.>jo4>1:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:038 0`e28;0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn?m=:182>5<7s-99>7==9:J05==h::o1<7*<23817`=53;294~"4:;0?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`1g0<72:0;6=u+33093`=O;820e<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?713-?mn7?9;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5179'1cd=9?1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi>n850;194?6|,:896:k4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1b394?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?l;%7ef?7d3twvq6a=3d83>!55:388i6X<2582I55>3;pZ54;294~"4:;0?4>bg9U772=9rF8>;4>{W327?4|,;?96407}#:<81=n?4V0``>7}#=oi1=;5+5g`953=zutw0e<;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?713-?mn7?9;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c0`N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io740<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1ba94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28i0(8hm:0a8yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f7e>290?6=4?{%116?1b3A9:46g>c183>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96402.>jo4>6:~yx=n9ji1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?7d3-?mn7?l;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c0`7?6=?3:1<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?763-?mn7?>;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5109'1cd=981vqps4i0a`>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;:7);ib;32?x{zu2c:h:4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga954=#=oh1=<5r}|8m4bf290/??<51cd8R64328qG??851zT256<5s-8>>7?ka:T2ff<5s-?mo7?>;%7ef?763twvq6g>dc83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a6fd=8381<7>t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ52;294~"4:;0n96F<199j5g`=83.8>?4>bg98k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm2e194?4=83:p(><=:d78L67?3`;ij7>5$201>4da32e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga950=#=oh1=85r}|8yg4dk3:1?7>50z&067<49o1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm2bf94?7=83:p(><=:20:?M5602e9?h4?:%116?44m21vn?mi:180>5<7s-99>79j;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5179'1cd=9?1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;h7);ib;3`?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg4c83:1?7>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28<0(8hm:048yx{z3`;h=7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a6fc=8391<7>t$201>75c3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo?48e:J05==n9j:1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?703-?mn7?8;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5169'1cd=9>1vqps4i0a`>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;<7);ib;34?x{zu2c:h:4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528n<7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;om7>5$201>4da3A99=6X<2582I55>3;pZjo4>d:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`652<72;0;6=u+3309a0=O;820ejo4>1:~yx=zj<;o6=4=:183!55:3o>7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,477<729q/??<5e49K74>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb821>"2nk0:96sr}|9~f07?29086=4?{%116?56n2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28;0(8hm:038yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb825>"2nk0:=6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,474<729q/??<533;8L67?3f88i7>5$201>75b32wi9<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28<0(8hm:048yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f07d29086=4?{%116?1b3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo;>a;297?6=8r.8>?4=3e9K74>P6jj09w);ic;32?!3aj3;:7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=<5+5g`954=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e=8o1<7;50;2x 6452>o0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5169'1cd=9>1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;<7);ib;34?x{zu2c:on4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga952=#=oh1=:5r}|8m4b0290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`2=Q9ki1>v*:fb82`>"2nk0:h6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,470<729q/??<57d9K74>jo4>7:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?703-?mn7?8;|~y>o6kj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km5169'1cd=9>1vqps4i0f4>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>d69U5ge=:r.>jn4>d:&6bg<6l2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th>>=4?:783>5}#;;81;h5G30:8m4e7290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f7=83.8>?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2gf<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:058 0`e28=0qpsr;h3g3?6=,:896{zut1b=io50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;om6X>bb81!3ak3;o7);ib;3g?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg3593:1:7>50z&067<0m2B8=55f1b294?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?8;%7ef?703twvq6g>c083>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2`2<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i94V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=6{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>1:&6bg<692wvqp5f1e594?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n<7[?mc;0x 0`d28;0(8hm:038yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f01a29096=4?{%116?c23A9:46g>bg83>!55:3;ij65`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th>4>4?:383>5}#;;81i85G30:8m4da290/??<51cd8?j44m3:1(><=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb4:;>5<5290;w)==2;g6?M5602c:nk4?:%116?7en21d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io743<,4?:1y'774=;8l0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5109'1cd=981vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;:7);ib;32?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg3?93:1=7>50z&067<4:01C?<64o31f>5<#;;81>>k4;|`6<7<72:0;6=u+33093`=O;820e<>;W110?7|D:8=6{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io740<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb4:7>594>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga952=#=oh1=:5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5fe=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2`2<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0f4?S7ek38p(8hl:0f8 0`e28n0qpsr;h3ge?6=,:896{zut1b=il50;&067<6jo1]??:51zN063<6s_;:?74be3_;io74b<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>db9U5ge=:r.>jn4>d:&6bg<6l2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th>484?:983>5}#;;81;h5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?8;%7ef?703twvq6g>c083>!55:3;ij6X<2582I55>3;pZjo4>d:~yx=n9m=1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7c?2\:nn4={%7eg?7c3-?mn7?k;|~y>o6lh0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km51e9'1cd=9m1vqps4i0fa>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;on6X>bb81!3ak3;o7);ib;3g?x{zu2c:hn4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ae<^8hh6?u+5ga95a=#=oh1=i5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm59494?>=83:p(><=:6g8L67?3`;h<7>5$201>4da3A99=6X<2582I55>3;pZ1/9kl5169~yx{jo4>d:~yx=n9ji1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?7c3-?mn7?k;|~y>o6l>0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74b03_;io74b<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d`9U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1e`94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ni7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;oo7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`f=Q9ki1>v*:fb82`>"2nk0:h6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,471<729q/??<522f8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,47jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`6g1<72;0;6=u+3309a0=O;820ejo4>1:~yx=zj7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,477<729q/??<5e49K74>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb821>"2nk0:96sr}|9~f0e229086=4?{%116?56n2B8=55f1b294?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?>;%7ef?763twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a1f0=83;1<7>t$201>64>3A9:46a=3d83>!55:388i65rb4a4>5<4290;w)==2;5f?M5602c:o=4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28<0(8hm:048yx{z3`;h=7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a1f?=838:6=4?{%116?1b3A9:46g>c183>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2gf<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nm4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7c?2\:nn4={%7eg?703-?mn7?8;|~y>o6lh0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km5169'1cd=9>1vqps4i0fa>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;on6X>bb81!3ak3;<7);ib;34?x{zu2c:hn4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ae<^8hh6?u+5ga952=#=oh1=:5r}|8m4bc290/??<51cd8R64328qG??851zT256<5s-8>>7?kd:T2ff<5s-?mo7?8;%7ef?703twvq6g>dd83>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2g7<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n<4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7d;2\:nn4={%7eg?703-?mn7?8;|~y>o6k=0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b68R4dd2;q/9km5169'1cd=9>1vqps4i0a6>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h96X>bb81!3ak3;<7);ib;34?x{zu2c:o;4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f0<^8hh6?u+5ga952=#=oh1=:5r}|8m4e0290/??<51cd8R64328qG??851zT256<5s-8>>7?l7:T2ff<5s-?mo7?8;%7ef?703twvq6g>c983>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964b7}#:<81=n74V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=6{zut1b=nl50;&067<6jo1]??:51zN063<6s_;:?74ee3_;io74b<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095fb<^8hh6?u+5ga95a=#=oh1=i5r}|8m4eb290/??<51cd8R64328qG??851zT256<5s-8>>7?le:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>cg83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964bjo4>1:~yx=n9m;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f2?S7ek38p(8hl:038 0`e28;0qpsr;h3g6?6=,:896{zut1b=i=50;&067<6jo1]??:51zN063<6s_;:?74b43_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d59U5ge=:r.>jn4>1:&6bg<692wvqp5f1e794?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n>7[?mc;0x 0`d28;0(8hm:038yx{z3`;o:7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`3=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5a>=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`6gd<72;;1<7>t$201>2c<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<6?2.>jo4>7:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:058 0`e28=0qpsr;h3`g?6=,:896{zut1b=i950;&067<6jo1]??:51zN063<6s_;:?74b03_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d`9U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1e`94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ni7[?mc;0x 0`d28=0(8hm:058yx{z3`;oo7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`f=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5ab=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9641jo4>7:~yx=n9ml1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0fe?S7ek38p(8hl:058 0`e28=0qpsr;h3`6?6=,:896{zut1b=n=50;&067<6jo1]??:51zN063<6s_;:?74e43_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c59U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1b794?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i>7[?mc;0x 0`d28=0(8hm:058yx{z3`;h:7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g3=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f1=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96417}#:<81=n64V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=6{zut1b=no50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;hm6X>bb81!3ak3;o7);ib;3g?x{zu2c:oo4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fd<^8hh6?u+5ga95a=#=oh1=i5r}|8m4ec290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382ga=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5fc=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964b7}#:<81=nh4V0``>7}#=oi1=i5+5g`95a=zutw0e<;:0yO770=9r\:=>4={%066?7c82\:nn4={%7eg?763-?mn7?>;|~y>o6l80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e38R4dd2;q/9km5109'1cd=981vqps4i0f1>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o>6X>bb81!3ak3;:7);ib;32?x{zu2c:h>4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a5<^8hh6?u+5ga954=#=oh1=<5r}|8m4b3290/??<51cd8R64328qG??851zT256<5s-8>>7?k4:T2ff<5s-?mo7?>;%7ef?763twvq6g>d483>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`=<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i64V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c12\:nn4={%7eg?763-?mn7?>;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c7`f?6=;3:1<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?763-?mn7?>;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5109'1cd=981vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi9hh50;094?6|,:896h;4H23;?l7en3:1(><=:0`e?>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c7e7?6=:3:1N4911b=oh50;&067<6jo10c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?723-?mn7?:;|~y>{e=o:1<7=50;2x 6452:;m7E=>8:k2g5<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:038 0`e28;0qpsr;h3`5?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,?7;n00a?6=,:896?=j;:a1c4=8391<7>t$201>2c<@:;37d?l0;29 64528hm7E==1:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=;5+5g`953=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7d3-?mn7?l;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c6fN4911b=oh50;&067<6jo10c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e?7;h3ab?6=,:8967<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo:je;296?6=8r.8>?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;36?!3aj3;>7psr}:a0`?=8391<7>t$201>67a3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo:ja;295?6=8r.8>?4<289K74>5}#;;81;h5G30:8m4e7290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5f7=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3`?!3aj3;h7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo:jd;292?6=8r.8>?48e:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:0f8 0`e28n0qpsr;h3`5?6=,:896{zut1b=nm50;&067<6jo1]??:51zN063<6s_;:?74ed3_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d69U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1ec94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528nj7[?mc;0x 0`d28n0(8hm:0f8yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f04b29096=4?{%116?c23A9:46g>bg83>!55:3;ij65`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th>??4?:383>5}#;;81i85G30:8m4da290/??<51cd8?j44m3:1(><=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb414>5<1290;w)==2;3a`>N4911/=h>51g9j5g`=83.8>?4>bg9U772=9rF8>;4>{W000?4|,;?96471]??:51zN063<6s_8887`1<^8hh6?u+5ga954=#=oh1=<5r}|8m`>=83.8>?4j8:T061<5sE99:7?tV317>7}#:<81i55Y1ca96~"2nj0:?6*:fc827>{zuE9997:tV3dg>6}Q98;1>v*:f`8f<>"40k0>jn5rV031>7}#=ok1=oh4$2:a>0`d3t.=:o4>9:U6cb=;r\:=<4={%7ee?c?3-93n7;ic:U544=:r.>jl4>bg9'7=d==oh0q)89b;3:?xP5nm08w[?>1;0x 0`f2l20(>6m:4d`?xP69;09w);ia;g4?!5?j3?mo6s+67`957=z^;?:6?uY10396~"2nh0n46*<8c86bf=z,?>7<::0yU74d=:r\:=<4={%7ee?4a>2.84o4:fb9~ 30e28n0qps4i3ge>5<#;;81>hh4V207>7}K;;<1=vX=3581!42:38nj6X>bb81!3ak3;o7);ib;3g?x{zD:8>6"40k0>jn5r$74a>4b6<729q/??<530d8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,47jo4>1:~yx=zj<9;6=4>:183!55:39956F<199l66c=83.8>?4=3d98yg3493:1?7>50z&067<0m2B8=55f1b294?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>c083>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,4ejo4>1:~yx=zj<986=4>1;294~"4:;0?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?703-?mn7?8;|~y>o6l>0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74b03_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d`9U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1e`94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?kb:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>db83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964b7}#:<81=ij4V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=6{zut1b=ih50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;oj6X>bb81!3ak3;o7);ib;3g?x{zu2c:o?4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f4<^8hh6?u+5ga95a=#=oh1=i5r}|8m4e4290/??<51cd8R64328qG??851zT256<5s-8>>7?l3:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>c583>!55:3;ij6X<2582I55>3;pZ0Z6=4+33095g`<@:8:7[==4;3xH64128q]=<=52z&117<6k<1]=om52z&6bf<6l2.>jo4>d:~yx=n9j<1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a5?S7ek38p(8hl:038 0`e28;0qpsr;h3`3?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,o0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5169'1cd=9>1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;<7);ib;34?x{zu2c:on4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga952=#=oh1=:5r}|8m4b0290/??<51cd8R64328qG??851zT256<5s-8>>7?k7:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>d`83>!55:3;ij6X<2582I55>3;pZjo4>d:~yx=n9mi1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7ck2\:nn4={%7eg?7c3-?mn7?k;|~y>o6lm0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74bc3_;io74b<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ac<^8hh6?u+5ga95a=#=oh1=i5r}|8m4ba290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`c=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5f4=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964bjo4>d:~yx=n9j>1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a7?S7ek38p(8hl:0f8 0`e28n0qpsr;h3`1?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b78R4dd2;q/9km51e9'1cd=9m1vqps4i0a5>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h:6X>bb81!3ak3;:7);ib;32?x{zu2c:o:4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f1<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm52794?5=83:p(><=:31g?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6a=3d83>!55:388i6X<2582I55>3;pZ52;294~"4:;0n96F<199j5g`=83.8>?4>bg98k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm54394?4=83:p(><=:d78L67?3`;ij7>5$201>4da32e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg32<3:1:7>50z&067<6jm1C?<64$0g3>4`P6jj09w);ic;32?!3aj3;:7psr}:kf3?6=,:896h94V207>4}K;;<1=vX=3581!42:3o<7[?mc;0x 0`d28;0(8hm:038yx{z3`o36=4+3309a==Q;;>1>vB<2782S44<38p(?;=:d:8R4dd2;q/9km5129'1cd=9:1vqpB<2487S4al39pZ:3y'1cg=m11/?5l55ga8yS76:38p(8hn:0`e?!5?j3?mo6s+67`95<=z^;lo6>uY10396~"2nh0n46*<8c86bf=z^8;96?u+5gc95g`<,:2i68hm;|&52g<612w]>kj53zT254<5s-?mm7k7;%1;f?3ak2w]=<<52z&6bd5$201>7`13_99874}Q::>1>v*=5381b3=Q9ki1>v*:fb82`>"2nk0:h6sr}M111?7|^:;i6?uY10396~"2nh09j;5+39`91ce<=:3ge?S55<38p@><9:0yU662=:r.99?4=eg9U5ge=:r.>jn4>d:&6bg<6l2wvqA==5;3xR67e2;q]=t$201>67a3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo;;f;295?6=8r.8>?4<289K74>9=4?:283>5}#;;81;h5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a104=83<1<7>t$201>2c<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<6l2.>jo4>d:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:0f8 0`e28n0qpsr;h3`g?6=,:896{zut1b=i950;&067<6jo1]??:51zN063<6s_;:?74b03_;io74b<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d`9U5ge=:r.>jn4>d:&6bg<6l2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th>9:4?:383>5}#;;81i85G30:8m4da290/??<51cd8?j44m3:1(><=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb47f>5<5290;w)==2;g6?M5602c:nk4?:%116?7en21d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io743<,4?:1y'774=;8l0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb47:>5<6290;w)==2;11=>N4911d>>k50;&067<5;l10qo;:b;290?6=8r.8>?48e:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:048 0`e28<0qpsr;h3`5?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5179'1cd=9?1vqps4i0a`>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;=7);ib;35?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg32k3:1:7>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28<0(8hm:048yx{z3`;h=7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;35?!3aj3;=7psr}:k2`2<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0f4?S7ek38p(8hl:048 0`e28<0qpsr;h3ge?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;=7);ib;35?x{zu2c:o<4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28<0(8hm:048yx{z3`;ho7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;35?!3aj3;=7psr}:k2`d<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0fb?S7ek38p(8hl:048 0`e28<0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn8;n:187>5<7s-99>7<1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:038 0`e28;0qpsr;h3`5?6=,:896{zut1b=nm50;&067<6jo1]??:51zN063<6s_;:?74ed3_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb442>5<5290;w)==2;g6?M5602c:nk4?:%116?7en21d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,10;6;4?:1y'774=9kn0D>?7;%3f4?7a3`;ij7>5$201>4da3_9987?tL205>4}Q::>1>v*=5382fc=Q9ki1>v*:fb825>"2nk0:=6sr}|9ja2<72-99>7k8;W110?7|D:8=6bb81!3ak3;:7);ib;32?x{zu2cn47>5$201>`><^:8?6?uC33495~P5;=09w)<:2;g;?S7ek38p(8hl:018 0`e2890qpsC33790~P5nm08w[?>1;0x 0`f2l20(>6m:4d`?xP69;09w);ia;3ab>"40k0>jn5r$74a>4?7}#=ok1i55+39`91ce74da3-93n7;ib:'23d=901vZ?hk:2yU547=:r.>jl4j8:&01/?5l55ga8y!01j3;97pX=5081S76938p(8hn:d:8 6>e2{zu2c9j;4?:%116?4a>2\8>94={M112?7|^;9?6?u+24096c0<^8hh6?u+5ga95a=#=oh1=i5r}|N060<6s_9:n77}#=ok1>k84$2:a>0`d3t.=:o4>d:~y>o5mo0;6)==2;0fb>P4:=09wA==6;3xR7532;q/>8<52dd8R4dd2;q/9km51e9'1cd=9m1vqpB<2482S56j38pZ:3y'1cg=:ll0(>6m:4d`?x"1>k0:h6sr}:m17`<72-99>7<3;0x 73528i;7[?mc;0x 0`d28;0(8hm:038yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb825>"2nk0:=6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,474<729q/??<533;8L67?3f88i7>5$201>75b32wi9;;50;194?6|,:896:k4H23;?l7d83:1(><=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga95f=#=oh1=n5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82g>"2nk0:o6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,476<729q/??<57d9K74>jo4>c:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7d3-?mn7?l;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c750?6=;3:1<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?763-?mn7?>;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5109'1cd=981vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi9l;50;094?6|,:896h;4H23;?l7en3:1(><=:0`e?>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c7b=?6=:3:1N4911b=oh50;&067<6jo10c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e=k:1<7<50;2x 6452l?0D>?7;h3ab?6=,:8967<7}#:<81>>k4V0``>7}#=oi1=85+5g`950=zutw0qo;n6;297?6=8r.8>?4<1g9K74>jo4>1:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:038 0`e28;0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn8o8:182>5<7s-99>7==9:J05==h::o1<7*<23817`=53;294~"4:;0?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`6ed<72>0;6=u+33093`=O;820e<>;W110?7|D:8=6{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga952=#=oh1=:5r}|8m4b0290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`2=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5ag=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2`g<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=il4V0``>7}#=oi1=i5+5g`95a=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e=hh1<7950;2x 6452>o0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5169'1cd=9>1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;<7);ib;34?x{zu2c:on4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga952=#=oh1=:5r}|8m4b0290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`2=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5ag=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2`g<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=il4V0``>7}#=oi1=i5+5g`95a=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e=hi1<7950;2x 6452>o0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5169'1cd=9>1vqps4i0a2>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1ba94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28=0(8hm:058yx{z3`;o;7>5$201>4da3A99=6X<2582I55>3;pZjo4>d:~yx=n9mh1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0fa?S7ek38p(8hl:0f8 0`e28n0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn8ok:18;>5<7s-99>79j;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga952=#=oh1=:5r}|8m4ed290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5a1=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96417}#:<81=io4V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=6{zut1b=im50;&067<6jo1]??:51zN063<6s_;:?74bd3_;io74b<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb4cf>5<5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28=0(8hm:058yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5fe=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96411]=om52z&6bf<6l2.>jo4>d:~yx=n9mk1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7ci2\:nn4={%7eg?7c3-?mn7?k;|~y>o6lk0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74be3_;io74b<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>db9U5ge=:r.>jn4>d:&6bg<6l2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th>mk4?:783>5}#;;81>>j4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>1:&6bg<692wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28;0(8hm:038yx{z3`;ho7>5$201>4da3_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5a1=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`a=?6=:3:1N4911b=oh50;&067<6jo10c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{ejm0;6?4?:1y'774=m<1C?<64i0`e>5<#;;81=oh4;n00a?6=,:896?=j;W110?7|D:8=6{zut1vnn=50;094?6|,:896h;4H23;?l7en3:1(><=:0`e?>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5149'1cd=9<1vqps4}c`b>5<4290;w)==2;12b>N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>1:&6bg<692wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3thin7>51;294~"4:;08>45G30:8k75b290/??<522g8?xdek3:1?7>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28<0(8hm:048yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb822>"2nk0::6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,47?48e:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:0f8 0`e28n0qpsr;h3`5?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82`>"2nk0:h6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,47?48e:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:0f8 0`e28n0qpsr;h3`5?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5fe=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964bjo4>1:~yx=zjj81<7;50;2x 6452;9o7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=<5+5g`954=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?763-?mn7?>;|~y>o6kj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km5109'1cd=981vqps4i0f4>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;:7);ib;32?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yge029096=4?{%116?c23A9:46g>bg83>!55:3;ij65`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3thhn7>52;294~"4:;0n96F<199j5g`=83.8>?4>bg98k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6smcg83>7<729q/??<5e49K74>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb821>"2nk0:96sr}|9~ff>=8391<7>t$201>67a3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qom6:182>5<7s-99>7==9:J05==h::o1<7*<23817`=8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=;5+5g`953=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?713-?mn7?9;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}ca`>5<1290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga952=#=oh1=:5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5fe=83.8>?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2`2<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i94V0``>7}#=oi1=i5+5g`95a=zutw0e<;:0yO770=9r\:=>4={%066?7ci2\:nn4={%7eg?7c3-?mn7?k;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}cag>5<0290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga952=#=oh1=:5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5fe=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96411]=om52z&6bf<6?2.>jo4>7:~yx=n9mk1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7ci2\:nn4={%7eg?7c3-?mn7?k;|~y>o6lk0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e`8R4dd2;q/9km51e9'1cd=9m1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wioh4?:283>5}#;;81>>j4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>1:&6bg<692wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28;0(8hm:038yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f`e=8381<7>t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,47?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;36?!3aj3;>7psr}:aaa<72:0;6=u+330974`<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<692.>jo4>1:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:038 0`e28;0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vnhk50;394?6|,:896><6;I12<>i5;l0;6)==2;00a>=zjll1<7=50;2x 6452>o0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io740<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rbg394??=83:p(><=:6g8L67?3`;h<7>5$201>4da3A99=6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;3g?!3aj3;o7psr}:k2gf<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nm4V0``>7}#=oi1=i5+5g`95a=zutw0e<;:0yO770=9r\:=>4={%066?7c?2\:nn4={%7eg?7c3-?mn7?k;|~y>o6lh0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74bf3_;io74b<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>dc9U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1ea94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528nh7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;oh7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`a=Q9ki1>v*:fb82`>"2nk0:h6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,47?48e:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:0f8 0`e28n0qpsr;h3`5?6=,:896{zut1b=nm50;&067<6jo1]??:51zN063<6s_;:?74ed3_;io74b<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d69U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1ec94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?ka:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>dc83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;3g?!3aj3;o7psr}:k2`a<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=ij4V0``>7}#=oi1=i5+5g`95a=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{en:0;6>4?:1y'774=::n0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rbg594?4=83:p(><=:d78L67?3`;ij7>5$201>4da32e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg`e29096=4?{%116?c23A9:46g>bg83>!55:3;ij65`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th:<<4?:383>5}#;;81i85G30:8m4da290/??<51cd8?j44m3:1(><=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>5:&6bg<6=2wvqp5rbg:94?5=83:p(><=:23e?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6a=3d83>!55:388i6X<2582I55>3;pZ:183!55:39956F<199l66c=83.8>?4=3d98yg`f29086=4?{%116?1b3A9:46g>c183>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96407}#:<81=n?4V0``>7}#=oi1=;5+5g`953=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{enj0;6;4?:1y'774=?l1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;<7);ib;34?x{zu2c:o<4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28=0(8hm:058yx{z3`;ho7>5$201>4da3A99=6X<2582I55>3;pZ1]=om52z&6bf<6l2.>jo4>d:~yx=n9mk1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7ci2\:nn4={%7eg?7c3-?mn7?k;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}cdg>5<1290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga952=#=oh1=:5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>cb83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964b7}#:<81=i94V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=6{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,50z&067<0m2B8=55f1b294?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?8;%7ef?703twvq6g>c083>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,4b7}#:<81=nm4V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=6{zut1b=io50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;om6X>bb81!3ak3;o7);ib;3g?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg`a290=6=4?{%116?1b3A9:46g>c183>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{jo4>d:~yx=n9ji1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?7c3-?mn7?k;|~y>o6l>0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74b03_;io74b<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ag<^8hh6?u+5ga95a=#=oh1=i5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm11294?3=83:p(><=:31g?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6g>cb83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo<;4;296?6=8r.8>?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;32?!3aj3;:7psr}:a542=8381<7>t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ52;294~"4:;0n96F<199j5g`=83.8>?4>bg98k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm24294?0=83:p(><=:0`g?M5602.:i=4=0:k2fc<72-99>7?mf:T061<6sE99:7?tV317>7}#:<81=oh4V0``>7}#=oi1=<5+5g`954=zutw0eh950;&06794>{M112?7|^;9?6?u+2409a2=Q9ki1>v*:fb825>"2nk0:=6sr}|9ja=<72-99>7k7;W110?4|D:8=6bb81!3ak3;87);ib;30?x{zD:8>69uY2gf97~P69809w);ia;g;?!5?j3?mo6sY10096~"2nh0:nk5+39`91ce`><,:2i68hl;|T257<5s-?mm7?mf:&0:3y'1cg=m11/?5l55ga8yS76:38p(8hn:d58 6>e2{Q:<;1>vX>1081!3ai3o37)=7b;7eg>{#>?h1=h5r}|9j6c0=83.8>?4=f79U772=:rF8>;4>{W000?4|,;?96?h9;W3ag?4|,4b7`13-93n7;ic:'23d=9m1vqp5f2dd94?"4:;09ik5Y33696~J4:?0:w[<<4;0x 7352;om7[?mc;0x 0`d28n0(8hm:0f8yx{K;;?1=vX<1c81S76938p(8hn:3ge?!5?j3?mo6s+67`95a=zut1d>>k50;&067<5;l10qo<;6;297?6=8r.8>?4<1g9K74>P6jj09w);ic;32?!3aj3;:7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=<5+5g`954=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e:==1<7?50;2x 6452:827E=>8:m17`<72-99>7<N4911b=n>50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;<7);ib;34?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga952=#=oh1=:5r}|8m4ed290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5a1=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo<;b;291?6=8r.8>?48e:J05==n9j:1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?703-?mn7?8;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5169'1cd=9>1vqps4i0a`>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1e594?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?k7:T2ff<5s-?mo7?k;%7ef?7c3twvq6a=3d83>!55:388i6X<2582I55>3;pZ55;294~"4:;0?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=:5+5g`952=zutw0e<>;W110?7|D:8=6{zut1b=i950;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;o7);ib;3g?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg43l3:197>50z&067<0m2B8=55f1b294?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?8;%7ef?703twvq6g>c083>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{jo4>7:~yx=n9m=1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7c?2\:nn4={%7eg?7c3-?mn7?k;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c07a?6==3:1<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?763-?mn7?>;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5109'1cd=981vqps4i0a`>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;:7);ib;32?x{zu2c:h:4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm25794?1=83:p(><=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb82g>"2nk0:o6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,4ejo4>c:~yx=n9m=1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f4?S7ek38p(8hl:0a8 0`e28i0qpsr;h3ge?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km51b9'1cd=9j1vqps4i0fa>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;on6X>bb81!3ak3;h7);ib;3`?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg2a93:1>7>50z&0675<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi;i4?:383>5}#;;81i85G30:8m4da290/??<51cd8?j44m3:1(><=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb5d;>5<1290;w)==2;3a`>N4911/=h>5219j5g`=83.8>?4>bg9U772=9rF8>;4>{W000?4|,;?96471]??:51zN063<6s_8887`1<^8hh6?u+5ga954=#=oh1=<5r}|8m`>=83.8>?4j8:T061<5sE99:7?tV317>7}#:<81i55Y1ca96~"2nj0:?6*:fc827>{zuE9997:tV3dg>6}Q98;1>v*:f`8f<>"40k0>jn5rV031>7}#=ok1=oh4$2:a>0`d3t.=:o4>9:U6cb=;r\:=<4={%7ee?c?3-93n7;ic:U544=:r.>jl4>bg9'7=d==oh0q)89b;3:?xP5nm08w[?>1;0x 0`f2l20(>6m:4d`?xP69;09w);ia;g4?!5?j3?mo6s+67`957=z^;?:6?uY10396~"2nh0n46*<8c86bf=z,?>7<::0yU74d=:r\:=<4={%7ee?4a>2.84o4:fb9~ 30e28n0qps4i3ge>5<#;;81>hh4V207>7}K;;<1=vX=3581!42:38nj6X>bb81!3ak3;o7);ib;3g?x{zD:8>6"40k0>jn5r$74a>4b6<729q/??<530d8L67?3`;h<7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo:i3;295?6=8r.8>?4<289K74>5}#;;81;h5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a0c0=8391<7>t$201>2c<@:;37d?l0;29 64528hm7E==1:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=n5+5g`95f=zutw0e:18'774=9kl0D><>;W110?7|D:8=6{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,4?:1y'774=::n0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb42`>5<5290;w)==2;g6?M5602c:nk4?:%116?7en21d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,5<#;;81=oh4;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn8?;:185>5<7s-99>7?md:J05==#9l:1>=5f1cd94?"4:;0:nk5Y33695~J4:?0:w[<<4;0x 73528hm7[?mc;0x 0`d28;0(8hm:038yx{z3`o<6=4+3309a2=Q;;>1=vB<2782S44<38p(?;=:d58R4dd2;q/9km5109'1cd=981vqps4id:94?"4:;0n46X<2581I55>3;pZ?=;:3y'604=m11]=om52z&6bf<6;2.>jo4>3:~yI55=3>pZ?hk:2yU547=:r.>jl4j8:&06m:4d`?x"1>k0:56sY2gf97~P69809w);ia;g;?!5?j3?mo6sY10096~"2nh0:nk5+39`91cd`><,:2i68hl;|T257<5s-?mm7k8;%1;f?3ak2w/:;l5139~R7362;q]=5<#;;81>k84V207>7}K;;<1=vX=3581!42:38m:6X>bb81!3ak3;o7);ib;3g?x{zD:8>6"40k0>jn5r$74a>4b<;:3yO770=9r\9?94={%066?4bn2\:nn4={%7eg?7c3-?mn7?k;|~H64228q]?5}#;;81?<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>1:&6bg<692wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28;0(8hm:038yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f06b290:6=4?{%116?5512B8=55`22g94?"4:;09?h54}c724?6=;3:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io74e<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga95f=#=oh1=n5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm50394?5=83:p(><=:6g8L67?3`;h<7>5$201>4da3A99=6X<2582I55>3;pZjo4>c:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`657<72=0;6=u+33093`=O;820e<>;W110?7|D:8=6{zut1b=n?50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;h7);ib;3`?x{zu2c:on4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28i0(8hm:0a8yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f06a290?6=4?{%116?44l2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28;0(8hm:038yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5fe=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=zj<2i6=4=:183!55:3o>7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,477<729q/??<5e49K74>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f0?329096=4?{%116?c23A9:46g>bg83>!55:3;ij65`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28?0(8hm:078yx{z3th>4n4?:283>5}#;;81?<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6a=3d83>!55:388i6X<2582I55>3;pZ51;294~"4:;08>45G30:8k75b290/??<522g8?xd20l0;6;4?:1y'774=?l1C?<64i0a3>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>6:&6bg<6>2wvqp5f1b394?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?9;%7ef?713twvq6g>cb83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96407}#:<81=i94V0``>7}#=oi1=n5+5g`95f=zutw0e<>;W110?7|D:8=6{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,4?:1y'774=?l1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;o7);ib;3g?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga95a=#=oh1=i5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm58394?1=83:p(><=:6g8L67?3`;h<7>5$201>4da3A99=6X<2582I55>3;pZ1/9kl5169~yx{jo4>7:~yx=n9ji1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?703-?mn7?8;|~y>o6l>0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e58R4dd2;q/9km51e9'1cd=9m1vqps4i0fb>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>d`9U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1e`94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?kb:T2ff<5s-?mo7?k;%7ef?7c3twvq6a=3d83>!55:388i6X<2582I55>3;pZ7>58;294~"4:;0?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?703-?mn7?8;|~y>o6l>0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74b03_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ag<^8hh6?u+5ga95a=#=oh1=i5r}|8m4be290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`g=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5ae=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo;63;290?6=8r.8>?4=3e9K74>P6jj09w);ic;32?!3aj3;:7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?763-?mn7?>;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c7:3?6=:3:1N4911b=oh50;&067<6jo10c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e=0h1<7<50;2x 6452l?0D>?7;h3ab?6=,:8967<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo;n2;296?6=8r.8>?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;36?!3aj3;>7psr}:a1<>=8391<7>t$201>67a3A9:46g>c183>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`6=<<7280;6=u+330977?<@:;37b<<=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,47jo4>1:~yx=zj<3h6=49:183!55:3=n7E=>8:k2g5<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:058 0`e28=0qpsr;h3`5?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5169'1cd=9>1vqps4i0a`>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1e594?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?k7:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>d`83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a1t$201>2c<@:;37d?l0;29 64528hm7E==1:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=:5+5g`952=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?703-?mn7?8;|~y>o6kj0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74ed3_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga95a=#=oh1=i5r}|8m4bf290/??<51cd8R64328qG??851zT256<5s-8>>7?ka:T2ff<5s-?mo7?k;%7ef?7c3twvq6a=3d83>!55:388i6X<2582I55>3;pZ56;294~"4:;0?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=:5+5g`952=zutw0e<>;W110?7|D:8=6{zut1b=i950;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;o7);ib;3g?x{zu2c:hl4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ag<^8hh6?u+5ga95a=#=oh1=i5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm58d94?0=83:p(><=:6g8L67?3`;h<7>5$201>4da3A99=6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2gf<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:058 0`e28=0qpsr;h3g3?6=,:896P4:=0:wA==6;3xR4742;q/>8<51e58R4dd2;q/9km51e9'1cd=9m1vqps4i0fb>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;om6X>bb81!3ak3;o7);ib;3g?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg3f83:1:7>50z&067<0m2B8=55f1b294?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?8;%7ef?703twvq6g>c083>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{jo4>7:~yx=n9m=1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7c?2\:nn4={%7eg?7c3-?mn7?k;|~y>o6lh0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km51e9'1cd=9m1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi9l?50;494?6|,:896?=k;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5109'1cd=981vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;:7);ib;32?x{zu2c:on4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga954=#=oh1=<5r}|8m4b0290/??<51cd8R64328qG??851zT256<5s-8>>7?k7:T2ff<5s-?mo7?>;%7ef?763twvq6g>d`83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a1g0=8381<7>t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ52;294~"4:;0n96F<199j5g`=83.8>?4>bg98k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm5b394?4=83:p(><=:d78L67?3`;ij7>5$201>4da32e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga950=#=oh1=85r}|8yg3e?3:1?7>50z&067<49o1C?<64i0a3>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>1:&6bg<692wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28;0(8hm:038yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f0d?290:6=4?{%116?5512B8=55`22g94?"4:;09?h54}c7a=?6=;3:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>1:&6bg<692wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th>no4?:983>5}#;;81;h5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?8;%7ef?703twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;3g?!3aj3;o7psr}:k2`2<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0f4?S7ek38p(8hl:0f8 0`e28n0qpsr;h3ge?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km51e9'1cd=9m1vqps4i0fa>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>dc9U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1ea94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?kc:T2ff<5s-?mo7?k;%7ef?7c3twvq6a=3d83>!55:388i6X<2582I55>3;pZ58;294~"4:;0?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2g4<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:058 0`e28=0qpsr;h3`g?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km51e9'1cd=9m1vqps4i0f4>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>d69U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1ec94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?ka:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>dc83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964b7}#:<81=im4V0``>7}#=oi1=i5+5g`95a=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e=kn1<7650;2x 6452>o0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5169'1cd=9>1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;o7);ib;3g?x{zu2c:on4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;o;7>5$201>4da3A99=6X<2582I55>3;pZjo4>d:~yx=n9mh1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7cj2\:nn4={%7eg?7c3-?mn7?k;|~y>o6lj0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74bd3_;io74b<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb4`f>5<>290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga952=#=oh1=:5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5fe=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2`2<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0f4?S7ek38p(8hl:0f8 0`e28n0qpsr;h3ge?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km51e9'1cd=9m1vqps4i0fa>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;on6X>bb81!3ak3;o7);ib;3g?x{zu2c:hn4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ae<^8hh6?u+5ga95a=#=oh1=i5r}|8m4bc290/??<51cd8R64328qG??851zT256<5s-8>>7?kd:T2ff<5s-?mo7?k;%7ef?7c3twvq6a=3d83>!55:388i6X<2582I55>3;pZ59;294~"4:;0?4>bg9U772=9rF8>;4>{W327?4|,;?96417}#:<81=n?4V0``>7}#=oi1=i5+5g`95a=zutw0e<;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?7c3-?mn7?k;|~y>o6l>0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e58R4dd2;q/9km51e9'1cd=9m1vqps4i0fb>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>d`9U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1e`94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ni7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;oo7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`f=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5ab=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964bjo4>1:~yx=zj?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9ji1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:038 0`e28;0qpsr;h3g3?6=,:896{zut1b=io50;&067<6jo1]??:51zN063<6s_;:?74bf3_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rbg83>7<729q/??<5e49K74>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f`<72;0;6=u+3309a0=O;820ejo4>5:~yx=zjk0;6>4?:1y'774=;8l0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rbb83>4<729q/??<533;8L67?3f88i7>5$201>75b32wih7>53;294~"4:;0?4>bg9U772=9rF8>;4>{W327?4|,;?96407}#:<81=n?4V0``>7}#=oi1=n5+5g`95f=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{ei3:1>7>50z&0675<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi57>52;294~"4:;0n96F<199j5g`=83.8>?4>bg98k75b290/??<522g8R64328qG??851zT256<5s-8>>7<?4<1g9K74>P6jj09w);ic;32?!3aj3;:7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=<5+5g`954=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e93:1=7>50z&067<4:01C?<64o31f>5<#;;81>>k4;|`0>5<4290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6a=3d83>!55:388i6X<2582I55>3;pZ1<7=50;2x 6452>o0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io74e<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb483>6<729q/??<57d9K74>2.>jo4>6:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:0a8 0`e28i0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn;4?:283>5}#;;81;h5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?9;%7ef?713twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a3?6=;3:1N4911b=n>50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;=7);ib;35?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga95f=#=oh1=n5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm8;292?6=8r.8>?48e:J05==n9j:1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?713-?mn7?9;|~y>o6k80;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e63_;io740<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>c:&6bg<6k2wvqp5f1e594?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?k7:T2ff<5s-?mo7?l;%7ef?7d3twvq6g>d`83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964ejo4>1:~yx=zj;0;6:4?:1y'774=::n0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>1:&6bg<692wvqp5f1e594?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n<7[?mc;0x 0`d28;0(8hm:038yx{z3`;om7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`d=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5ad=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=zj8;>6=4=:183!55:3o>7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,471783>6<729q/??<57d9K74>P6jj09w);ic;3a?!3aj3;i7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=o5+5g`95g=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e98=1<7=50;2x 6452>o0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;o7);ib;3g?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg7603:1?7>50z&067<0m2B8=55f1b294?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>c083>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,4bjo4>1:~yx=zj8;26=4;:183!55:3=n7E=>8:k2g5<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:058 0`e28=0qpsr;h3`5?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5169'1cd=9>1vqps4i0a`>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>7:&6bg<6?2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th:=l4?:583>5}#;;81;h5G30:8m4e7290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f7=83.8>?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2gf<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:0f8 0`e28n0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn5<7s-99>79j;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1ba94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?8;%7ef?703twvq6a=3d83>!55:388i6X<2582I55>3;pZ57;294~"4:;09?i5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?>;%7ef?763twvq6g>c083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`2<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i94V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7ci2\:nn4={%7eg?763-?mn7?>;|~y>o6lk0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e`8R4dd2;q/9km5109'1cd=981vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi=<=:0`e?>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c32a?6=;3:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io74d<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>b:&6bg<6j2wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th:=k4?:583>5}#;;81;h5G30:8m4e7290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,417}#:<81=nm4V0``>7}#=oi1=:5+5g`952=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e9;:1<7:50;2x 6452>o0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5169'1cd=9>1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;<7);ib;34?x{zu2c:on4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28=0(8hm:058yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f446290?6=4?{%116?1b3A9:46g>c183>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?9641jo4>7:~yx=n9ji1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?703-?mn7?8;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c316?6=<3:1N4911b=n>50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;<7);ib;34?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga952=#=oh1=:5r}|8m4ed290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb823>"2nk0:;6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,472283>1<729q/??<57d9K74>jo4>7:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?703-?mn7?8;|~y>o6kj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km5169'1cd=9>1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi=?:50;594?6|,:896?=k;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5109'1cd=981vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;:7);ib;32?x{zu2c:on4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga954=#=oh1=<5r}|8m4b0290/??<51cd8R64328qG??851zT256<5s-8>>7?k7:T2ff<5s-?mo7?>;%7ef?763twvq6g>d`83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo?=5;296?6=8r.8>?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;32?!3aj3;:7psr}:a570=8391<7>t$201>2c<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<6?2.>jo4>7:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7c3-?mn7?k;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c313?6=:80;6=u+33093`=O;820e<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?703-?mn7?8;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5169'1cd=9>1vqps4i0a`>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;<7);ib;34?x{zu2c:h:4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga952=#=oh1=:5r}|8m4bf290/??<51cd8R64328qG??851zT256<5s-8>>7?ka:T2ff<5s-?mo7?8;%7ef?703twvq6g>dc83>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2`a<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0fg?S7ek38p(8hl:058 0`e28=0qpsr;h3ga?6=,:896{zut1b=ih50;&067<6jo1]??:51zN063<6s_;:?74ba3_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c39U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1b194?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i87[?mc;0x 0`d28=0(8hm:058yx{z3`;h87>5$201>4da3_9987?tL205>4}Q9891>v*=5382g1=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f3=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9641jo4>7:~yx=n9j=1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d?2\:nn4={%7eg?7c3-?mn7?k;|~y>o6k10;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e?3_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f?<^8hh6?u+5ga95a=#=oh1=i5r}|8m4ef290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382gd=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5fd=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9jo1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0af?S7ek38p(8hl:038 0`e28;0qpsr;h3`b?6=,:896{zut1b=i>50;&067<6jo1]??:51zN063<6s_;:?74b73_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d09U5ge=:r.>jn4>1:&6bg<692wvqp5f1e094?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n97[?mc;0x 0`d28;0(8hm:038yx{z3`;o?7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`6=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5a2=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9m<1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f5?S7ek38p(8hl:038 0`e28;0qpsr;h3g{zut1b=i750;&067<6jo1]??:51zN063<6s_;:?74b>3_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb00;>5<593:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1ba94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28=0(8hm:058yx{z3`;o;7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`2=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5ag=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9641jo4>7:~yx=n9mi1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f`?S7ek38p(8hl:058 0`e28=0qpsr;h3g`?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ef8R4dd2;q/9km5169'1cd=9>1vqps4i0ff>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>dd9U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1ed94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528nm7[?mc;0x 0`d28=0(8hm:058yx{z3`;h>7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g7=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f5=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9641jo4>7:~yx=n9j?1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a6?S7ek38p(8hl:058 0`e28=0qpsr;h3`2?6=,:896{zut1b=n950;&067<6jo1]??:51zN063<6s_;:?74e03_;io74b<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f><^8hh6?u+5ga952=#=oh1=:5r}|8m4e>290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g<=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5fg=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2gg<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nl4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7dl2\:nn4={%7eg?763-?mn7?>;|~y>o6kl0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51bg8R4dd2;q/9km5109'1cd=981vqps4i0ae>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;hj6X>bb81!3ak3;:7);ib;32?x{zu2c:h=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a6<^8hh6?u+5ga954=#=oh1=<5r}|8m4b6290/??<51cd8R64328qG??851zT256<5s-8>>7?k1:T2ff<5s-?mo7?>;%7ef?763twvq6g>d383>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`1<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i:4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c=2\:nn4={%7eg?763-?mn7?>;|~y>o6l?0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e48R4dd2;q/9km5109'1cd=981vqps4i0f;>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o46X>bb81!3ak3;:7);ib;32?x{zu2c:h44?:%116?7en2\8>94>{M112?7|^8;86?u+24095a?<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm13;94?46290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga952=#=oh1=:5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?8;%7ef?703twvq6g>cb83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96411]=om52z&6bf<6?2.>jo4>7:~yx=n9mk1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7ci2\:nn4={%7eg?703-?mn7?8;|~y>o6lk0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74be3_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ae<^8hh6?u+5ga952=#=oh1=:5r}|8m4bc290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`a=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5ac=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9641jo4>7:~yx=n9j81<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a1?S7ek38p(8hl:058 0`e28=0qpsr;h3`7?6=,:8965Y1ca96~"2nj0:;6*:fc823>{zut1b=n:50;&067<6jo1]??:51zN063<6s_;:?74e33_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c49U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1b494?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i=7[?mc;0x 0`d28=0(8hm:058yx{z3`;h;7>5$201>4da3A99=6X<2582I55>3;pZjo4>7:~yx=n9j31<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d12\:nn4={%7eg?7c3-?mn7?k;|~y>o6kh0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74ef3_;io74b<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cc9U5ge=:r.>jn4>1:&6bg<692wvqp5f1bf94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528io7[?mc;0x 0`d28;0(8hm:038yx{z3`;hi7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g`=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f`=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9m;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f2?S7ek38p(8hl:038 0`e28;0qpsr;h3g6?6=,:896{zut1b=i=50;&067<6jo1]??:51zN063<6s_;:?74b43_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d59U5ge=:r.>jn4>1:&6bg<692wvqp5f1e794?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n>7[?mc;0x 0`d28;0(8hm:038yx{z3`;o:7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`3=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5a>=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`26d<72;;1<7>t$201>2c<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<6?2.>jo4>7:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:058 0`e28=0qpsr;h3`g?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km5169'1cd=9>1vqps4i0f4>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;<7);ib;34?x{zu2c:hl4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528nj7[?mc;0x 0`d28=0(8hm:058yx{z3`;on7>5$201>4da3A99=6X<2582I55>3;pZ1/9kl5169~yx{jo4>7:~yx=n9mn1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7cl2\:nn4={%7eg?703-?mn7?8;|~y>o6ll0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74bb3_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>dg9U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1b094?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i97[?mc;0x 0`d28=0(8hm:058yx{z3`;h?7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g6=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f2=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9641jo4>7:~yx=n9j<1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a5?S7ek38p(8hl:058 0`e28=0qpsr;h3`3?6=,:896{zut1b=n650;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h46X>bb81!3ak3;<7);ib;34?x{zu2c:o44?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i27[?mc;0x 0`d28n0(8hm:0f8yx{z3`;hm7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2ga<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nj4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7dm2\:nn4={%7eg?763-?mn7?>;|~y>o6ko0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51bd8R4dd2;q/9km5109'1cd=981vqps4i0f3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o<6X>bb81!3ak3;:7);ib;32?x{zu2c:h<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a7<^8hh6?u+5ga954=#=oh1=<5r}|8m4b5290/??<51cd8R64328qG??851zT256<5s-8>>7?k2:T2ff<5s-?mo7?>;%7ef?763twvq6g>d283>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`0<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i;4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c>2\:nn4={%7eg?763-?mn7?>;|~y>o6l10;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e:8R4dd2;q/9km5109'1cd=981vqps4i0f:>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o56X>bb81!3ak3;:7);ib;32?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg75j3:1:7>50z&067<5;m1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga954=#=oh1=<5r}|8m4ed290/??<51cd8R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?>;%7ef?763twvq6g>d683>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo?=c;296?6=8r.8>?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;32?!3aj3;:7psr}:a57b=838:6=4?{%116?1b3A9:46g>c183>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2gf<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:058 0`e28=0qpsr;h3g3?6=,:896{zut1b=io50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;om6X>bb81!3ak3;<7);ib;34?x{zu2c:ho4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528ni7[?mc;0x 0`d28=0(8hm:058yx{z3`;oo7>5$201>4da3A99=6X<2582I55>3;pZ1/9kl5169~yx{jo4>7:~yx=n9mo1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7cm2\:nn4={%7eg?703-?mn7?8;|~y>o6lo0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ed8R4dd2;q/9km5169'1cd=9>1vqps4i0a1>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h>6X>bb81!3ak3;<7);ib;34?x{zu2c:o>4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f5<^8hh6?u+5ga952=#=oh1=:5r}|8m4e3290/??<51cd8R64328qG??851zT256<5s-8>>7?l4:T2ff<5s-?mo7?8;%7ef?703twvq6g>c483>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2g2<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n94V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=6{zut1b=n750;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h56X>bb81!3ak3;o7);ib;3g?x{zu2c:ol4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528ij7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;hn7>5$201>4da3_9987?tL205>4}Q9891>v*=5382gg=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5fb=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9jl1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0ae?S7ek38p(8hl:038 0`e28;0qpsr;h3g4?6=,:896{zut1b=i?50;&067<6jo1]??:51zN063<6s_;:?74b63_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d39U5ge=:r.>jn4>1:&6bg<692wvqp5f1e194?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n87[?mc;0x 0`d28;0(8hm:038yx{z3`;o87>5$201>4da3_9987?tL205>4}Q9891>v*=5382`1=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5a3=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9m21<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f;?S7ek38p(8hl:038 0`e28;0qpsr;h3g=?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,o0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1e594?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n<7[?mc;0x 0`d28=0(8hm:058yx{z3`;om7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`d=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5ad=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9641jo4>7:~yx=n9mn1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7cl2\:nn4={%7eg?703-?mn7?8;|~y>o6ll0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51eg8R4dd2;q/9km5169'1cd=9>1vqps4i0fe>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;oj6X>bb81!3ak3;<7);ib;34?x{zu2c:o?4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f4<^8hh6?u+5ga952=#=oh1=:5r}|8m4e4290/??<51cd8R64328qG??851zT256<5s-8>>7?l3:T2ff<5s-?mo7?8;%7ef?703twvq6g>c583>!55:3;ij6X<2582I55>3;pZ0Z1/9kl5169~yx{6=4+33095g`<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2g3<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n84V0``>7}#=oi1=:5+5g`952=zutw0e<>;W110?7|D:8=6{zut1b=n650;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h46X>bb81!3ak3;<7);ib;34?x{zu2c:o44?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i27[?mc;0x 0`d28n0(8hm:0f8yx{z3`;hm7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;3g?!3aj3;o7psr}:k2ga<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nj4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7dm2\:nn4={%7eg?763-?mn7?>;|~y>o6ko0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51bd8R4dd2;q/9km5109'1cd=981vqps4i0f3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o<6X>bb81!3ak3;:7);ib;32?x{zu2c:h<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a7<^8hh6?u+5ga954=#=oh1=<5r}|8m4b5290/??<51cd8R64328qG??851zT256<5s-8>>7?k2:T2ff<5s-?mo7?>;%7ef?763twvq6g>d283>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`0<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i;4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c>2\:nn4={%7eg?763-?mn7?>;|~y>o6l10;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e:8R4dd2;q/9km5109'1cd=981vqps4i0f:>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o56X>bb81!3ak3;:7);ib;32?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg75n3:1><4?:1y'774=?l1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;<7);ib;34?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga952=#=oh1=:5r}|8m4ed290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5a1=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96417}#:<81=io4V0``>7}#=oi1=:5+5g`952=zutw0e<>;W110?7|D:8=6{zut1b=im50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;oo6X>bb81!3ak3;<7);ib;34?x{zu2c:hi4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528no7[?mc;0x 0`d28=0(8hm:058yx{z3`;oi7>5$201>4da3A99=6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2g7<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n<4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7d;2\:nn4={%7eg?703-?mn7?8;|~y>o6k=0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b68R4dd2;q/9km5169'1cd=9>1vqps4i0a6>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h96X>bb81!3ak3;<7);ib;34?x{zu2c:o;4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f0<^8hh6?u+5ga952=#=oh1=:5r}|8m4e0290/??<51cd8R64328qG??851zT256<5s-8>>7?l7:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>c983>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96417}#:<81=n74V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=6{zut1b=nl50;&067<6jo1]??:51zN063<6s_;:?74ee3_;io74b<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>ce9U5ge=:r.>jn4>1:&6bg<692wvqp5f1bg94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528in7[?mc;0x 0`d28;0(8hm:038yx{z3`;hj7>5$201>4da3_9987?tL205>4}Q9891>v*=5382gc=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5a6=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9m81<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f1?S7ek38p(8hl:038 0`e28;0qpsr;h3g7?6=,:8965Y1ca96~"2nj0:=6*:fc825>{zut1b=i:50;&067<6jo1]??:51zN063<6s_;:?74b33_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d49U5ge=:r.>jn4>1:&6bg<692wvqp5f1e494?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n=7[?mc;0x 0`d28;0(8hm:038yx{z3`;o47>5$201>4da3_9987?tL205>4}Q9891>v*=5382`==Q9ki1>v*:fb825>"2nk0:=6sr}|9j5a?=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=zj89;6=4;:183!55:388h6F<199j5f6=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9ji1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:038 0`e28;0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn<=>:181>5<7s-99>7k:;I12<>o6jo0;6)==2;3ab>=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`277<72:0;6=u+33093`=O;820e<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?7e3-?mn7?m;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km51c9'1cd=9k1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi=>=50;194?6|,:896:k4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1b394?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?k;%7ef?7c3twvq6a=3d83>!55:388i6X<2582I55>3;pZ55;294~"4:;0?4>bg9U772=9rF8>;4>{W327?4|,;?96417}#:<81=n?4V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=6{zut1b=i950;&067<6jo1]??:51zN063<6s_;:?74b03_;io74b<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb016>5<0290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga952=#=oh1=:5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5fe=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96417}#:<81=i94V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=6{zut1b=il50;&067<6jo1]??:51zN063<6s_;:?74be3_;io74b<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb015>5<0290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga952=#=oh1=:5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5fe=83.8>?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2`2<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0f4?S7ek38p(8hl:0f8 0`e28n0qpsr;h3ge?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km51e9'1cd=9m1vqps4i0fa>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;on6X>bb81!3ak3;o7);ib;3g?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg74?3:1=<4?:1y'774=?l1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;<7);ib;34?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga952=#=oh1=:5r}|8m4ed290/??<51cd8R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?8;%7ef?703twvq6g>d683>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2`g<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=il4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7ck2\:nn4={%7eg?703-?mn7?8;|~y>o6lm0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74bc3_;io74b<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ac<^8hh6?u+5ga952=#=oh1=:5r}|8m4ba290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`c=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5f4=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2g6<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n=4V0``>7}#=oi1=i5+5g`95a=zutw0e<;:0yO770=9r\:=>4={%066?7d<2\:nn4={%7eg?763-?mn7?>;|~y>o6k<0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b78R4dd2;q/9km5109'1cd=981vqps4i0a5>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h:6X>bb81!3ak3;:7);ib;32?x{zu2c:o:4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f1<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm12:94?1=83:p(><=:31g?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6g>cb83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`d<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=io4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7cj2\:nn4={%7eg?763-?mn7?>;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c30=?6=:3:1N4911b=oh50;&067<6jo10c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e9:k1<7=50;2x 6452>o0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io74d<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb01a>5<693:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1ba94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28=0(8hm:058yx{z3`;o;7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`2=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5ag=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9641jo4>7:~yx=n9mi1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f`?S7ek38p(8hl:058 0`e28=0qpsr;h3g`?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ef8R4dd2;q/9km51e9'1cd=9m1vqps4i0ff>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>dd9U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1ed94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?kf:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>c383>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964bjo4>d:~yx=n9j>1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a7?S7ek38p(8hl:038 0`e28;0qpsr;h3`1?6=,:896{zut1b=n850;&067<6jo1]??:51zN063<6s_;:?74e13_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c69U5ge=:r.>jn4>1:&6bg<692wvqp5`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th:?n4?:0394?6|,:896:k4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1b394?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?8;%7ef?703twvq6g>cb83>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2`d<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=io4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7cj2\:nn4={%7eg?703-?mn7?8;|~y>o6lj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ea8R4dd2;q/9km5169'1cd=9>1vqps4i0fg>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;oh6X>bb81!3ak3;<7);ib;34?x{zu2c:hh4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ac<^8hh6?u+5ga95a=#=oh1=i5r}|8m4ba290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`c=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f4=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2g6<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a0?S7ek38p(8hl:0f8 0`e28n0qpsr;h3`0?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b68R4dd2;q/9km51e9'1cd=9m1vqps4i0a6>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h96X>bb81!3ak3;o7);ib;3g?x{zu2c:o;4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f0<^8hh6?u+5ga954=#=oh1=<5r}|8m4e0290/??<51cd8R64328qG??851zT256<5s-8>>7?l7:T2ff<5s-?mo7?>;%7ef?763twvq6a=3d83>!55:388i6X<2582I55>3;pZ51083>5}#;;81;h5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?8;%7ef?703twvq6g>c083>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,41jo4>7:~yx=n9m=1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f4?S7ek38p(8hl:058 0`e28=0qpsr;h3ge?6=,:896{zut1b=il50;&067<6jo1]??:51zN063<6s_;:?74be3_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>db9U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1ef94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528no7[?mc;0x 0`d28=0(8hm:058yx{z3`;oi7>5$201>4da3_9987?tL205>4}Q9891>v*=5382``=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5a`=83.8>?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2g7<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a1?S7ek38p(8hl:0f8 0`e28n0qpsr;h3`7?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b18R4dd2;q/9km51e9'1cd=9m1vqps4i0a7>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c59U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1b794?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i>7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;h:7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g3=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5f1=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=zj89n6=4=1;294~"4:;0?4>bg9U772=9rF8>;4>{W327?4|,;?9641jo4>7:~yx=n9ji1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:058 0`e28=0qpsr;h3g3?6=,:896{zut1b=io50;&067<6jo1]??:51zN063<6s_;:?74bf3_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>dc9U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1ea94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528nh7[?mc;0x 0`d28=0(8hm:058yx{z3`;oh7>5$201>4da3A99=6X<2582I55>3;pZ1/9kl5169~yx{jo4>7:~yx=n9ml1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0fe?S7ek38p(8hl:058 0`e28=0qpsr;h3`6?6=,:896{zut1b=n=50;&067<6jo1]??:51zN063<6s_;:?74e43_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c59U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1b794?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i>7[?mc;0x 0`d28=0(8hm:058yx{z3`;h:7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g3=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f1=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964b7}#:<81=n64V0``>7}#=oi1=:5+5g`952=zutw0e<>;W110?7|D:8=6{zut1b=no50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;hm6X>bb81!3ak3;o7);ib;3g?x{zu2c:oo4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fd<^8hh6?u+5ga95a=#=oh1=i5r}|8m4ec290/??<51cd8R64328qG??851zT256<5s-8>>7?ld:T2ff<5s-?mo7?>;%7ef?763twvq6g>cd83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i>4V0``>7}#=oi1=<5+5g`954=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7c92\:nn4={%7eg?763-?mn7?>;|~y>o6l;0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e08R4dd2;q/9km5109'1cd=981vqps4i0f0>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o?6X>bb81!3ak3;:7);ib;32?x{zu2c:h94?:%116?7en2\8>94>{M112?7|^8;86?u+24095a2<^8hh6?u+5ga954=#=oh1=<5r}|8m4b2290/??<51cd8R64328qG??851zT256<5s-8>>7?k5:T2ff<5s-?mo7?>;%7ef?763twvq6g>d783>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`<<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i74V0``>7}#=oi1=<5+5g`954=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e9:l1<7<>:183!55:3=n7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=:5+5g`952=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?703-?mn7?8;|~y>o6kj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km5169'1cd=9>1vqps4i0f4>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;<7);ib;34?x{zu2c:hl4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ag<^8hh6?u+5ga952=#=oh1=:5r}|8m4be290/??<51cd8R64328qG??851zT256<5s-8>>7?kb:T2ff<5s-?mo7?8;%7ef?703twvq6g>db83>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{jo4>7:~yx=n9mo1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7cm2\:nn4={%7eg?703-?mn7?8;|~y>o6lo0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ed8R4dd2;q/9km5169'1cd=9>1vqps4i0a1>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h>6X>bb81!3ak3;<7);ib;34?x{zu2c:o>4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f5<^8hh6?u+5ga952=#=oh1=:5r}|8m4e3290/??<51cd8R64328qG??851zT256<5s-8>>7?l4:T2ff<5s-?mo7?8;%7ef?703twvq6g>c483>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2g2<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n94V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=6{zut1b=n750;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h56X>bb81!3ak3;o7);ib;3g?x{zu2c:ol4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528ij7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;hn7>5$201>4da3_9987?tL205>4}Q9891>v*=5382gg=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5fb=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9jl1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0ae?S7ek38p(8hl:038 0`e28;0qpsr;h3g4?6=,:896{zut1b=i?50;&067<6jo1]??:51zN063<6s_;:?74b63_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d39U5ge=:r.>jn4>1:&6bg<692wvqp5f1e194?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n87[?mc;0x 0`d28;0(8hm:038yx{z3`;o87>5$201>4da3_9987?tL205>4}Q9891>v*=5382`1=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5a3=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9m21<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f;?S7ek38p(8hl:038 0`e28;0qpsr;h3g=?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>1:&6bg<692wvqp5f1e594?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n<7[?mc;0x 0`d28;0(8hm:038yx{z3`;om7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`d=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5ad=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=zj8>:6=4=:183!55:3o>7E=>8:k2fc<72-99>7?mf:9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,474383>77=83:p(><=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,41jo4>7:~yx=n9m=1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f4?S7ek38p(8hl:058 0`e28=0qpsr;h3ge?6=,:896{zut1b=il50;&067<6jo1]??:51zN063<6s_;:?74be3_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>db9U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1ef94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?kd:T2ff<5s-?mo7?8;%7ef?703twvq6g>dd83>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2g7<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n<4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7d;2\:nn4={%7eg?703-?mn7?8;|~y>o6k=0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b68R4dd2;q/9km5169'1cd=9>1vqps4i0a6>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h96X>bb81!3ak3;<7);ib;34?x{zu2c:o;4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f0<^8hh6?u+5ga952=#=oh1=:5r}|8m4e0290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g2=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5f>=83.8>?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2g<<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a:?S7ek38p(8hl:0f8 0`e28n0qpsr;h3`e?6=,:896P4:=0:wA==6;3xR4742;q/>8<51bc8R4dd2;q/9km51e9'1cd=9m1vqps4i0aa>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;hn6X>bb81!3ak3;o7);ib;3g?x{zu2c:oi4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fb<^8hh6?u+5ga954=#=oh1=<5r}|8m4eb290/??<51cd8R64328qG??851zT256<5s-8>>7?le:T2ff<5s-?mo7?>;%7ef?763twvq6g>cg83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i?4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c:2\:nn4={%7eg?763-?mn7?>;|~y>o6l:0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e18R4dd2;q/9km5109'1cd=981vqps4i0f7>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o86X>bb81!3ak3;:7);ib;32?x{zu2c:h84?:%116?7en2\8>94>{M112?7|^8;86?u+24095a3<^8hh6?u+5ga954=#=oh1=<5r}|8m4b1290/??<51cd8R64328qG??851zT256<5s-8>>7?k6:T2ff<5s-?mo7?>;%7ef?763twvq6g>d983>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo?;3;2964<729q/??<57d9K74>P6jj09w);ic;34?!3aj3;<7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=:5+5g`952=zutw0e<>;W110?7|D:8=6{zut1b=i950;&067<6jo1]??:51zN063<6s_;:?74b03_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ag<^8hh6?u+5ga952=#=oh1=:5r}|8m4be290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`g=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5ae=83.8>?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2`a<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0fg?S7ek38p(8hl:058 0`e28=0qpsr;h3ga?6=,:896{zut1b=ih50;&067<6jo1]??:51zN063<6s_;:?74ba3_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c39U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1b194?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i87[?mc;0x 0`d28=0(8hm:058yx{z3`;h87>5$201>4da3_9987?tL205>4}Q9891>v*=5382g1=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f3=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9641jo4>7:~yx=n9j=1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d?2\:nn4={%7eg?7c3-?mn7?k;|~y>o6k10;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e?3_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f?<^8hh6?u+5ga95a=#=oh1=i5r}|8m4ef290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382gd=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5fd=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964bjo4>1:~yx=n9jo1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0af?S7ek38p(8hl:038 0`e28;0qpsr;h3`b?6=,:896{zut1b=i>50;&067<6jo1]??:51zN063<6s_;:?74b73_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d09U5ge=:r.>jn4>1:&6bg<692wvqp5f1e094?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n97[?mc;0x 0`d28;0(8hm:038yx{z3`;o?7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`6=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5a2=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9m<1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f5?S7ek38p(8hl:038 0`e28;0qpsr;h3g{zut1b=i750;&067<6jo1]??:51zN063<6s_;:?74b>3_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb067>5<593:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1ba94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?8;%7ef?703twvq6g>d683>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{jo4>7:~yx=n9mh1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7cj2\:nn4={%7eg?703-?mn7?8;|~y>o6lj0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74bd3_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ab<^8hh6?u+5ga952=#=oh1=:5r}|8m4bb290/??<51cd8R64328qG??851zT256<5s-8>>7?ke:T2ff<5s-?mo7?8;%7ef?703twvq6g>dg83>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2g6<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n=4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7d<2\:nn4={%7eg?703-?mn7?8;|~y>o6k<0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b78R4dd2;q/9km5169'1cd=9>1vqps4i0a5>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h:6X>bb81!3ak3;<7);ib;34?x{zu2c:o:4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i<7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;h47>5$201>4da3A99=6X<2582I55>3;pZ1/9kl5169~yx{jo4>d:~yx=n9jk1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7di2\:nn4={%7eg?7c3-?mn7?k;|~y>o6kk0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b`8R4dd2;q/9km51e9'1cd=9m1vqps4i0ag>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;hh6X>bb81!3ak3;:7);ib;32?x{zu2c:oh4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fc<^8hh6?u+5ga954=#=oh1=<5r}|8m4ea290/??<51cd8R64328qG??851zT256<5s-8>>7?lf:T2ff<5s-?mo7?>;%7ef?763twvq6g>d183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`7<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i<4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c;2\:nn4={%7eg?763-?mn7?>;|~y>o6l=0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e68R4dd2;q/9km5109'1cd=981vqps4i0f6>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o96X>bb81!3ak3;:7);ib;32?x{zu2c:h;4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a0<^8hh6?u+5ga954=#=oh1=<5r}|8m4b?290/??<51cd8R64328qG??851zT256<5s-8>>7?k8:T2ff<5s-?mo7?>;%7ef?763twvq6g>d883>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a513=838:6=4?{%116?1b3A9:46g>c183>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2gf<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nm4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7c?2\:nn4={%7eg?703-?mn7?8;|~y>o6lh0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km5169'1cd=9>1vqps4i0fa>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;on6X>bb81!3ak3;<7);ib;34?x{zu2c:hn4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ae<^8hh6?u+5ga952=#=oh1=:5r}|8m4bc290/??<51cd8R64328qG??851zT256<5s-8>>7?kd:T2ff<5s-?mo7?8;%7ef?703twvq6g>dd83>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2g7<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n<4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7d;2\:nn4={%7eg?703-?mn7?8;|~y>o6k=0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b68R4dd2;q/9km5169'1cd=9>1vqps4i0a6>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h96X>bb81!3ak3;<7);ib;34?x{zu2c:o;4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f0<^8hh6?u+5ga952=#=oh1=:5r}|8m4e0290/??<51cd8R64328qG??851zT256<5s-8>>7?l7:T2ff<5s-?mo7?8;%7ef?703twvq6g>c983>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964b7}#:<81=n74V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=6{zut1b=nl50;&067<6jo1]??:51zN063<6s_;:?74ee3_;io74b<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>ce9U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1bg94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?le:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>cg83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i?4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c:2\:nn4={%7eg?763-?mn7?>;|~y>o6l:0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e18R4dd2;q/9km5109'1cd=981vqps4i0f7>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o86X>bb81!3ak3;:7);ib;32?x{zu2c:h84?:%116?7en2\8>94>{M112?7|^8;86?u+24095a3<^8hh6?u+5ga954=#=oh1=<5r}|8m4b1290/??<51cd8R64328qG??851zT256<5s-8>>7?k6:T2ff<5s-?mo7?>;%7ef?763twvq6g>d983>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo?;6;2964<729q/??<57d9K74>P6jj09w);ic;34?!3aj3;<7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?703-?mn7?8;|~y>o6l>0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e58R4dd2;q/9km5169'1cd=9>1vqps4i0fb>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;om6X>bb81!3ak3;<7);ib;34?x{zu2c:ho4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ad<^8hh6?u+5ga952=#=oh1=:5r}|8m4bd290/??<51cd8R64328qG??851zT256<5s-8>>7?kc:T2ff<5s-?mo7?8;%7ef?703twvq6g>de83>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2`c<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=ih4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7d:2\:nn4={%7eg?703-?mn7?8;|~y>o6k:0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b18R4dd2;q/9km5169'1cd=9>1vqps4i0a7>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h86X>bb81!3ak3;<7);ib;34?x{zu2c:o84?:%116?7en2\8>94>{M112?7|^8;86?u+24095f3<^8hh6?u+5ga952=#=oh1=:5r}|8m4e1290/??<51cd8R64328qG??851zT256<5s-8>>7?l6:T2ff<5s-?mo7?8;%7ef?703twvq6g>c683>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{jo4>d:~yx=n9j31<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d12\:nn4={%7eg?7c3-?mn7?k;|~y>o6kh0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74ef3_;io74b<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cc9U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1bf94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528io7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;hi7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i>4V0``>7}#=oi1=<5+5g`954=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7c92\:nn4={%7eg?763-?mn7?>;|~y>o6l;0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e08R4dd2;q/9km5109'1cd=981vqps4i0f0>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o?6X>bb81!3ak3;:7);ib;32?x{zu2c:h94?:%116?7en2\8>94>{M112?7|^8;86?u+24095a2<^8hh6?u+5ga954=#=oh1=<5r}|8m4b2290/??<51cd8R64328qG??851zT256<5s-8>>7?k5:T2ff<5s-?mo7?>;%7ef?763twvq6g>d783>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`<<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i74V0``>7}#=oi1=<5+5g`954=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e9==1<7850;2x 6452;9o7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=<5+5g`954=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?763-?mn7?>;|~y>o6kj0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km5109'1cd=981vqps4i0f4>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;:7);ib;32?x{zu2c:hl4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ag<^8hh6?u+5ga954=#=oh1=<5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm15:94?4=83:p(><=:d78L67?3`;ij7>5$201>4da32e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg7313:1><4?:1y'774=?l1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;<7);ib;34?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga952=#=oh1=:5r}|8m4ed290/??<51cd8R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?8;%7ef?703twvq6g>d683>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2`g<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=il4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7ck2\:nn4={%7eg?703-?mn7?8;|~y>o6lm0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74bc3_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>dd9U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1ed94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528nm7[?mc;0x 0`d28=0(8hm:058yx{z3`;h>7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g7=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f5=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9641jo4>7:~yx=n9j?1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a6?S7ek38p(8hl:058 0`e28=0qpsr;h3`2?6=,:896{zut1b=n950;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h;6X>bb81!3ak3;o7);ib;3g?x{zu2c:o54?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i37[?mc;0x 0`d28=0(8hm:058yx{z3`;h57>5$201>4da3A99=6X<2582I55>3;pZjo4>d:~yx=n9jh1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0aa?S7ek38p(8hl:0f8 0`e28n0qpsr;h3``?6=,:896P4:=0:wA==6;3xR4742;q/>8<51bf8R4dd2;q/9km51e9'1cd=9m1vqps4i0af>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;hi6X>bb81!3ak3;:7);ib;32?x{zu2c:ok4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f`<^8hh6?u+5ga954=#=oh1=<5r}|8m4b7290/??<51cd8R64328qG??851zT256<5s-8>>7?k0:T2ff<5s-?mo7?>;%7ef?763twvq6g>d083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`6<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i=4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c<2\:nn4={%7eg?763-?mn7?>;|~y>o6l<0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e78R4dd2;q/9km5109'1cd=981vqps4i0f5>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o:6X>bb81!3ak3;:7);ib;32?x{zu2c:h54?:%116?7en2\8>94>{M112?7|^8;86?u+24095a><^8hh6?u+5ga954=#=oh1=<5r}|8m4b>290/??<51cd8R64328qG??851zT256<5s-8>>7?k9:T2ff<5s-?mo7?>;%7ef?763twvq6a=3d83>!55:388i6X<2582I55>3;pZ52083>5}#;;81;h5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?8;%7ef?703twvq6g>c083>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{jo4>7:~yx=n9m=1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f4?S7ek38p(8hl:058 0`e28=0qpsr;h3ge?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km5169'1cd=9>1vqps4i0fa>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>dc9U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1ea94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?kc:T2ff<5s-?mo7?8;%7ef?703twvq6g>de83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?9641jo4>7:~yx=n9ml1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0fe?S7ek38p(8hl:058 0`e28=0qpsr;h3`6?6=,:896{zut1b=n=50;&067<6jo1]??:51zN063<6s_;:?74e43_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c59U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1b794?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i>7[?mc;0x 0`d28=0(8hm:058yx{z3`;h:7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g3=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f1=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2g=<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a;?S7ek38p(8hl:058 0`e28=0qpsr;h3`=?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b;8R4dd2;q/9km51e9'1cd=9m1vqps4i0ab>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c`9U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1b`94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ii7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;hh7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2gc<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nh4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c82\:nn4={%7eg?763-?mn7?>;|~y>o6l80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e38R4dd2;q/9km5109'1cd=981vqps4i0f1>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o>6X>bb81!3ak3;:7);ib;32?x{zu2c:h>4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a5<^8hh6?u+5ga954=#=oh1=<5r}|8m4b3290/??<51cd8R64328qG??851zT256<5s-8>>7?k4:T2ff<5s-?mo7?>;%7ef?763twvq6g>d483>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`=<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i64V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c12\:nn4={%7eg?763-?mn7?>;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c37f?6=:80;6=u+33093`=O;820e<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?703-?mn7?8;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5169'1cd=9>1vqps4i0a`>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1e594?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n<7[?mc;0x 0`d28=0(8hm:058yx{z3`;om7>5$201>4da3A99=6X<2582I55>3;pZ1/9kl5169~yx{jo4>7:~yx=n9mi1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7ck2\:nn4={%7eg?703-?mn7?8;|~y>o6lm0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74bc3_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ac<^8hh6?u+5ga952=#=oh1=:5r}|8m4ba290/??<51cd8R64328qG??851zT256<5s-8>>7?kf:T2ff<5s-?mo7?8;%7ef?703twvq6g>c383>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2g1<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n:4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7d=2\:nn4={%7eg?703-?mn7?8;|~y>o6k?0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b48R4dd2;q/9km5169'1cd=9>1vqps4i0a4>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h;6X>bb81!3ak3;o7);ib;3g?x{zu2c:o54?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i37[?mc;0x 0`d28=0(8hm:058yx{z3`;h57>5$201>4da3A99=6X<2582I55>3;pZjo4>d:~yx=n9jh1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0aa?S7ek38p(8hl:0f8 0`e28n0qpsr;h3``?6=,:896P4:=0:wA==6;3xR4742;q/>8<51bf8R4dd2;q/9km51e9'1cd=9m1vqps4i0af>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;hi6X>bb81!3ak3;:7);ib;32?x{zu2c:ok4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f`<^8hh6?u+5ga954=#=oh1=<5r}|8m4b7290/??<51cd8R64328qG??851zT256<5s-8>>7?k0:T2ff<5s-?mo7?>;%7ef?763twvq6g>d083>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`6<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i=4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c<2\:nn4={%7eg?763-?mn7?>;|~y>o6l<0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e78R4dd2;q/9km5109'1cd=981vqps4i0f5>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o:6X>bb81!3ak3;:7);ib;32?x{zu2c:h54?:%116?7en2\8>94>{M112?7|^8;86?u+24095a><^8hh6?u+5ga954=#=oh1=<5r}|8m4b>290/??<51cd8R64328qG??851zT256<5s-8>>7?k9:T2ff<5s-?mo7?>;%7ef?763twvq6a=3d83>!55:388i6X<2582I55>3;pZ52083>5}#;;81;h5G30:8m4e7290/??<51cd8R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?8;%7ef?703twvq6g>c083>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2`2<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i94V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7ci2\:nn4={%7eg?703-?mn7?8;|~y>o6lk0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e`8R4dd2;q/9km5169'1cd=9>1vqps4i0f`>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;oo6X>bb81!3ak3;<7);ib;34?x{zu2c:hi4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528no7[?mc;0x 0`d28=0(8hm:058yx{z3`;oi7>5$201>4da3_9987?tL205>4}Q9891>v*=5382``=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5a`=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9641jo4>7:~yx=n9j91<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a0?S7ek38p(8hl:058 0`e28=0qpsr;h3`0?6=,:896{zut1b=n;50;&067<6jo1]??:51zN063<6s_;:?74e23_;io741<,3:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c79U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1b594?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l7:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>c983>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96417}#:<81=n74V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=6{zut1b=nl50;&067<6jo1]??:51zN063<6s_;:?74ee3_;io74b<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095fb<^8hh6?u+5ga95a=#=oh1=i5r}|8m4eb290/??<51cd8R64328qG??851zT256<5s-8>>7?le:T2ff<5s-?mo7?>;%7ef?763twvq6g>cg83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i?4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c:2\:nn4={%7eg?763-?mn7?>;|~y>o6l:0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e18R4dd2;q/9km5109'1cd=981vqps4i0f7>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o86X>bb81!3ak3;:7);ib;32?x{zu2c:h84?:%116?7en2\8>94>{M112?7|^8;86?u+24095a3<^8hh6?u+5ga954=#=oh1=<5r}|8m4b1290/??<51cd8R64328qG??851zT256<5s-8>>7?k6:T2ff<5s-?mo7?>;%7ef?763twvq6g>d983>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo?;d;2964<729q/??<57d9K74>P6jj09w);ic;34?!3aj3;<7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=:5+5g`952=zutw0e<>;W110?7|D:8=6{zut1b=i950;&067<6jo1]??:51zN063<6s_;:?74b03_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ag<^8hh6?u+5ga952=#=oh1=:5r}|8m4be290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`g=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5ae=83.8>?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2`a<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0fg?S7ek38p(8hl:058 0`e28=0qpsr;h3ga?6=,:896{zut1b=ih50;&067<6jo1]??:51zN063<6s_;:?74ba3_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c39U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1b194?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i87[?mc;0x 0`d28=0(8hm:058yx{z3`;h87>5$201>4da3_9987?tL205>4}Q9891>v*=5382g1=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f3=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9641jo4>7:~yx=n9j=1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d?2\:nn4={%7eg?7c3-?mn7?k;|~y>o6k10;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e?3_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f?<^8hh6?u+5ga95a=#=oh1=i5r}|8m4ef290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382gd=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5fd=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964b7}#:<81=nj4V0``>7}#=oi1=i5+5g`95a=zutw0e<;:0yO770=9r\:=>4={%066?7dm2\:nn4={%7eg?763-?mn7?>;|~y>o6ko0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51bd8R4dd2;q/9km5109'1cd=981vqps4i0f3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o<6X>bb81!3ak3;:7);ib;32?x{zu2c:h<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a7<^8hh6?u+5ga954=#=oh1=<5r}|8m4b5290/??<51cd8R64328qG??851zT256<5s-8>>7?k2:T2ff<5s-?mo7?>;%7ef?763twvq6g>d283>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2`0<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i;4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c>2\:nn4={%7eg?763-?mn7?>;|~y>o6l10;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e:8R4dd2;q/9km5109'1cd=981vqps4i0f:>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o56X>bb81!3ak3;:7);ib;32?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg73m3:1:7>50z&067<5;m1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;:7);ib;32?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga954=#=oh1=<5r}|8m4ed290/??<51cd8R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?>;%7ef?763twvq6g>d683>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo?;f;296?6=8r.8>?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;32?!3aj3;:7psr}:a506=8391<7>t$201>2c<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<6j2.>jo4>b:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:0`8 0`e28h0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn<;>:185>5<7s-99>79j;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5169'1cd=9>1vqps4i0a2>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1ba94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>d683>!55:3;ij6X<2582I55>3;pZjo4>d:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`217<7210;6=u+33093`=O;820e<;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?703-?mn7?8;|~y>o6k80;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e63_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1e594?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?k7:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>d`83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964bjo4>d:~yx=n9mi1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7ck2\:nn4={%7eg?7c3-?mn7?k;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c367?6=03:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga952=#=oh1=:5r}|8m4ed290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5a1=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2`d<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0fb?S7ek38p(8hl:0f8 0`e28n0qpsr;h3gf?6=,:896{zut1b=im50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;oo6X>bb81!3ak3;o7);ib;3g?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg72<3:1=<4?:1y'774=?l1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;<7);ib;34?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga952=#=oh1=:5r}|8m4ed290/??<51cd8R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?8;%7ef?703twvq6g>d683>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2`g<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=il4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7ck2\:nn4={%7eg?703-?mn7?8;|~y>o6lm0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74bc3_;io74b<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ac<^8hh6?u+5ga952=#=oh1=:5r}|8m4ba290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`c=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5f4=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2g6<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n=4V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=6{zut1b=n;50;&067<6jo1]??:51zN063<6s_;:?74e23_;io747<,3:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c79U5ge=:r.>jn4>1:&6bg<692wvqp5f1b594?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i<7[?mc;0x 0`d28;0(8hm:038yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f432290:=7>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28=0(8hm:058yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5fe=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96411]=om52z&6bf<6?2.>jo4>7:~yx=n9mk1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0fb?S7ek38p(8hl:058 0`e28=0qpsr;h3gf?6=,:896{zut1b=im50;&067<6jo1]??:51zN063<6s_;:?74bd3_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ab<^8hh6?u+5ga95a=#=oh1=i5r}|8m4bb290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382``=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5a`=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2g7<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a1?S7ek38p(8hl:0f8 0`e28n0qpsr;h3`7?6=,:8965Y1ca96~"2nj0:h6*:fc82`>{zut1b=n:50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h86X>bb81!3ak3;o7);ib;3g?x{zu2c:o84?:%116?7en2\8>94>{M112?7|^8;86?u+24095f3<^8hh6?u+5ga954=#=oh1=<5r}|8m4e1290/??<51cd8R64328qG??851zT256<5s-8>>7?l6:T2ff<5s-?mo7?>;%7ef?763twvq6g>c683>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a500=83=1<7>t$201>75c3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2gf<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nm4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c?2\:nn4={%7eg?763-?mn7?>;|~y>o6lh0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km5109'1cd=981vqps4i0fa>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;on6X>bb81!3ak3;:7);ib;32?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg72?3:1>7>50z&0675<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi=8650;194?6|,:896:k4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>b:&6bg<6j2wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28h0(8hm:0`8yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f43>290:=7>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28=0(8hm:058yx{z3`;h=7>5$201>4da3A99=6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2`2<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i94V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7ci2\:nn4={%7eg?703-?mn7?8;|~y>o6lk0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e`8R4dd2;q/9km5169'1cd=9>1vqps4i0f`>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;oo6X>bb81!3ak3;<7);ib;34?x{zu2c:hi4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ab<^8hh6?u+5ga952=#=oh1=:5r}|8m4bb290/??<51cd8R64328qG??851zT256<5s-8>>7?ke:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>dg83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96417}#:<81=n<4V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=65Y1ca96~"2nj0:h6*:fc82`>{zut1b=n:50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h86X>bb81!3ak3;o7);ib;3g?x{zu2c:o84?:%116?7en2\8>94>{M112?7|^8;86?u+24095f3<^8hh6?u+5ga95a=#=oh1=i5r}|8m4e1290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g3=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5f1=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=zj8?j6=4>1;294~"4:;0?4>bg9U772=9rF8>;4>{W327?4|,;?96417}#:<81=n?4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?703-?mn7?8;|~y>o6l>0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e58R4dd2;q/9km5169'1cd=9>1vqps4i0fb>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;om6X>bb81!3ak3;<7);ib;34?x{zu2c:ho4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ad<^8hh6?u+5ga952=#=oh1=:5r}|8m4bd290/??<51cd8R64328qG??851zT256<5s-8>>7?kc:T2ff<5s-?mo7?8;%7ef?703twvq6g>de83>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;3g?!3aj3;o7psr}:k2`c<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0fe?S7ek38p(8hl:058 0`e28=0qpsr;h3`6?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b08R4dd2;q/9km51e9'1cd=9m1vqps4i0a0>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c29U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1b694?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l4:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>c483>!55:3;ij6X<2582I55>3;pZjo4>d:~yx=n9j=1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a4?S7ek38p(8hl:038 0`e28;0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn<;m:1815?6=8r.8>?48e:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:058 0`e28=0qpsr;h3`5?6=,:896{zut1b=nm50;&067<6jo1]??:51zN063<6s_;:?74ed3_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d69U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1ec94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528nj7[?mc;0x 0`d28=0(8hm:058yx{z3`;on7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`g=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5ae=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96417}#:<81=ij4V0``>7}#=oi1=:5+5g`952=zutw0e<>;W110?7|D:8=6{zut1b=ih50;&067<6jo1]??:51zN063<6s_;:?74ba3_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c39U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1b194?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i87[?mc;0x 0`d28=0(8hm:058yx{z3`;h87>5$201>4da3_9987?tL205>4}Q9891>v*=5382g1=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f3=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9641jo4>7:~yx=n9j=1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a4?S7ek38p(8hl:0f8 0`e28n0qpsr;h3`P4:=0:wA==6;3xR4742;q/>8<51b:8R4dd2;q/9km5169'1cd=9>1vqps4i0a:>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c89U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1bc94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?la:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>cc83>!55:3;ij6X<2582I55>3;pZjo4>d:~yx=n9jo1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0af?S7ek38p(8hl:038 0`e28;0qpsr;h3`b?6=,:896{zut1b=i>50;&067<6jo1]??:51zN063<6s_;:?74b73_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d09U5ge=:r.>jn4>1:&6bg<692wvqp5f1e094?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n97[?mc;0x 0`d28;0(8hm:038yx{z3`;o?7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`6=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5a2=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9m<1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f5?S7ek38p(8hl:038 0`e28;0qpsr;h3g{zut1b=i750;&067<6jo1]??:51zN063<6s_;:?74b>3_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb07`>5<593:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1ba94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28=0(8hm:058yx{z3`;o;7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`2=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5ag=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9641jo4>7:~yx=n9mi1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f`?S7ek38p(8hl:058 0`e28=0qpsr;h3g`?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ef8R4dd2;q/9km5169'1cd=9>1vqps4i0ff>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>dd9U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1ed94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528nm7[?mc;0x 0`d28=0(8hm:058yx{z3`;h>7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g7=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f5=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9641jo4>7:~yx=n9j?1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a6?S7ek38p(8hl:058 0`e28=0qpsr;h3`2?6=,:896{zut1b=n950;&067<6jo1]??:51zN063<6s_;:?74e03_;io74b<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f><^8hh6?u+5ga952=#=oh1=:5r}|8m4e>290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g<=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5fg=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2gg<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nl4V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=6{zut1b=nk50;&067<6jo1]??:51zN063<6s_;:?74eb3_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cg9U5ge=:r.>jn4>1:&6bg<692wvqp5f1e294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n;7[?mc;0x 0`d28;0(8hm:038yx{z3`;o=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`4=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5a4=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9m>1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f7?S7ek38p(8hl:038 0`e28;0qpsr;h3g1?6=,:896{zut1b=i850;&067<6jo1]??:51zN063<6s_;:?74b13_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d99U5ge=:r.>jn4>1:&6bg<692wvqp5f1e;94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n27[?mc;0x 0`d28;0(8hm:038yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f43c2909=7>50z&067<0m2B8=55f1b294?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i;7[?mc;0x 0`d28=0(8hm:058yx{z3`;h=7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5fe=83.8>?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2`2<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i94V0``>7}#=oi1=:5+5g`952=zutw0e<>;W110?7|D:8=6{zut1b=il50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;on6X>bb81!3ak3;<7);ib;34?x{zu2c:hn4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528nh7[?mc;0x 0`d28=0(8hm:058yx{z3`;oh7>5$201>4da3A99=6X<2582I55>3;pZ1/9kl5169~yx{jo4>7:~yx=n9ml1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0fe?S7ek38p(8hl:058 0`e28=0qpsr;h3`6?6=,:896{zut1b=n=50;&067<6jo1]??:51zN063<6s_;:?74e43_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c59U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1b794?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i>7[?mc;0x 0`d28=0(8hm:058yx{z3`;h:7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g3=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f1=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964b7}#:<81=n64V0``>7}#=oi1=:5+5g`952=zutw0e<>;W110?7|D:8=6{zut1b=no50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;hm6X>bb81!3ak3;o7);ib;3g?x{zu2c:oo4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fd<^8hh6?u+5ga95a=#=oh1=i5r}|8m4ec290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382ga=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5fc=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9m:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f3?S7ek38p(8hl:038 0`e28;0qpsr;h3g5?6=,:896{zut1b=i<50;&067<6jo1]??:51zN063<6s_;:?74b53_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d29U5ge=:r.>jn4>1:&6bg<692wvqp5f1e694?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528n?7[?mc;0x 0`d28;0(8hm:038yx{z3`;o97>5$201>4da3_9987?tL205>4}Q9891>v*=5382`0=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5a0=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9m31<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0f:?S7ek38p(8hl:038 0`e28;0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn<;j:184>5<7s-99>7<1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:038 0`e28;0qpsr;h3`5?6=,:896{zut1b=nm50;&067<6jo1]??:51zN063<6s_;:?74ed3_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d69U5ge=:r.>jn4>1:&6bg<692wvqp5f1ec94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528nj7[?mc;0x 0`d28;0(8hm:038yx{z3`;on7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`g=Q9ki1>v*:fb825>"2nk0:=6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,475g83>7<729q/??<5e49K74>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f40729086=4?{%116?1b3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;3a?!3aj3;i7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo?91;293?6=8r.8>?48e:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:058 0`e28=0qpsr;h3`5?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5169'1cd=9>1vqps4i0a`>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1e594?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?k7:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>d`83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964b7}#:<81=il4V0``>7}#=oi1=i5+5g`95a=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e9?81<7950;2x 6452>o0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;<7);ib;34?x{zu2c:on4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga95a=#=oh1=i5r}|8m4b0290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`2=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5ag=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2`g<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0fa?S7ek38p(8hl:0f8 0`e28n0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn<8<:184>5<7s-99>79j;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5169'1cd=9>1vqps4i0a2>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1ba94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;o;7>5$201>4da3A99=6X<2582I55>3;pZjo4>d:~yx=n9mh1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7cj2\:nn4={%7eg?7c3-?mn7?k;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c350?6=?3:1N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1ba94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;o;7>5$201>4da3A99=6X<2582I55>3;pZjo4>d:~yx=n9mh1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7cj2\:nn4={%7eg?7c3-?mn7?k;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c351?6=?3:1N4911b=n>50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;<7);ib;34?x{zu2c:o<4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28=0(8hm:058yx{z3`;ho7>5$201>4da3A99=6X<2582I55>3;pZ1]=om52z&6bf<6l2.>jo4>d:~yx=n9mk1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7ci2\:nn4={%7eg?7c3-?mn7?k;|~y>o6lk0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74be3_;io74b<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb045>5<0290;w)==2;00`>N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>1:&6bg<692wvqp5f1ba94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28;0(8hm:038yx{z3`;o;7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`2=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5ag=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`222<72;0;6=u+3309a0=O;820ejo4>1:~yx=zj8<36=4<:183!55:3=n7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=:5+5g`952=zutw0e:18'774=9kl0D><>;W110?7|D:8=6{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,00;6>4?:1y'774=?l1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;o7);ib;3g?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga952=#=oh1=:5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm17c94?5=83:p(><=:31g?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6a=3d83>!55:388i6X<2582I55>3;pZ52;294~"4:;0n96F<199j5g`=83.8>?4>bg98k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm17a94??=83:p(><=:6g8L67?3`;h<7>5$201>4da3A99=6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2gf<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nm4V0``>7}#=oi1=:5+5g`952=zutw0e<>;W110?7|D:8=6{zut1b=io50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;om6X>bb81!3ak3;o7);ib;3g?x{zu2c:ho4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528ni7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;oo7>5$201>4da3A99=6X<2582I55>3;pZjo4>d:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`22a<7200;6=u+33093`=O;820e<>;W110?7|D:8=6{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1e594?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?k7:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>d`83>!55:3;ij6X<2582I55>3;pZjo4>d:~yx=n9mi1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7ck2\:nn4={%7eg?7c3-?mn7?k;|~y>o6lm0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74bc3_;io74b<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb04f>5<>290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga952=#=oh1=:5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5fe=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2`2<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0f4?S7ek38p(8hl:0f8 0`e28n0qpsr;h3ge?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km51e9'1cd=9m1vqps4i0fa>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;on6X>bb81!3ak3;o7);ib;3g?x{zu2c:hn4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ae<^8hh6?u+5ga95a=#=oh1=i5r}|8m4bc290/??<51cd8R64328qG??851zT256<5s-8>>7?kd:T2ff<5s-?mo7?k;%7ef?7c3twvq6a=3d83>!55:388i6X<2582I55>3;pZ59;294~"4:;0?4>bg9U772=9rF8>;4>{W327?4|,;?9641jo4>d:~yx=n9ji1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?7c3-?mn7?k;|~y>o6l>0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74b03_;io74b<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ag<^8hh6?u+5ga95a=#=oh1=i5r}|8m4be290/??<51cd8R64328qG??851zT256<5s-8>>7?kb:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>db83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;3g?!3aj3;o7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo?80;29=?6=8r.8>?48e:J05==n9j:1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?703-?mn7?8;|~y>o6k80;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e63_;io74b<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga95a=#=oh1=i5r}|8m4b0290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`2=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5ag=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2`g<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=il4V0``>7}#=oi1=i5+5g`95a=zutw0e<;:0yO770=9r\:=>4={%066?7ck2\:nn4={%7eg?7c3-?mn7?k;|~y>o6lm0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ef8R4dd2;q/9km51e9'1cd=9m1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi=:?50;494?6|,:896?=k;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5109'1cd=981vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;:7);ib;32?x{zu2c:on4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga954=#=oh1=<5r}|8m4b0290/??<51cd8R64328qG??851zT256<5s-8>>7?k7:T2ff<5s-?mo7?>;%7ef?763twvq6g>d`83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a524=8381<7>t$201>`3<@:;37d?mf;29 64528hm76a=3d83>!55:388i6X<2582I55>3;pZ53;294~"4:;0?4>bg9U772=9rF8>;4>{W327?4|,;?964djo4>b:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`231<7210;6=u+33093`=O;820e<>;W110?7|D:8=6{zut1b=n?50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;<7);ib;34?x{zu2c:on4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28=0(8hm:058yx{z3`;o;7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;3g?!3aj3;o7psr}:k2`g<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0fa?S7ek38p(8hl:0f8 0`e28n0qpsr;h3gg?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ea8R4dd2;q/9km51e9'1cd=9m1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi=:;50;:94?6|,:896:k4H23;?l7d83:1(><=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga952=#=oh1=:5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5fe=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2`2<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=i94V0``>7}#=oi1=i5+5g`95a=zutw0e<;:0yO770=9r\:=>4={%066?7ci2\:nn4={%7eg?7c3-?mn7?k;|~y>o6lk0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74be3_;io74b<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ae<^8hh6?u+5ga95a=#=oh1=i5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm16494?>=83:p(><=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f7=83.8>?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2gf<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:058 0`e28=0qpsr;h3g3?6=,:896P4:=0:wA==6;3xR4742;q/>8<51e58R4dd2;q/9km51e9'1cd=9m1vqps4i0fb>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>d`9U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1e`94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?kb:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>db83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964bjo4>1:~yx=zj8=<6=47:183!55:3=n7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=:5+5g`952=zutw0e:18'774=9kl0D><>;W110?7|D:8=6{zut1b=nm50;&067<6jo1]??:51zN063<6s_;:?74ed3_;io74b<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga95a=#=oh1=i5r}|8m4bf290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`d=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5ad=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2`f<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0f`?S7ek38p(8hl:0f8 0`e28n0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn<97:18;>5<7s-99>79j;I12<>o6k90;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km5169'1cd=9>1vqps4i0a2>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1ba94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;o;7>5$201>4da3A99=6X<2582I55>3;pZjo4>d:~yx=n9mh1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7cj2\:nn4={%7eg?7c3-?mn7?k;|~y>o6lj0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74bd3_;io74b<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb05:>5<0290;w)==2;00`>N4911b=n>50;&067<6jo1]??:51zN063<6s_;:?74e73_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>1:&6bg<692wvqp5f1ba94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28;0(8hm:038yx{z3`;o;7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`2=Q9ki1>v*:fb825>"2nk0:=6sr}|9j5ag=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`23d<72;0;6=u+3309a0=O;820ejo4>1:~yx=zj8=i6=48:183!55:3=n7E=>8:k2g5<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:058 0`e28=0qpsr;h3`5?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5169'1cd=9>1vqps4i0a`>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;o7);ib;3g?x{zu2c:h:4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga95a=#=oh1=i5r}|8m4bf290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`d=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5ad=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo?8c;29?48e:J05==n9j:1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?703-?mn7?8;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5169'1cd=9>1vqps4i0a`>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;<7);ib;34?x{zu2c:h:4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528n<7[?mc;0x 0`d28=0(8hm:058yx{z3`;om7>5$201>4da3A99=6X<2582I55>3;pZjo4>d:~yx=n9mi1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7ck2\:nn4={%7eg?7c3-?mn7?k;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c34`?6=03:1N4911b=n>50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;<7);ib;34?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga952=#=oh1=:5r}|8m4ed290/??<51cd8R64328qG??851zT256<5s-8>>7?lc:T2ff<5s-?mo7?8;%7ef?703twvq6g>d683>!55:3;ij6X<2582I55>3;pZjo4>d:~yx=n9mh1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7cj2\:nn4={%7eg?7c3-?mn7?k;|~y>o6lj0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74bd3_;io74b<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb05f>5<>290;w)==2;5f?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga952=#=oh1=:5r}|8m4e6290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g4=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5fe=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964b1]=om52z&6bf<6l2.>jo4>d:~yx=n9mk1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7ci2\:nn4={%7eg?7c3-?mn7?k;|~y>o6lk0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e`8R4dd2;q/9km51e9'1cd=9m1vqps4i0f`>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;oo6X>bb81!3ak3;o7);ib;3g?x{zu2c:hi4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ab<^8hh6?u+5ga95a=#=oh1=i5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm16d94?3=83:p(><=:31g?M5602c:o=4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga954=#=oh1=<5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?>;%7ef?763twvq6g>cb83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo?70;296?6=8r.8>?4j5:J05==n9kl1<7*<2382fc=P6jj09w);ic;32?!3aj3;:7psr}:a5=7=8391<7>t$201>2c<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<6j2.>jo4>b:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:0`8 0`e28h0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn<6=:186>5<7s-99>79j;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io74b<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga95a=#=oh1=i5r}|8m4ed290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5a1=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964bjo4>1:~yx=zj8286=49:183!55:3=n7E=>8:k2g5<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=:5+5g`952=zutw0e:18'774=9kl0D><>;W110?7|D:8=6{zut1b=nm50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;o7);ib;3g?x{zu2c:h:4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528n<7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;om7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a5=2=83<1<7>t$201>2c<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<6?2.>jo4>7:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7c3-?mn7?k;|~y>o6kj0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74ed3_;io74b<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga95a=#=oh1=i5r}|8m4bf290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`d=Q9ki1>v*:fb82`>"2nk0:h6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,478483>3<729q/??<57d9K74>P6jj09w);ic;34?!3aj3;<7psr}:k2g4<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:0f8 0`e28n0qpsr;h3`g?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km51e9'1cd=9m1vqps4i0f4>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>d69U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1ec94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?ka:T2ff<5s-?mo7?k;%7ef?7c3twvq6a=3d83>!55:388i6X<2582I55>3;pZ57;294~"4:;0?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2g4<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:058 0`e28=0qpsr;h3`g?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km5169'1cd=9>1vqps4i0f4>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;o7);ib;3g?x{zu2c:hl4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528nj7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;on7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a5=1=83=1<7>t$201>75c3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2gf<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nm4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c?2\:nn4={%7eg?763-?mn7?>;|~y>o6lh0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km5109'1cd=981vqps4i0fa>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;on6X>bb81!3ak3;:7);ib;32?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg7?03:1>7>50z&0675<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi=5750;194?6|,:896:k4H23;?l7d83:1(><=:0`e?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>b:&6bg<6j2wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28h0(8hm:0`8yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f4>f290<6=4?{%116?1b3A9:46g>c183>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96417}#:<81=n?4V0``>7}#=oi1=:5+5g`952=zutw0e<>;W110?7|D:8=6{zut1b=i950;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;o7);ib;3g?x{zu2c:hl4?:%116?7en2\8>94>{M112?7|^8;86?u+24095ag<^8hh6?u+5ga95a=#=oh1=i5r}|8m4be290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`g=Q9ki1>v*:fb82`>"2nk0:h6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,478c83>2<729q/??<57d9K74>jo4>7:~yx=n9j;1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?703-?mn7?8;|~y>o6kj0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74ed3_;io74b<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d69U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1ec94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528nj7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;on7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a5=e=83=1<7>t$201>2c<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<6?2.>jo4>7:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:0f8 0`e28n0qpsr;h3`g?6=,:896{zut1b=i950;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;o7);ib;3g?x{zu2c:hl4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528nj7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;on7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a5=b=83=1<7>t$201>2c<@:;37d?l0;29 64528hm7E==1:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=:5+5g`952=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?7c3-?mn7?k;|~y>o6kj0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74ed3_;io74b<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga95a=#=oh1=i5r}|8m4bf290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`d=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5ad=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo?7e;29?48e:J05==n9j:1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?703-?mn7?8;|~y>o6k80;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km5169'1cd=9>1vqps4i0a`>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;<7);ib;34?x{zu2c:h:4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528n<7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;om7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`d=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5ad=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2`f<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0f`?S7ek38p(8hl:0f8 0`e28n0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn<6i:184>5<7s-99>7<1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:038 0`e28;0qpsr;h3`5?6=,:896{zut1b=nm50;&067<6jo1]??:51zN063<6s_;:?74ed3_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d69U5ge=:r.>jn4>1:&6bg<692wvqp5f1ec94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528nj7[?mc;0x 0`d28;0(8hm:038yx{z3`;on7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`g=Q9ki1>v*:fb825>"2nk0:=6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,479183>7<729q/??<5e49K74>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f4?629086=4?{%116?1b3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;3a?!3aj3;i7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo?62;290?6=8r.8>?48e:J05==n9j:1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:0f8 0`e28n0qpsr;h3`5?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b38R4dd2;q/9km51e9'1cd=9m1vqps4i0a`>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;o7);ib;3g?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg7>;3:187>50z&067<0m2B8=55f1b294?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>c083>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,4b7}#:<81=nm4V0``>7}#=oi1=i5+5g`95a=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e90>1<7:50;2x 6452>o0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;o7);ib;3g?x{zu2c:on4?:%116?7en2\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga95a=#=oh1=i5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm18794?3=83:p(><=:6g8L67?3`;h<7>5$201>4da3A99=6X<2582I55>3;pZ1/9kl5169~yx{jo4>7:~yx=n9ji1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?703-?mn7?8;|~y>o6l>0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e58R4dd2;q/9km51e9'1cd=9m1vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi=4850;794?6|,:896:k4H23;?l7d83:1(><=:0`e?M5592\8>94>{M112?7|^8;86?u+24095f6<^8hh6?u+5ga952=#=oh1=:5r}|8m4e6290/??<51cd8R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?8;%7ef?703twvq6g>cb83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964b1]=om52z&6bf<6l2.>jo4>d:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`2=2<72>0;6=u+330966b<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<692.>jo4>1:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:038 0`e28;0qpsr;h3`g?6=,:896{zut1b=i950;&067<6jo1]??:51zN063<6s_;:?74b03_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d`9U5ge=:r.>jn4>1:&6bg<692wvqp5f1e`94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ni7[?mc;0x 0`d28;0(8hm:038yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f4??29096=4?{%116?c23A9:46g>bg83>!55:3;ij65`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th:544?:683>5}#;;81;h5G30:8m4e7290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f7=83.8>?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2gf<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:058 0`e28=0qpsr;h3g3?6=,:896P4:=0:wA==6;3xR4742;q/>8<51e58R4dd2;q/9km51e9'1cd=9m1vqps4i0fb>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>d`9U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1e`94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ni7[?mc;0x 0`d28n0(8hm:0f8yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f4?f290<6=4?{%116?1b3A9:46g>c183>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?9641jo4>7:~yx=n9ji1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?703-?mn7?8;|~y>o6l>0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74b03_;io74b<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095ag<^8hh6?u+5ga95a=#=oh1=i5r}|8m4be290/??<51cd8R64328qG??851zT256<5s-8>>7?kb:T2ff<5s-?mo7?k;%7ef?7c3twvq6a=3d83>!55:388i6X<2582I55>3;pZ57;294~"4:;0?4>bg9K777<^:8?6P6jj09w);ic;34?!3aj3;<7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=:5+5g`952=zutw0e<>;W110?7|D:8=6{zut1b=i950;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;o7);ib;3g?x{zu2c:hl4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528nj7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;on7>5$201>4da3_9987?tL205>4}Q9891>v*=5382`g=Q9ki1>v*:fb82`>"2nk0:h6sr}|9l66c=83.8>?4=3d9U772=9rF8>;4>{W327?4|,;?96?=j;W3ag?4|,479b83>2<729q/??<57d9K74>jo4>7:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:058 0`e28=0qpsr;h3`g?6=,:896P4:=0:wA==6;3xR4742;q/>8<51ba8R4dd2;q/9km5169'1cd=9>1vqps4i0f4>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>d69U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1ec94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?ka:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>dc83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a5t$201>2c<@:;37d?l0;29 64528hm7E==1:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=:5+5g`952=zutw0e:18'774=9kl0Z><;:0yO770=9r\:=>4={%066?7d92\:nn4={%7eg?703-?mn7?8;|~y>o6kj0;6)==2;3ab>N4:81]??:51zN063<6s_;:?74ed3_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga95a=#=oh1=i5r}|8m4bf290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`d=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5ad=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964bjo4>1:~yx=zj83n6=49:183!55:388h6F<199j5f6=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?9647jo4>1:~yx=n9ji1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:038 0`e28;0qpsr;h3g3?6=,:896{zut1b=io50;&067<6jo1]??:51zN063<6s_;:?74bf3_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb0;e>5<5290;w)==2;g6?M5602c:nk4?:%116?7en21d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28=0(8hm:058yx{z3`;ho7>5$201>4da3A99=6X<2582I55>3;pZ1/9kl5169~yx{1]=om52z&6bf<6l2.>jo4>d:~yx=n9mk1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0fb?S7ek38p(8hl:0f8 0`e28n0qpsr;n00a?6=,:896?=j;W110?7|D:8=6{zut1vn:185>5<7s-99>79j;I12<>o6k90;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e73_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>c09U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1ba94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28=0(8hm:058yx{z3`;o;7>5$201>4da3A99=6X<2582I55>3;pZP6jj09w);ic;3g?!3aj3;o7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo?n2;292?6=8r.8>?48e:J05==n9j:1<7*<2382fc=O;;;0Z><;:0yO770=9r\:=>4={%066?7d82\:nn4={%7eg?703-?mn7?8;|~y>o6k80;6)==2;3ab>N4:81]??:51zN063<6s_;:?74e63_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>cb9U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1e594?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?k7:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>d`83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a5d5=83=1<7>t$201>2c<@:;37d?l0;29 64528hm7E==1:T061<6sE99:7?tV030>7}#:<81=n>4V0``>7}#=oi1=:5+5g`952=zutw0e:18'774=9kl0D><>;W110?7|D:8=6{zut1b=nm50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;<7);ib;34?x{zu2c:h:4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga952=#=oh1=:5r}|8m4bf290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382`d=Q9ki1>v*:fb82`>"2nk0:h6sr}|9j5ad=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964bjo4>1:~yx=zj8k?6=48:183!55:3=n7E=>8:k2g5<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:058 0`e28=0qpsr;h3`5?6=,:896{zut1b=nm50;&067<6jo1]??:51zN063<6s_;:?74ed3_;io741<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d69U5ge=:r.>jn4>d:&6bg<6l2wvqp5f1ec94?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?ka:T2ff<5s-?mo7?k;%7ef?7c3twvq6g>dc83>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:a5d3=83<1<7>t$201>75c3A9:46g>c183>!55:3;ij6X<2582I55>3;pZP6jj09w);ic;32?!3aj3;:7psr}:k2gf<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nm4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7c?2\:nn4={%7eg?763-?mn7?>;|~y>o6lh0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51ec8R4dd2;q/9km5109'1cd=981vqps4o31f>5<#;;81>>k4V207>4}K;;<1=vX>1281!42:388i6X>bb81!3ak3;:7);ib;32?x{zu2wi=l850;094?6|,:896h;4H23;?l7en3:1(><=:0`e?>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c3b3?6==3:1N4911b=n>50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;o7);ib;3g?x{zu2c:o<4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28n0(8hm:0f8yx{z3`;ho7>5$201>4da3A99=6X<2582I55>3;pZ1]=om52z&6bf<6l2.>jo4>d:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`2e=<7210;6=u+33093`=O;820e<>;W110?7|D:8=6{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io741<,<=:0`e?M5592\8>94>{M112?7|^8;86?u+24095fe<^8hh6?u+5ga952=#=oh1=:5r}|8m4b0290/??<51cd8R64328qG??851zT256<5s-8>>7?k7:T2ff<5s-?mo7?8;%7ef?703twvq6g>d`83>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?964b7}#:<81=il4V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=6{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,4?:1y'774=::n0D>?7;h3`4?6=,:896{zut1b=n?50;&067<6jo1]??:51zN063<6s_;:?74e63_;io747<,<=:31f?S55<3;p@><9:0yU545=:r.99?4=3d9U5ge=:r.>jn4>1:&6bg<692wvqp5rb0cb>5<5290;w)==2;g6?M5602c:nk4?:%116?7en21d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,4?:1y'774=?l1C?<64i0a3>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;i7);ib;3a?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga95g=#=oh1=o5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm1`a94?2=83:p(><=:6g8L67?3`;h<7>5$201>4da3_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f7=83.8>?4>bg9K777<^:8?6P6jj09w);ic;3g?!3aj3;o7psr}:k2gf<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=nm4V0``>7}#=oi1=i5+5g`95a=zutw0c?=j:18'774=::o0Z><;:0yO770=9r\:=>4={%066?44m2\:nn4={%7eg?763-?mn7?>;|~y>{e9hn1<7:50;2x 6452>o0D>?7;h3`4?6=,:896P4:=0:wA==6;3xR4742;q/>8<51b28R4dd2;q/9km51e9'1cd=9m1vqps4i0a2>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;h=6X>bb81!3ak3;o7);ib;3g?x{zu2c:on4?:%116?7en2B8><5Y33695~J4:?0:w[?>3;0x 73528ih7[?mc;0x 0`d28n0(8hm:0f8yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f4gb290>6=4?{%116?1b3A9:46g>c183>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96417}#:<81=n?4V0``>7}#=oi1=:5+5g`952=zutw0e<>;W110?7|D:8=6{zut1b=i950;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;o;6X>bb81!3ak3;o7);ib;3g?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yg7fn3:197>50z&067<0m2B8=55f1b294?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l0:T2ff<5s-?mo7?8;%7ef?703twvq6g>c083>!55:3;ij6F<209U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,417}#:<81=nm4V0``>7}#=oi1=i5+5g`95a=zutw0e<>;W110?7|D:8=6{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1b394?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528i:7[?mc;0x 0`d28=0(8hm:058yx{z3`;ho7>5$201>4da3A99=6X<2582I55>3;pZ1/9kl5169~yx{1]=om52z&6bf<6l2.>jo4>d:~yx=h::o1<7*<23817`=Q;;>1=vB<2782S76;38p(?;=:31f?S7ek38p(8hl:038 0`e28;0qpsr;|`2f4<72>0;6=u+330966b<@:;37d?l0;29 64528hm7[==4;3xH64128q]=<=52z&117<6k91]=om52z&6bf<692.>jo4>1:~yx=n9j;1<7*<2382fc=Q;;>1=vB<2782S76;38p(?;=:0a2?S7ek38p(8hl:038 0`e28;0qpsr;h3`g?6=,:896{zut1b=i950;&067<6jo1]??:51zN063<6s_;:?74b03_;io747<,<=:0`e?S55<3;p@><9:0yU545=:r.99?4>d`9U5ge=:r.>jn4>1:&6bg<692wvqp5f1e`94?"4:;0:nk5Y33695~J4:?0:w[?>3;0x 73528ni7[?mc;0x 0`d28;0(8hm:038yx{z3f88i7>5$201>75b3_9987?tL205>4}Q9891>v*=53817`=Q9ki1>v*:fb825>"2nk0:=6sr}|9~f4d529096=4?{%116?c23A9:46g>bg83>!55:3;ij65`22g94?"4:;09?h5Y33695~J4:?0:w[?>3;0x 7352;9n7[?mc;0x 0`d28;0(8hm:038yx{z3th:n>4?:483>5}#;;81;h5G30:8m4e7290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382g5=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5f7=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?96;W3ag?4|,417}#:<81=nm4V0``>7}#=oi1=:5+5g`952=zutw0e<;:0yO770=9r\:=>4={%066?7c?2\:nn4={%7eg?7c3-?mn7?k;|~y>i5;l0;6)==2;00a>P4:=0:wA==6;3xR4742;q/>8<522g8R4dd2;q/9km5109'1cd=981vqps4}c3a0?6==3:1N4911b=n>50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;h<6X>bb81!3ak3;<7);ib;34?x{zu2c:o<4?:%116?7en2\8>94>{M112?7|^8;86?u+24095f7<^8hh6?u+5ga952=#=oh1=:5r}|8m4ed290/??<51cd8L6463_9987?tL205>4}Q9891>v*=5382gf=Q9ki1>v*:fb823>"2nk0:;6sr}|9j5a1=83.8>?4>bg9U772=9rF8>;4>{W327?4|,;?964bjo4>1:~yx=zj8h>6=4::183!55:3=n7E=>8:k2g5<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a3?S7ek38p(8hl:058 0`e28=0qpsr;h3`5?6=,:896{zut1b=nm50;&067<6jo1C???4V207>4}K;;<1=vX>1281!42:3;ho6X>bb81!3ak3;<7);ib;34?x{zu2c:h:4?:%116?7en2\8>94>{M112?7|^8;86?u+24095a1<^8hh6?u+5ga95a=#=oh1=i5r}|8k75b290/??<522g8R64328qG??851zT256<5s-8>>7<;%7ef?763twvq6sm1c494?3=83:p(><=:6g8L67?3`;h<7>5$201>4da3A99=6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;34?!3aj3;<7psr}:k2gf<72-99>7?mf:J064=Q;;>1=vB<2782S76;38p(?;=:0a`?S7ek38p(8hl:058 0`e28=0qpsr;h3g3?6=,:896{zut1d>>k50;&067<5;l1]??:51zN063<6s_;:?775b3_;io747<,0;684?:1y'774=?l1C?<64i0a3>5<#;;81=oh4H202?S55<3;p@><9:0yU545=:r.99?4>c19U5ge=:r.>jn4>7:&6bg<6?2wvqp5f1b394?"4:;0:nk5G3338R64328qG??851zT256<5s-8>>7?l1:T2ff<5s-?mo7?8;%7ef?703twvq6g>cb83>!55:3;ij6X<2582I55>3;pZ1/9kl5169~yx{P6jj09w);ic;3g?!3aj3;o7psr}:m17`<72-99>7<7}#:<81>>k4V0``>7}#=oi1=<5+5g`954=zutw0qo?m8;292?6=8r.8>?4=3e9K74>P6jj09w);ic;32?!3aj3;:7psr}:k2g4<72-99>7?mf:T061<6sE99:7?tV030>7}#:<81=n?4V0``>7}#=oi1=<5+5g`954=zutw0e<;:0yO770=9r\:=>4={%066?7dk2\:nn4={%7eg?763-?mn7?>;|~y>o6l>0;6)==2;3ab>P4:=0:wA==6;3xR4742;q/>8<51e58R4dd2;q/9km5109'1cd=981vqps4i0fb>5<#;;81=oh4V207>4}K;;<1=vX>1281!42:3;om6X>bb81!3ak3;:7);ib;32?x{zu2e9?h4?:%116?44m2\8>94>{M112?7|^8;86?u+240966c<^8hh6?u+5ga954=#=oh1=<5r}|8yvgc2908w0oj:31e?[gc34n267gc=9j:01>li:0a3?85d83;h<634=4ab>4e734ih64e734;9h7?l0:?27`<6k916=9<51b28942428i;70?;5;3`4>;6j6c19~wdd=839p1lm522d8Zdd<5m21=oh4}rf;>5<6:r7o47<;6:10:o=5213;95f6<588j6k4>c19>56`=9j:01<:;:0a3?873=3;h=63>4782g4=:9=i1=n>4=06g>4e734;>o7?l0:?21a<6k91v5o50;1x9=d=::l0R5o4=c695g`ez?a0?44m278nh4>c09>7g`=9j;01>m?:0a2?85d93;h=63=9382g5=:=j31=nm4=4ab>4ed34;9;7?l1:?26=<6k816=?751b38944f28i:70?=d;3`5>;6:l0:o<5213d95f7<589n6;<30b?7d927:8?4>c09>515=9j;01<:;:0a2?873=3;ho63>4782gf=:9=31=n?4=06b>4e634;?n7?l1:?20f<6k816=9j51b38943e28i:70?:c;3`5>;6=m0:o<5rs9:94?5|5131>>h4^9:89g5=9kl0q~l<:181e~;e;388i63=1`82g5=:;ko1=nm4=2`e>4ed349h<7?lc:?0g4<6kj16?n=51b2891>428i;70:75;3`4>;50>0:o=5253295f6<5mi4>c19>571=9ji01<<7:0a`?87513;ho63>2`82gf=:9;n1=nm4=00f>4ed34;9j7?lc:?272<6k916=>m51b28945b28ih70?;6<;0:on5215195fe<58>?6d69>51?=9ji01<:n:0a`?873j3;ho63>4b82gf=:9=n1=nm4=077>4e734;>57?l0:?21g<6kj16=8m51ba8943c28ih70?98;3`4>;6i:0:o=5rs9494?5|51=1>>h4^9489g4=9kl0q~l=:181e~;e:388i63=1`82g4=:;ko1=i94=2`e>4b0349h<7?k7:?0g4<6l>16?n=51b3891>328i;70:76;3`4>;50>0:o<5229d95f6<5<8;6;<7`=?7ci27>ol4>d`9>1db=9j;01<<8:0f4?87503;o;63>2882`2=:9;k1=i94=00g>4b034;9i7?k7:?26c<6l>16=>l51b28945c28i;70?;6;o0:h:5215095a1<58>86d`9>510=9mk01<:6:0f4?873i3;o;63>4c82`2=:9=i1=i94=06g>4b034;>97?l0:?21d<6k916=8l51e58943d28n<70?:d;3g3>;6i:0:o<5rs9694?5|51?1>>h4^9689g7=9kl0q~l>:182a~;e9388i634bf349h=7?ka:?1f0<6k9169n751e`890ef28ni70?=7;3ge>;6:10:hl5213;95ag<588j6h4>d`9>57`=9mk01<=j:0fb?874n3;om63>4382`d=:9=91=io4=067>4bf34;?97?kb:?203<6lk16=9751ec8942f28nj70?;b;3ge>;6d`9~w=4=839p15=522d8Z=4<5k:1=oh4}r`3>5<6mr7i<7<;4k80:ho525b;95ae<554>dc9>57?=9mh01<2d82`g=:9;l1=il4=01f>4be34;8j7?kb:?207<6lk16=9=51e`8942328ni70?;5;3gg>;6j6dc9>51b=9mh01<;m:0fa?872k3;on63>5e82`g=z{1:1<7=t=93966`;4jl0:hn523cd95ae<5:i;6c19>1f?=9mn018mn:0fg?875?3;oo63>2982`f=:9;31=im4=00b>4bd34;9h7?kc:?26`<6lj16=?h51ea8945b28nh70?;6<;0:hn5215195ae<58>?6de9>51?=9mi01<:n:0f`?873j3;oo63>4b82`f=:9=n1=im4=07a>4bd34;>o7?kc:?21a<6lj1v4j50;1x9c19>25?=9j:01;>n:0a3?807j3;h<63;cc82g5=::821=n>4=33:>4e7348:m7?lc:?15g<6k916?:j51b28961b28i;70=68;3`4>;4i10:o=523`;95f6<5:h96de9>7g`=9mn01>m?:0fg?85d93;oh634=707>4e734<997?l0:?57`<6k916:>h51b28932728i;708;8;3`4>;1<00:o=5265c95f6<5?>i64>c19>0f7=9j:01>8?:0a3?85193;h<63<6382g5=::9o1=n>4=73;>4e734<:57?l0:?576<6k916>:l51b28971d28i;70<74;3`4>;50<0:o=5229495f6<5;2<6c19>6d1=9j:01?o7:0a3?84f13;h<63=a`82g5=::hh1=n>4=3a6>4e7348o>7?l0:?65`<6k9169;2k00:hh525bc95ac<5<9869o4>c19>1dg=9j:018om:0a3?83fk3;h<63:ae82gf=:=ho1=n>4=ba95f7<5jn1=n?4=36b>4e7348?n7?l0:?10f<6k916>9j51b2890?628i;70;62;3`4>;21j0:o=5258f95f6<5<3n6m=4>c19>0?7d82736c19>54d=9j:012082g5=:9;81=n>4=000>4e734;9;7?kd:?26=<6lm16=?751ef8944f28no70?=d;3g`>;6:l0:hi5213d95ab<589?6de9>514=9mn01<:<:0fg?873<3;oh63>4482``=:9=<1=ik4=06:>4bc34;?m7?kd:?20g<6lm16=9m51ef8942c28no70?:1;3`4>;6=k0:hi5214a95ab<58?o6c19>522=9j:01<9::0a3?870j3;h<63>7b82g5=:9>n1=n>4=0:5>4e734;3m7?l0:?2;6100:o=5218c95f6<583i6c19>5d6=9j:01:0a3?87f:3;h<63>a282gf=:9h>1=n>4=0c;>4e734;jo7?l0:?2e`<6k916=lh51b2894d728i;70?m3;3`4>;6j=0:o=521c795f6<58h=6=g<5;o1U5l52d182fc=z{m:1<766{75b34<;47?l1:?54<<6k816:=o51b38936e28i:70:lc;3`4>;3km0:o=5220:95f7<5;;i6;<14`?7d9278;h4>c09>7<>=9j;01>o7:0a2?85f13;h=631=n>4=2`5>4e6349ii7?ke:?0fc<6ll16?n>51eg896e628nn708=4;3`5>;1:<0:o<5265:95f7<5?>26;<47e?7d927=8o4>c09>0`5=9j;019m>:0a2?85183;h=63<6082g4=:;?81=n?4=32f>4e634>3?7?l1:?7<1<6k81685;51b3891>128i:708=f;3`4>;1;90:o=5262195f7<5;236;<0:g?7d8279m:4>c09>6f1=9j:01?m7:0a3?84d13;h<63=d382g4=:=;;1=n?4=4:7>4e734?397?l0:?6<3<6k9169n751ed890ef28nm70;<3;3`5>;2;=0:o<5254a95f6<5;2jj0:o=525cf95f6<5<0:o=528;3`5>;6900:o<5210c95f7<588<644>dd9>57g=9mo01<2g82``=:9:?1=n>4=015>4e734;8;7?l1:?27g<6k816=>m51b38945c28i:70?;6;o0:hh5215095ac<58>86dg9>510=9ml01<:6:0ff?873i3;oi63>4c82``=:9=i1=ik4=06g>4bb34;>>7?l0:?216<6k916=8:51b38943228i:70?:9;3`5>;6=h0:o<5214`95ac<58?h6c19>534=9j:01<8<:0a3?871<3;h<63>6482g5=:9?i1=n?4=04g>4e634;=i7?l0:?22c<6k916=:>51b28941328i:70?85;3`5>;6??0:o=5216595f6<58=36c09>52b=9j;01<69:0a2?87?i3;h=63>8c82g4=:91i1=n>4=0:g>4e734;3i7?l1:?2=0<6k816=4751b3894g328i:70?n8;3`5>;6il0:o<521`d95f775a3W3370m9:0`e?xud>3:148u2c7817`=:>9k1=nm4=72a>4ed348:57?l1:?15d<6l>16>;4110:on523`;95fe<5:h86;<1a0?7d9278n;4>cb9>7gc=9ml01>li:0fe?85d83;oj634e63451ba8960628ih70=92;3`g>;30<0:on5249495fe<5?9:64>cb9>6=2=9j;01?6::0a2?84??3;o;63=8982gf=::h=1=nm4=3c;>4e6348j57?l1:?1ed<6k816>ll51b3897e028i:70;29l0:o<5253295a1<5<8:6o44>c39>1fg=9j8018=<:0a`?834<3;ho63:5b82g4=:=4e634?jn7?l1:?6ea<6l>169lk51ba89fg=9j:01no51b389fb=9m=01km51b38972f28i:70<;b;3`5>;55i4>c09>11c82g4=:98l1=n?4=003>4e634;9=7?l1:?267<6k816=?951ed8944?28nm70?=9;3gb>;6:h0:hk5213f95a`<588n6c09>560=9j;01<=l:0a`?874l3;ho63>3d82`c=:9:l1=ih4=061>4ba34;??7?kf:?201<6lo16=9;51b08942128i970?;9;3gb>;6h6c09>505=9j;01<;6:0a`?872i3;ho63>5c82`c=:94ba34;==7?l1:?227<6k816=;m51ba8940c28ih70?9e;3`5>;6??0:o<5216595f7<58=h6cb9>5<3=9ji01<79:0a2?87>13;ho63>9`82g4=:90h1=n?4=0;`>4e634;2h7?l1:?2e5<6k816=l?51b3894g428n<70?n4;3`g>;6i10:on521`g95fe<58h;6;<3a7?7d927:n94>c09>5g3=9j;01>3:1?v367;00b>X>>27h97?mf:pg0<72=8p1n;522g8977>28ih70=8d;3g3>;4?l0:h:5238:95a1<5:h86c39>7f6=9j801>m>:0a1?85183;o;63<6082`2=:;?81=i94=5:0>4ed34>387?lc:?7<0<6l>1685851e5897>128i:70;5ko0:o=5250d95f7<59n4>cb9>1de=9j;0187k:0a`?81=9j:012682g7=:9;21=n<4=00:>4e534;9m7?l2:?26a<6k;16=?k51b08944a28i970?<5;3`g>;6;>0:on5212`95fe<589h6c39>56`=9j801<:=:0a1?873;3;h>63>4582g7=:9=?1=n=4=065>4e434;?57?l2:?20d<6k;16=9l51b08942d28i970?;d;3`6>;6=;0:on5214695fe<58?>6d69>50d=9j801<;l:0a1?872l3;h>63>9`82gf=:9h81=n?4=0c;>4b034;i<7?lc:?2f2<6k81v4<50;1x9<5=::l0R4<4=c:95g`c29>7g`=9j901>m?:0a0?85d93;h?63;8282`2=:<1>1=i94=5:6>4bf34>3:7?ka:?1e<<6kj16>i>51b2890e>28i?70;la;3`0>;ek3;h<63mc;3`5>;554>c29>57?=9j901<2d82g6=:9;l1=n=4=014>4b034;8n7?k7:?27f<6lh16=>j51ec8945b28i870?;6<;0:o>5215195f5<58>?6c59>51?=9j901<:n:0a0?873j3;h?63>4b82g6=:9=n1=n=4=077>4b034;>97?k7:?21<<6lh16=8o51ec8943e28i870?:c;3`7>;6=m0:o>5218`95fe<58h86;e?3;ij6s|b683>62|5k=1>>k4=2`f>4e3349ij7?l4:?0g5<6k=16?n?51b6891>428nj70:74;3ge>;30<0:ho5249495ad<5;2?6c19>6f1=9ji01?m7:0a2?84d13;h=63:c882g0=:=jk1=n;4=4cb>4ed348?n7?lc:?265<6kj16=?951b68944?28i?70?=9;3`0>;6:h0:o95213f95f2<588n6d`9>56d=9mk01<=l:0fa?874l3;on63>3d82g1=:9:l1=n:4=061>4e334;??7?l4:?201<6k=16=9;51b78942128i>70?;9;3`0>;6h6d`9>503=9mk01<;6:0fa?872i3;on63>5c82g1=:94e334;j<7?lc:?2f1<6kj1v5k50;1x9=`=::l0R5k4=c495g`c49>7g`=9j?01>m?:0a6?85d93;h963;8282`g=:<1>1=il4=5:6>4bd34>3:7?kc:?1ed<6kj16>ok51b2890e>28i=70;la;3`2>;554>c49>57?=9j?01<2d82g0=:9;l1=n;4=014>4be34;8n7?kb:?27f<6lj16=>j51ea8945b28i>70?;6<;0:o85215195f3<58>?627:8;4>c79>51?=9j?01<:n:0a6?873j3;h963>4b82g0=:9=n1=n;4=077>4be34;>97?kb:?21<<6lj16=8o51ea8943e28i>70?:c;3`1>;6=m0:o85218a95fe<58h>6;e=3;ij6s|b483>66|5k?1>>k4=2`f>4e1349ij7?l6:?0g5<6k?16?n?51b4891>428nh70:74;3gg>;30<0:hi5249495ab<5;ki6o44>c69>1fg=9j=01?:k:0a`?83f83;ho63>2382gf=:9;=1=n84=00;>4e134;957?l6:?26d<6k?16=?j51b48944b28i=70?=f;3`2>;6;>0:hn5212`95ae<589h6c79>56`=9j<01<:=:0a5?873;3;h:63>4582g3=:9=?1=n94=065>4e034;?57?l6:?20d<6k?16=9l51b48942d28i=70?;d;3`2>;6==0:hn5214795ae<58?26c79>50e=9j<01<;k:0a5?87>l3;ho63>b782gf=z{8:96=4;{<337?44n2T:6;:31f?83bi3o370:95;g;?82283o370::b;g;?82783o370:?a;g;?826=3o370:>e;g;?82513o370?jd;g;?87a13o370=j5;g;?85a?3o370:<6;g;?823:3o370:8d;g;?8112l201>jj:d:896ce2l20199>:d:8900?2l2018?;:d:8yv77=3:1mv3>04817`=:=921i55256`9a==:=mi1i55245:9a==:;o;1i5524659a==:;m21i55247`9a==:=<>1i55rs025>5<3s4;;;7<4da3ty:<54?:2:x946?2;9n708?d;g;?851m3o370:k0;g;?85?i3o370k<:d:8977c2l201>6?:d:897642l201?<8:d:8966?2l201>?9:d:896102l201>7n:d:896g52l201>om:d:896d?2l201>m::d:896b52l201979:d:891g42l2019oj:d:891d12l201;<8:d:890212l201;:=:d:8933e2l201;:k:d:8930>2l2019k::d:891b?2l201>;6:d:891>a2l201>=9:d:8965c2l201>:;:d:8962e2l2019m<:d:893652l201?k=:d:897c?2l201>8::d:8974c2l201?kj:d:897`22l201?jl:d:892e=m116><>5e99>665=m1168575e99>24d=m116:>;5e99>606=m1168k65e99~w46>2908w0??9;00a>;4180n463:368f<>{t75a3W>m563;fc82fc=z{=li6=4;dz?7bg<5;l16:=951b28936?28ih708?a;3g3>;3kh0:o=5239795f6<5mo1=n>4=d295f6<5:=o6c19>75c=9j:01>>i:0a3?850;3;h<63<7582g5=:;0<1=n>4=2;e>4e7349j;7?l0:?0f4<6k9168l751b2891ge28i;708=1;3`4>;1:;0:o=5263695fe<5?9n6;<47d69>0`4=9j:019li:0a3?80783;h<63=d`82g5=::9n1=n>4=2:e>4e73493j7?l1:?55=<6k816:?h51b38935728i:708<1;3`5>;1;:0:h:5226`95f7<5;3m6=o4>c09>1=4=9j:018m8:0a3?83a:3;h<63:3282`2=:=4b034?>h7?lc:?e5?7d827mj7?l0:?6<`<6k91694?51ba890?528n<70;mc;3`5>;2jl0:o=525cd95f6<5m0:o=5210595f6<588=6cb9>535=9j;01<8::0a2?871k3;o;63>7582gf=:9><1=nm4=05;>4e634;328i;70?75;3`4>;60?0:on5219c95fe<583?6;59>0:o<523cg95f><5:hm6c99>0<`=9j:019o?:0a3?84ci3;h=63;8282``=:<1>1=ik4=5:6>4ba34>3:7?kf:?1<0<6kj169528i:70;l7;3`5>;2=k0:on5254a95ag<5;6:h0:o55213f95f><588n6dd9>56d=9mo01<=l:0fe?874l3;oj63>3d82g==:9:l1=n64=061>4e?34;??7?l8:?201<6k116=9751b:8942f28i370?;b;3`<>;6<58??6dg9>50g=9ml01<;m:0a;?872k3;h463>5e82g==:9h;1=nm4}r0e=?6=;r79jl4=3g9]6c?<5;lh6km522g896d328ih70=90;3ge>;4>80:hl5229495fe<5<;m6mn4>cb9>575=9ji01<=9:0a`?872;3;ho63>a382gf=:9k=1=nm4}r7a5?6=;r7>n?4=3g9]1g7<5628i;70=71;3`5>;5m90:o=522d295f7<5=<26c19>03`=9j;0q~;9c;297~;2>m09?k5Q57a8900b28hm7p}:6d83>0}:=?o1>>k4=323>4e634>287?l0:?7=1<6k81695k51ba8yv3dl3:1?v3:cd817c=Y=jn018mi:0`e?xu2ko0;68u25bd966c<5;8?6;<6a0?7d827?n94>c09>6ag=9ji0q~??a;290~;68k09?k5Q11c8946d28hm70??d;3ab>{t99i1<7?={<33g?44m27?:>4>d69>000=9m=019;8:0f4?82203;o;63;5882`2=:9lk1=nm4=0ga>4ed34;m87?ka:?2b0<6lh16=k851ec894`028nj70:<0;3ge>;3;80:hl5242095ag<5=9869?4>cb9~w46c2909w0??d;00a>;3;j0n46s|53694?5|5<8>6?=i;_710>;2:?0:nk5rs405>5;3;90:ho5242395ad<5=996c19>7a0=9j;01>jl:0a3?85ck3;h=6s|1c;94?5|58hj6?=i;_3a=>;6jk0:nk5rs0`a>5<4s4;in7<o51b38yv3113:1?v3:6`817c=Y=?30188m:0`e?xu2>k0;6>u257`966c<5;8i6844=3g9]11><5<>j6;4n<0:o=523g795f753z?6b0<5;o1U9k:4=4d5>4da3ty>j;4?:4y>1c0=::o0199::0a3?820=3;h=63;7c82g5=:<>h1=n?4}r;7>5<4s43>6?=i;_;7?8e328hm7p}l4;296~;d<388i63=7082g4=z{0l1<7=t=`2966`6}:i;09?k5Qa09>`6<6jo1vi=50;0x9a5=::o01?l9:0a2?xuf;3:1?v3n4;00b>Xf;27o87?mf:p`1<72;q6h94=3d9>6<6=9j;0q~o::1808g12;9m7So:;4da3tyo97>52z?g1?44m27::44>c09~wd1=839p1l6522d8Zd1<5m<1=oh4}rf5>5<5s4n=6?=j;<0:7?7d92wxm44?:2y>ed<5;o1Um452d682fc=z{m=1<7;|q1b2<72:q6>k6522d8Z7`034oi6hh4=3d9~w0c52909w0;ke;3ab>;2m;09?h5rs4g2>5<5s4?oi7?>0:?6a4<5;l1v9hl:18082al388j6P;fb9>0ce=::o0q~;?0;296~;3nj0:nk52512966cmj7>52z?7bf<699168kh522g8yv31n3:1?v3:71817c=Y=?l0188i:31f?xu2?80;6?u257d95g`<5<=:6?=j;|q637<72;q69;h5102890152;9n7p}:d183>6}:=m;1>>h4^4f3?83c8388i6s|5e094?4|5{t=:21<7=t=41:>75a3W?8463:39817`=z{<9j6=4={<70?l4=3d9~w7342908w0<:4;00b>X5=:16>8=522g8yv41k3:1>v3=5282fc=::?i1>>k4}r06e?6=;r799o4=3g9]60g<5;?j6?=j;|q136<72;q6>8o51cd897142;9n7p}=5b83>6}::>h4^37`?842k388i6s|26d94?4|5;?h68k50;1x973a2;9m7S<:e:?11`<5;l1v?6m:181842m3;ij63=8c817`=z{;<;6=4<{<055?44n2T9:=52272966c52z?125<6jo16>48522g8yv41:3:1?v3=62817c=Y:?801?8=:31f?xu5i;0;6?u227095g`<5;k96?=j;|q121<72:q6>;;522d8Z703348=87<;5>?09?h5rs3`:>5<5s48=:7?mf:?1f<<5;l1v?87:1808411388j6P=699>63>=::o0q~10:nk522b2966c53z?12g<5;o1U>;o4=34b>75b3ty9oo4?:3y>63g=9kl01?mm:31f?xu29<0;6>u2504966`70;>5;00a>{t=8=1<74da34?:;7<;i4=3d9~w01a2909w0;8d;3ab>;2?o09?h5rs4a1>5<4s4?h?7<>k4}r7`0?6=:r7>o?4>bg9>1f2=::o0q~;jd;297~;2ml09?k5Q5df890cc2;9n7p}:eg83>7}:=ln1=oh4=4ge>75b3ty?i;4?:2y>0`1=::l0R9k9;<6f2?44m2wx8h650;0x91c128hm70:j8;00a>{t=;i1<7=t=40g>75a3W?9o63:2b817`=z{<8n6=4={<71g?7en27>>h4=3d9~w02e2908w0;;c;00b>X2v3:4c82fc=:==n1>>k4}r761?6=;r7>9;4=3g9]103<56?=j;|q612<72;q698;51cd890302;9n7p}:5g83>6}:=?:1>>h4^47e?832n388i6s|57394?4|5a<<6jo16in4=3d9~wc3=839p1k8522d8Zc3<5o?1>>k4}rd4>5<5s4l>675b3ty>>l4?:2y>17d=::l0R89:50;0x904f28hm70<;4;00a>{t75a3W>nj63;eg817`=z{=l:6=4={<6fb?7en27?j<4=3d9~w06f2908w0;?b;00b>X28h169=o522g8yv37k3:1>v3:0`82fc=:=9i1>>k4}r7;=?6=;r7>4l4=3g9]1=?<5<226?=j;|q6e2;9n7p}:9483>6}:=0<1>>h4^4;6?83>=388i6s|58594?4|5<3>6i=4>bg9>1`e=9j;018kn:31f?xu2m90;69u25d2966c<5h4>c19~w0c42909w0;j1;3ab>;2m:09?h5rs4ga>5<5s4?n?7?mf:?6ag<5;l1v8k;:18183bk3;h<63:e5817`=z{il4>bg9~w4db290i?v3>bd817`=:=lk1>k84=4gb>7ca34?;47;2lj09ik5261f96c0<5?:o6?ki;<15a?4a>278:h4=eg9>0a6=:o<019j?:3ge?85?i38m:63<8`81ac=:m:09j;52e281ac=::8n1>k84=33g>7ca3493>7?l1:?0<5<5n?16>==52g4897642;om70<=7;0e2>;5:>09ik5231:96c0<5::36?ki;<122?4a>278=;4=eg9>721=:o<01>98:3ge?85>i38m:63<9`81ac=:;h81>k84=2c1>7ca349jn7;4k<09ik523e096c0<5:n96?ki;<6:2?4a>27?5;4=eg9>0d5=:o<019o<:3ge?82fm38m:63;ad81ac=:k84=5`5>7ca34<9;7;1<;09ik5264`96c0<5??i6?ki;<47`?4a>27=8i4=eg9>23?=:o<01;86:3ge?821=38m:63;6481ac=:<<:1>k84=573>7ca34>>n7;38909ik5241c96c0<5=:j6?ki;<621?4a>27?=84=eg9>0a>=:o<019j7:3ge?826m38m:63;1d81ac=:<;31>k84=50:>7ca3499j7;4=009ik5249d96c0<5=2m6?ki;<102?4a>278?;4=eg9>76b=:o<01>=k:3ge?853<38m:63<4581ac=:;=h1>k84=26a>7ca34>h?7;6mm09ik521g;96c0<58l26?ki;<0f6?4a>279i?4=eg9>6`>=:o<01?k7:3ge?851=38m:63<6481ac=:<:i1>k84=51`>7ca34>?47?j52g48974c2;om70;5ml09ik522g796c0<5;l>6?ki;<1f1?4a>278i84=eg9>7c7=:o<01>h>:3ge?85a?38m:63k84=515>7ca34>?>7;3?m09ik522ea96c0<5;nh6?ki;<55>7`134==6?ki;<5`>7`134=h6?ki;<024?4a>279==4=eg9>665=:o<01?=<:3ge?85>938m:63<9081ac=:;m21>k84=2f;>7ca349oi7;3>k09ik5246396c0<5==:6?ki;<6;=?4a>27?444=eg9>24d=:o<01;?m:3ge?804=38m:6393481ac=:=:=1>k84=414>7ca34?>87;5=909ik524g:96c0<5=l36?ki;<720?4a>27>=94=eg9~w67f2909=lu230c966c<54ba34?n47?l2:?6a=<6k:169h651b6890c?28i>70;j8;3`2>;2m10:o:5251:9a2=:=>h1i:525ea9a2=:>9n1i:5261c95a`<5?:j6c59>25g=9j?01;>n:0a5?807i3;h;6390c82`c=:>9h1=n<4=72a>4e434<;n7?l4:?54g<6k<16:=l51b48936e28i<70=9e;g4?82c83o<70k<:d58977c2l=01>6?:d58966?2l=01>>::0fe?857=3;h>63<0482g6=:;9?1=n:4=226>4e2349;97?l6:?040<6k>16?=851ed8966128i970=?6;3`7>;48?0:o95231495f3<5::=670=?f;3`2>;48o0:o:5230295f5<5:;;6c79>746=9j=01>98:d58961328i970=84;3`7>;4?=0:o95236695f3<5:=?6c39>723=9j901>9::0a7?850=3;h963<7482g3=:;>?1=n94=2;b>`1<5:k96h94=2ca>`1<5:h36h94=2a6>`1<5:hn6cd9>7gc=9jl01>lj:0f3?85em3;o=634b3349ii7?k5:?0f`<6l?16?ok51e:896db28n270=mf;3`f>;4jo0:oi523cd95fc<5:hm6d09>7g`=9m801>li:0f0?85en3;o8634b?349ij7?k9:?0g5<6kk16?n>51bf896e728in70=l0;3`b>;4k90:h=523b295a7<5:i;6d59>7f6=9m?01>m?:0f5?85d83;o4634ec349h=7?le:?0g4<6ko16?n?51e2896e628n:70=l1;3g6>;4k80:h>523b395a2<5:i:6278o<4>d99>7f7=9m301>j=:d5891g42l=019oj:d5893402l=01;<=:0a1?805:3;h?6392382g1=:>;81=n;4=701>4e134<9>7?l7:?566<6k;16:?=51b18934428i?708=3;3`1>;1::0:o;5263195f1<5?8?627=>94>c69>273=9j?01;<::0a5?805=3;h;63:478f3>;1<;0n;6395c8f3>;1=k1=n<4=76b>4e434;1i6c79>21d=9j=01;86:d5891022l=019;?:d58913e2l=019k::d5891672l=019>n:d5891722l=019j7:d58917b2l=019<6:d58964a2l=01>;=:d58963>2l=0196i:d5896512l=01>=k:d5896232l=01>:m:d5891e42l=01;>=:d5894cc2l=01h>:d5896`02l=019=9:d5891252l=01998:d58911c2l=01:85e69>3f428i>70:73;3`2>;30:0:o:5249695f5<5=2?6c79>0=2=9j=0196::0a6?82?=3;h:63;8482g2=:<1<1=n;4=5:5>4e134>3:7?l7:?55g28n970;l9;3g7>;2k00:h9525b;95a3<5o44>d89>1fg=9m:018mn:0f2?83di3;o>63:c`82`6=:=jk1=i:4=4ab>4b234?hm7?k6:?6gd<6l1169no51e;890502l=018=<:0a5?834;3;h;63:3582g3=:=:>1=n94=477>`1<5<<36h94=5d;>`1<5<;?6h94=004>4ee34;9;7?ld:?262<6kl16=?951bd8944028n;70?=7;3g5>;6:>0:h?5213595a5<588<6:4>d79>571=9m201<<8:0f:?87503;hn63>2982ga=:9;21=nk4=00;>4ea34;947?k0:?26=<6l816=?651e08944?28n870?=8;3g0>;6:10:h85213:95a0<5883644>cc9>57?=9jn01<<6:0af?87513;hj63>2882`5=:9;31=i?4=00:>4b534;957?k3:?26<<6l=16=?751e78944>28n=70?=9;3g<>;6:00:h45213c95fd<588j6l4>cg9>57g=9m:01<63>2`82`6=:9;k1=i:4=00b>4b234;9m7?k6:?26d<6l116=?o51e;8944c28io70?=d;3`a>;6:m0:ok5213f95a6<588o6;<31`?7c:27:>i4>d29>57b=9m>01<2e82`==:9;n1=i74=00f>4ec34;9i7?le:?26`<6ko16=?k51e28944b28n:70?=e;3g6>;6:l0:h>5213g95a2<588n627:>h4>d99>57c=9m301<2g82gc=:9;l1=i>4=00e>4b634;9j7?k2:?26c<6l:16=?h51e68944a28n>70?=f;3g2>;6:o0:h55213d95a?<589<6c79>561=9j=01<=m:0a7?874j3;h963>3c82g3=:9:h1=n94=01`>4e134;8o7?l7:?27a<6k?16=>j51b58945b28io70?;6;l0:ok5212g95a6<589n6;<30a?7c:27:?h4>d29>56c=9m>01<=j:0f6?874m3;o:63>3d82`==:9:o1=i74=01e>4ec34;8j7?le:?27c<6ko16=>h51e28945a28n:70?;6;o0:h>5212d95a2<589m627:?k4>d99>56`=9m301<:=:0ag?873:3;hi63>4382gc=:9=81=i>4=061>4b634;?>7?k2:?207<6l:16=9<51e68942528n>70?;2;3g2>;6<;0:h55215095a?<58>864>cg9>515=9m:01<:<:0f2?873;3;o>63>4282`6=:9=91=i:4=060>4b234;??7?k6:?206<6l116=9=51e;8942328io70?;4;3`a>;6<=0:ok5215695a6<58>?6;<370?7c:27:894>d29>512=9m>01<:;:0f6?873<3;o:63>4582`==:9=>1=i74=066>4ea34;?97?k0:?200<6l816=9;51e08942228n870?;5;3g0>;6<<0:h85215795a0<58>>6cg9>510=9m:01<:9:0f2?873>3;o>63>4782`6=:9=<1=i:4=065>4b234;?:7?k6:?203<6l116=9851e;8942>28in70?;9;3`b>;6<00:h=5215;95a7<58>26d59>51?=9m?01<:6:0f5?87313;o463>4882`<=:9=k1=nk4=06b>4ea34;?m7?k0:?20d<6l816=9o51e08942f28n870?;a;3g0>;6j6cd9>51d=9jl01<:m:0f3?873j3;o=63>4c82`7=:9=h1=i=4=06a>4b334;?n7?k5:?20g<6l?16=9l51e:8942e28n270?;c;3`a>;6h6;<37g?7c:27:8n4>d29>51e=9m>01<:l:0f6?873k3;o:63>4b82`==:9=i1=i74=06g>4eb34;?h7?lf:?20a<6l916=9j51e38942c28n970?;d;3g7>;6o6d89>502=9j?01<;;:0a5?872<3;h;63>5482g0=:94e034;>57?l7:?21d<6k>16=8l51bg8943e28im70?:b;3g4>;6=k0:h<5214`95a4<58?i6d49>50d=9m<01<;m:0f;?872j3;o563>5b82g`=:94b734;>o7?k1:?21f<6l;16=8m51e18943d28n?70?:c;3g1>;6=j0:h;5214a95a><58?h6cg9>50b=9m:01<;k:0f2?872l3;o>63>5e82`6=:94b234;>h7?k6:?21a<6l116=8j51e;8yv3b=3:1>v3:e582g5=:=l?1>>k4}r7f2?6=:r7>i94>c09>1`0=::o0q~=i8;2955}:=l=1=n?4=4g;>4e6349m47<;38>0:o=5241d95f6<5=;96c19>073=9j:019<9:0a3?825?3;h<63;2d82g4=z{=:96=4i{<7f3?7dk27>i54>cb9>7cb=9j;01>hj:0a2?827:388i63;0782g4=:<9=1=n?4=533>4e734>:>7?l1:?75g<6k8168;3:>0:o<5243g95fe;n7>5fz?6a2<6l>169h651e5896`c28ih70=ie;3`g>;38k09?h5241d95f7<5=;;6;<626?7dk27?=>4>c19>04d=9ji019?l:0a`?825=3;ho63;2782gf=:<;=1=nm4=50f>4b03ty?=;4?:cy>1`1=9mk018k7:0fb?85al3;o;6375b34>:m7?l0:?760<6l>168?851e58914028n<70:=e;3ge>{t<;:1<77t=4g4>4be34?n47?kb:?0ba<6lh16?kk51ec8917428ih70:=0;00a>;3:=0:o=5243595ag<5=8n6e083>1}:=l21=im4=5:g>4e734>3h7?l1:?2a4<5;l1v99=:18183b03;oh63;73817`=z{==36=4={<7f;2m?0:o<5rs2fe>528i:70;8c;3`4>;2?j0:o<525ef95f6<5;<657?7d827:i54>c19>31<6k916?ih522g8907728i;70;>2;3`4>{t=9=1<7=t=423>4da34>mi7?mf:?64=<5;l1v9hj:18182am388i63:1382g4=z{<::6=4={<6eb?7en27><<4=3d9~w06>2909w0;?1;3ab>;28009?h5rs421>5<5s4?;47?mf:?647<5;l1v8><:181837:3;h<63:02817`=z{<:?6=4={<736?7d927><94=3d9~w12>2909=1=n>4=45:>4e734?o47?l0:?6`d<6k9168;?51b28910528i;70:93;3`5>;3<009?h5245f95f6<5=?>6c19>00>=9j:019;6:0a3?87b?3;h<63>e`82g5=:9lh1=n>4=0d0>4e734;m87?l0:?2b0<6k916=k851b2894`028i;70:<0;3`4>;3;80:o=5242095f6<5=9864e734=?6;<6f`?7d827>9=4>c19>104=9j:0q~::1;2965}:=9?1=n?4=425>4e734?<;7?l1:?63=<6k9169i651b3890b>28i;70:91;3`5>;3>;0:o<5247195fe<5=>n6c09>000=9j;019;8:0a2?82203;h=63;5882g4=:9l=1=n?4=0g;>4e634;n57?l0:?2b6<6k816=k:51b3894`228i:70?i6;3`5>;6n>0:o<5242295f7<5=9:6;<606?7d927??>4>c09>37<6k916;?4>c09>106=9j;01889:0a3?xu28<0;6?u2517966c<5<:?69:0a2?83003;h=63:7882g4=:=m31=n?4=4fb>4e634>>h7<;3=?0:on5244595fe<5=?36cb9>5`?=9j;01f282gf=:9o>1=nm4=0d6>4ed34;m:7?lc:?2b2<6kj168>>51ba8915628ih70:<2;3`g>;3;:0:on527282g4=:4e634?=:7?l1:p150=838p18>9:31f?837<3;h=6s|56c94?4|5<=:6{t=>i1<74da34?{t9oh1<7:t=454>4ed34?<47?lc:?63<<6kj16=kl522g8yv30?3:1>v3:76817`=:=><1=n>4}r74;54=3d9>120=9j;0q~<>1;29e~;2?00:h:525ec95fe<5;;:6?=j;<023?7d827>:84>c19>133=9j;01n?51b2894?528i;70?63;3`4>;61?0:on5rs45:>5<5s4?<57<h94=3d9~w0bc2909w0;k4;3ab>;2lm09?h5rs4f6>5<5s4?oo7?mf:?6`0<5;l1v8j9:18183c=3;h<63:d7817`=z{h:4=3d9~w77a290?w0;k8;3`g>;2l00:on525ec95a1<5;;m6?=j;|q6`=<72;q69i6522g890b028i;7p}:d883>7}:=m31>>k4=4f4>4e63ty>hl4?:3y>1ag=::o018j8:0a`?xu18j0;6>u261195g`<5?:n6;<43`?44m2wx:==50;3g807;388i6390682g4=:>921=i94=72:>4ed34<;m7?ka:?54g<6l>16:?<51b38934428i;708=4;3g3>;1:<0:on5265:95a1<5?>26d69>232=9j:01;8::0a3?801>3;h<6396682g5=:>:91=io4=3;g>4e734?8?7?ka:?671<6l>16=;m51ec8940c28n<70?84;3g3>;6?<0:on5219c95a1<582i6{t>9o1<775b34<;h7?mf:p253=838p1;>;:0a3?807=388i6s|61494?4|5?:?6;<432?44m2wx?5m50;1e807?3;ho6390882`2=:>9h1=io4=5ab>4e6349397?l1:?ga?7d927n=7?l0:?03`<6lh16?=:51b38966128i;70=?e;3`5>;4990:o=5236195f7<5:=>6c09>7d1=9j;01>l>:0a2?82f13;h=63;ab82g5=:>;;1=n?4=700>4e634<997?k7:?57c<6k816:9751e58932e28nj70:j2;3`5>;3jo0:o<5261295f7<5;:o6;<1;g?44m27==44>c09>264=9j;01?9l:0a2?84>k3;h=63=9g82g4=:=:>1=io4=g095f6<5ol1=n?4=4`a>4e634?ih7?l1:?6f`<6k8169oh51b389a<6k816=<951b38944128i:70?<3;3`5>;6>;0:on5217695f7<58cb9>52d=9ji01<9k:0f4?870m3;h=63>8282g4=:91>1=n?4=0:6>4e634;3n7?k7:?2b28nj70?64;3`5>{t>9=1<775b34<;:7?l0:p7=2=83<3w08?8;3ge>;1800:hl5261c95ad<5?:i6cb9>64d=9m=01>o7:0a`?85f13;o;634e0349h<7?l7:?0g4<6k>16:?:51ec8934228nj708;8;3ge>;1<00:hl5265c95ad<5?>i6cb9>734=9mk01?>j:0a`?82?;3;oh63;8582`a=:<1?1=ik4=5:5>4bb34<9j7?lc:?575<6kj16:>?51ba8935528ih708<3;3gf>;5010:h:5228a95fe<5;i36d69>177=9m=0186::0a2?83?>3;h=63:3282`g=:=:>1=il4=4cf>4b034ih64bf34lo6;4e634?2=7?k7:?6=7<6lh169ol51ba890dd28ih70;md;3`g>;?28ih70?>a;3`g>;6:>0:o:5213:95f1<58826i4>c69>57c=9j=01<3c82`a=:9:i1=ik4=01g>4bb34;8i7?l7:?27c<6k>16=9<51b58942428i<70?;4;3`3>;6<00:o:5215c95f1<58>i6c69>502=9mn01<;::0fg?87213;oi63>5`82``=:94e034;>h7?l7:?226<6kj16=;:51ba8940228ih70?9c;3gf>;6>m0:ho5217d95f7<58=;6;<340?7ci27:;84>d`9>52>=9ji01<9m:0f4?870k3;om63>7e82`d=:91<1=i94=0:b>4bf34;3n7?ka:?2b28ni70?n4;3g3>;6io0:on5rs76e>5<4>r7=<54>dc9>25?=9mh01;>n:0f`?807j3;oo6392382gf=:>;91=nm4=707>4be34<997?kb:?57f<6k916:>j51b28935b28ih708;1<90:o<5265d966c<5???6dc9>21?=9mh01;:n:0f`?803j3;oo6396282g4=:>?>1=n?4=746>4e634<=:7?l1:?522<6k816>k=51b28937228i;708>7;3`4>;5?10:o=5226;95f6<5;3n6?94>db9>b4<6k816j?4>c09>bf<6kj16ji4>cb9>b`<6kj16jk4>cb9>1gd=9m=018ll:0f4?83el3;o;63:bd82gf=:9?i1=im4=04g>4bd34;=i7?lc:?22c<6kj16=:>51ba8941328ni70?85;3gf>;6??0:h:5216595a1<58=369k1=ij4=72a>4bc34<9>7?k7:?566<6l>16:?:51ea8934228nh708;1;l0:h:5262d95a1<5?>;6c19>201=9j:01;:<:31f?80303;oo6394882`f=:>=k1=ij4=76a>4bc34<=?7?lc:?521<6kj16:;;51ba8930128ih70897;3`g>;5n:0:o<5260795f7<5?;=6cb9>24?=9ji01;:81=i94=710>4bd348<57?l1:?13d<6k916>:l51ba8971d28ih70<6b;3`5>;51j0:h:5228f95f7<5;3m6484>cb9>1=0=9ji018=<:0fg?834<3;oh63i1;3`g>;a:3;ho63ic;3g3>;al3;o;63ie;3g3>;an3;o;63:bc82`d=:=ki1=io4=4`g>4bf34?ii7?k7:?6fc<6kj16=;?51e58940528n<70?93;3g3>;6>=0:h:5217795a1<58d69>53`=9m=01<9?:0f4?870<3;oo63>7482`f=:9><1=io4=054>4bf34;<47?ka:?23g<6lh16=:m51e`8941c28ni70?8e;3g3>;60;0:o=5219195fe<582?6d`9>5=g=9mh01<6m:0fa?87?k3;o;63>8e82`2=:91o1=im4}r46`?6=:oq6:=651ef8936>28no708?a;3ga>;18k0:hh5263095ag<5?88684>de9>26e=9j;01;=k:0a`?802<3;h=6395482g4=:><<1=n?4=774>4e634;1=m09?h5267195a1<5?d69>231=9m=01;?9:0a2?806?3;ho63=7982g4=::>k1=n?4=3;f>4e634?8?7?ke:?671<6ll16j<4>d69>b7<6l>16jn4>d`9>ba<6lh16jh4>d`9>bc<6lh169oh51e58941e28ni70?8c;3gg>;6?m0:hn5219095f7<58286d69>5=0=9mh0q~8?8;296~;18109?h5261495f752z?54<<5;l16:=851ba8yv07i3:1>v390`817`=:>9<1=i94}r43f?6=:r7=250=9mk0q~=9d;296~;4>>0:nk5237g966c532y>731=::o01>8m:0a3?805:3;on6392282`g=:>;>1=ik4=706>4bb34<8i7?ka:?57c<6lh16:9>51e58933228ih708:9;3`4>;1>=0:hl5260:95a1<5?;26d`9>267=9mk01;==:0fb?840j3;o;63=7b82`2=::0h1=nm4=3;`>4bf3482h7?lc:?1=`<6kj16>4h51e5890>328n<70;75;3g3>;20?0:h:5252195a`<5<9?64bf34l96nn4>dc9>1gb=9mh018lj:0fb?83en3;om63>6082`d=:9?81=io4=040>4bf34;=87?ka:?220<6lh16=;k51ec8940a28nj70?80;3ge>;6??0:ho5216595ad<58=36d`9>5=b=9mk0q~=98;296~;4>l0:nk5237:966c52z?02=<6k916?;7522g8yv51i3:1>v3<6982g4=:;?k1>>k4}r0e4?6=c09>6c6=::o0187?:0a3?83>83;h=6s|37`94?4|5:4e6349:<7?l1:?031<6k816?:;51b38964f2;9n70=:0;3`4>;4=>0:o=5232695f6<5:9?6;<10f?7d82788?4>c19>71?=9j:01>;j:0a3?xu44e6349;97?lc:?043<6kj16?=h51ba8967728ih70=84;3`g>;4?<0:on5263095ae<5?88684>dg9>216=9mk01;;6:0a2?801=3;om63<4e817`=:;<=1=n?4=27f>4e63482n7?k7:?1=a<6l>16>4k51e5897?a28nj70;74;3ge>;20<0:hl5259495ag<5<986nh4>dc9>1g`=9mh01<8j:0fa?871n3;on63>7182`g=:9>o1=il4=0:1>4ed34;3?7?ka:p702=8388w0=9c;3`g>;48<0:h:5231495a1<5::m6d69>723=9m=01;<=:0fg?805;3;oh6392582g7=:>;?1=n<4=763>4be34<>:7?lc:?51<<6kj16:;851ec896332;9n70=:e;3`g>;51k0:hl5228f95ag<5;3n6494>dc9>1=3=9mh01869:0fa?834;3;h?63:3582g6=:n80:hn52f382`f=:=ko1=im4=4`e>4bd34;=i7?kc:?22c<6lj16=:>51ea8941b28nh70?74;3ge>{t;:;1<7kt=24`>4b0349;97?ka:?043<6lh16?=h51ec8967728nj70=84;3ge>;4?<0:hl5234295f7<5:?<6c09>714=9j;01>:6:0a2?852m3;o;6s|32:94?b|5:dc9>75`=9mh01>??:0fa?850<3;on63<7482`g=:;<:1=nm4=274>4b0349847<f}:;?i1=il4=226>4bd349;:7?kc:?04c<6lj16?<>51ea8961328nh70=85;3gg>;4=90:h:5234595ag<5:9m6?=j;<17=?7c?2789h4>dc9~w621290iw0=9c;3gg>;48<0:hi5231495ab<5::m6de9>723=9mn01>;?:0fb?852?3;on63<47817`=:;0;3ga>;4?=0:hh5236795ac<5?89694>c29>273=9j901;:?:0f`?802?3;ho6395882`2=:>?=1=io4=27a>75b3482n7?kb:?1=a<6lk16>4k51e`897?a28nh70;74;3gg>;20<0:hn5259495ae<5<986nh4>de9>1g`=9mn01<8j:0fg?871n3;oh63>7182`a=:9>o1=ij4=0:6>4bf3ty8:n4?:3y>73e=::o01>8n:0a2?xu3ko0;6?u24b695g`<5=n;6?=j;|q7g1<728lp19m;:31f?82dj3;h=63;cb82g4=:4e734nm64e634o:6;<6:f?7d827?5o4>c09>0dg=9j:019om:0a2?82fk3;h=63;e082g5=:4=5`a>4e6348;o7?l0:?65`<6l>169;d83;h<63=4`82`2=::=h1=i94=36`>4b0348?h7?k7:?2ef<6k816=lj51b2894gb28n<70?nf;3g3>;6j90:h:5rs5a6>5<5s4>o<7?mf:?7g0<5;l1v9m9:18182d=3;h<63;c7817`=z{=i<6=4={<6`1?7d927?o:4=3d9~w4gf2908w0:l8;3`4>;3k10:o<521`c966ch47>52z?7g=<5;l168n951b28yv4313:1?v3;c882g5=:75b3ty?o44?:3y>0f?=::o019m8:0a2?xu3kh0;6?u24bc966c<5=i<6cb9>0de=9ji019j6:31f?82cn3;h<63;e182g5=:4ed34>n?7?k7:?7`0<6k9168i;51b3891e728i;709n:0a3?847i3;h<63=0b82g4=::9n1=nm4=32f>4b034>3=7?l0:?6ed<6l>169ll51e5890gd28n<70;nd;3ge>;2il0:hl52bd82g5=:jl0:o<5258a95a1<5<3o65k4>d69>1d6=9m=0113;o;63>9`82`2=:90h1=i94=0;`>4b034;2h7?k7:?2e5<6l>16=l?51e5894g528n<70?n3;3ge>;6i=0:hl5rs5aa>5<5s4>hn7<1v9ml:18182dk388i63;c682`d=z{=n:6=4>ez?7ga<6kj16=km51b38974728i:70:kf;3`5>;3m90:o<524d395fe<5=o960a0=9j:019m?:0a2?81f28i:70;58k0:o=5249395f7<5mn4>d`9>1db=9mh018oj:0fa?8da28i;70li:0a2?87603;h=63>9882`d=:90k1=io4=0;a>4bf34;2o7?ka:?2=a<6lh16=lm51ba894gc28i:7p};ce83>7}:>k4=5a4>4be3ty545y>0fc=9ji01ih51ba89`6=9m=01h?51e58977028ih70<>8;3g3>;5900:h:5220c95ag<5;;i6c19>672=9j:01?<::0a3?82>k3;h<63;9b82g4=:4b034>jo7?k7:?7a4<6l>168h<51ec891c428ni70:mc;3`4>;3jj0:o<5221a95fe<5;:o6cb9>0=3=9j801969:0a1?83fi3;on63:ac82`g=:=hi1=il4=4cg>4bd34?ji7?kc:?`4?7d927h=7?lc:?4`?44m27?j;4>c19>1m3;om63:9g82`d=:=h:1=io4=01`>4e534;8h7?l2:?21<<6k;16=8o51b0894?528i:70?63;3`5>;61=0:on5218795a1<583=6dc9>5l3;on63>a182`d=:9h;1=io4=0c1>4bf34;j?7?kb:?2e1<6lk16=lj51ba894d428n<70?m4;3g3>;6j<0:h:521c495a1<58h<67}:;1>1=oh4=2:b>75b3ty84;4?:3y>7=g=9kl01>69:31f?xu40<0;6?u239c9a2=:;1?1>>k4}r1;3?6=:r784;4>c19>7=1=::o0q~=78;296~;40?0:o<5239:966c55z?0<=<6k916?5651b38961>2;9n70=8d;3gf>;4?l0:ho5rsd094?5|5mk1=oh4=d695f7<5l91>>k4}rfb>54ed34nm64bf34o:6c19>116=9j:018m6:0a;?83di3;h463>4482g==:9=<1=n64=0c4>4e734;j47?ka:p`g<72;q6i94>c19>`g<5;l1vh:50;0x9`2=::o01h=51cd8yvbd2909w0jm:0a3?8bd2;9n7p}kd;296~;cj3;h=63kd;00a>{tll0;6?u2dd817`=:lm0:o=5rs5:b>54be34o:6d`9>0de=9mk019ji:0a`?82b83;ho63;d782g4=:<1k1>>k4=32a>4e63ty:ih4?:02x9a`=9mh01h>51ea89`7=9mi019on:0fb?82fj3;on63;ab82`g=:9lo1>>k4=0d0>4b034;m87?k7:?2b0<6l>16=k851e5894`028n<70:<0;3g3>;3;80:h:5242095a1<5=986a5<5;l16hi4>cb9~w`7=838p1h?522g89ab=9m=0q~<>c;297~;5980:nk5220g95f7<5;;o6?=j;|q157<72;q6>7}::8o1>>k4=33g>4da3ty9=>4?:3y>644=9j:01??<:31f?xu59=0;6?u220095f7<5;;?6?=j;|q2=5<72:q6><;51b28977228i:70?60;00a>{t:8?1<775b348:87?l0:p5g4=839p1??9:0a3?846>3;h=63>b3817`=z{;;=6=4={<022?44m279=94>c09~w7702909w0<>7;00a>;59=0:on5rs33;>5<5s48:47<1v??6:1818461388i63=1582`d=z{;;j6=4={<02e?44m279=94>dc9~w77e2909w0<>b;00a>;59=0:hn5rs25e>5<4s49<57?mf:?0<6<6k816?5>522g8yv50i3:1>v3<8282g5=:;>k1>>k4}r1;7?6=:r784>4=3d9>7=6=9kl0q~=71;296~;40;0:o=52393966c7>52z?0<7<5;l16?5>52dd8yv50j3:1>v3<7`82g5=:;>h1>>k4}r14g?6=:r78;l4>c09>72e=::o0q~=8d;296~;4?m09?h5236a95f652z?03`<5;l16?:m51b38yv47:3:1>v3>fc82fc=::991>>k4}r3e`?6=:r79<>4>bg9>5cb=::o0q~?ic;296~;58:0n;63>fb817`=z{8ln6=4={<3e`?7d827:jh4=3d9~w4`a2909w0?id;3`5>;6no09?h5rs323>5<5s48;<7<c09~w7412909w0<>f;3ab>;5:>09?h5rs302>5<5s489;7?mf:?164<5;l1v?{t:;81<74e73489>7<:0a2?845;388i6s|23694?4|5;8?6?=j;<017?7d82wx8o>50;0x974228i:70:m0;00a>{t:;?1<775b3489?7?l1:p751=839p1>>?:0`e?85713;h=63<09817`=z{::;6=4>cz?045<5;l16?=:51ba8966228nn70=?6;3ga>;4jl0:o4523cd95f?<5:i;6:4>c89>57>=9j301<<6:0a:?875i3;h563>2e82g<=:9;o1=n74=00e>4e>34;8i7?l9:?27c<6k016=9<51b;8942428i270?;4;3`=>;6<00:o45215c95f?<58>i6c89>50d=9j301<;l:0a:?872l3;h56s|31394?4|5::262;9n70=?8;3ab>{t;981<74e7349;>7<>>:0a2?857;388i6s|31694?4|5::?6?=j;<137?7d82wx?=;50;0x96622;9n70=?3;3`5>{t;9<1<775b349;?7?lc:p743=839p1>>n:0`e?856?3;h=63<17817`=z{::j6=4n{<13e?44m278

cb9>75`=9ml01>??:0fe?85>?3;h<63;:0a2?83=9j;01;4>c09>3?7d927:m:4>c09~w6732909w0=>1;3ab>;49=09?h5rs232>5<4s49:=7<:851b38yv57j3:1>v3<1682g5=:;9h1>>k4}r123?6=:r78=:4=3d9>740=9kl0q~=?c;296~;48k0:o=5231a966c52z?04g<6k816?=j522g8yv57m3:1>v3<0d817`=:;9n1=n>4}r15b?6=>r78c39>746=9j801>8i:31f?850;3;ho63<7582`c=:;>?1=ih4}r13b?6=:r7875b=9j;0q~=>0;296~;49909?h5231f95fe519y>744=9j;01>?<:0a3?804>388i63=7182g4=::>;1=n>4=3:e>4e63482<7?l0:?1=7<6k816>4=51b2897d528i:70;5j<0:o<522c495f6<5;ho6;<0aa?7d9279o;4>c09>6f`=9j;01?j?:0a2?83493;h<63:3082g4=:kj0:hl52ce82`g=:9?21=n?4=04:>4e73ty8=?4?:3y>744=::o01>?;:0a3?xu49:0;6?u2301966c<5:;?6;|q033<72:q6?;h51cd8961?28i:70=87;00a>{t;>:1<74e7349<<7<=838p1>97:31f?850?3;ij6s|36394?4|5:=;6{t;>91<775b349<>7?l0:p722=838p1>9;:31f?850:3;h=6s|36794?4|5:=>6?=j;<146?7dk2wx?4750;0x96?528hm70=6a;00a>{t;081<7lt=2;1>75b3492;7?l1:?0==<6lh16?nj51b28902628i;70;l9;3`=>;2kh0:o45215795f?<58>=6dc9~w6?42909w0=6a;3ab>;41:09?h5rs2;7>5<5s492?7?l0:?0=1<5;l1v>7::18185>;3;h=63<94817`=z{:3=6=4={<1:2?44m278584>c19~w6?02909w0=67;00a>;41<0:o<5rs2;;>5<5s49247<o>:18185>j3;ij63c09>7fc=9j:018:=:0a3?83d13;hm63:c`82gd=:9=?1=no4=065>4ef34;j;7?k7:?2e=<6lj1v>7l:18185f:3;ij63<9b817`=z{:3o6=4={<1:g?7d82785i4=3d9~w6?b2909w0=6c;3`5>;41l09?h5rs2;e>5<5s492j7<o?:18185f8388i63<9d82g4=z{:kj6=4={<1b7?7en278mo4=3d9~w6g4290>w0=n3;00a>;4i10:h:523`;95ag<5;n9652z?0eg<6jo16?l:522g8yv5f=3:1>v3>k4}r1b2?6=:r78m94>c09>7d0=::o0q~=n7;296~;4i>09?h523`495f655z?0e=<6lh16?l751e`8934>2;9n70;?28nj7p}7}:;h21>>k4=2c5>4e63ty8m44?:3y>7d?=::o01>o9:0a`?xu4j>0;6?u23`a95g`<5:h36?=j;|q0ef<7282p1>ol:31f?85e:3;h=631=i94=5:0>4ba34>387?kf:?7<0<6k:1685851b1890e>28ii70?<4;3`5>;6;<0:h:5212495a1<589<6c29>56b=9j901<:::0aa?87293;h=63>5382`2=:9<91=i94=077>4ba34;>97?kf:?21<<6k:16=8o51b18yv5e>3:1>v3>k4}r1a1?6=;r78n84=3d9>540=9j:017}:;hn1=n?4=2ce>75b3ty?4?4?:2y>7g6=9j:01>l?:0a2?82?:388i6s|3c294?4|5:h;6?=j;<1bb?7d82wx?o?50;0x96d62;9n70=nf;3`5>{t4>d`9>7g2=9mk019o::31f?82f13;ho63;a`82`g=:4bd34>3?7?l2:?7<1<6k;1685;51b6891>128i?70;la;3`f>;6;=0:on5212795ag<589=6c39>56e=9j>01<=k:0a7?873>3;hn63>5082gf=:9<81=io4=070>4bf34;>87?l2:?210<6k;16=8751b68943f28i?7p}7}:;k81>>k4=2ce>4ed3ty8n>4?:3y>7g5=::o01>oi:0f4?xu4j=0;6?u23c6966c<5:km64?|5:h26?=j;<1aa?7di278nk4>c`9>7f6=9jk01>m>:0ab?875?3;hm63>2982gd=:9;31=no4=00b>4ef34;9h7?la:?26`<6kh16=?h51bc8945b28ij70?;6<;0:ol5215195fg<58>?6c`9>51d=9jk01<:l:0ab?873l3;hm63>5c82gd=:94ef3ty8o>4?:3y>7f4=9kl01>m<:31f?xu4k;0;6>u23b0966c<58;n6{t;kh1<74e7349in7<ln:0a2?85ek388i6s|13794?5|5:ho684=3d9~w6dc2909w0=md;00a>;4jj0:o=5rs2`f>5<5s49ii7<li:18185en388i63d69~w6e62909w0=l1;00a>;4jj0:hl5rs2f2>5<5s49h:7?mf:?0`7<5;l1v>m9:1822~;4k?09?h523ba95f7<5:io6;<1`a?7d9278ok4>c19>7a6=9j:018h=:0a2?87313;hn63>4`82gg=:9=h1=nl4=06`>4ee34;?h7?lb:?214<6l>16=8<51e`8943428ni70?:4;3`7>;6=<0:o>5214;95f3<58?j6cc9>50b=9jh0q~=l7;296~;4l;0:nk523b5966c52z?0g2<6k916?n6522g8yv5d13:1>v3>k4}r37b?6=;r78ol4>c19>7fg=9j;01<:i:31f?xu4kh0;6?u23bc966c<5:i26{t;jh1<775b349h57?l1:pb?6=9>q6?nm51ba896ec28ih70=le;3`g>;4ko0:o<523e295f7<5ce9>51d=9jn01<:l:0ag?873l3;hh63>5082`d=:9<81=im4=070>4bd34;>87?l4:?210<6k=16=8751b48943f28i=70?:b;3``>;6=j0:oi5214f95fb52z?0gf<5;l16?n751ba8yv5dl3:1>v37f?=9mk0q~?>4;293~;4ko0:on5255195f6<5513=9jn01<:9:0ag?xu4ko0;6?u23bd966c<5:i265<0s49o<7?lc:?601<6k9169n751bd890ef28im70o522g8942228in70?;6;3`a>{t;m:1<775b349h57?kc:p0<3=838p197?:0`e?82>>388i6s|48094?4|5=3=6:31f?xu31:0;6?u248095f6<5=386?=j;|q7=1<72;q684<51b3891?32;9n7p};b683>2}:<0;1=n>4=5;2>4e634>i=7?l0:?7f4<6k8168o9522g891`228i;70:i5;3`5>{t4da34>j87?l1:?7e6<5;l1v978:18582>?388i63;9g82g4=:4ed34;2>7?lc:?2=6<6kj1v977:18182f<3;h<63;99817`=z{=k?6=4={<6b0?44m27?m>4>bg9~w1?>2909w0:68;3`4>;31009?h5rs5;b>5<5s4>247?l1:?7=d<5;l1v97m:18182>j388i63;9`82g5=z{=3h6=4={<6:g?44m27?5l4>c09~w4722908w0:6d;3`4>;31m0:o<52107966c2h7>52z?7=a<5;l1684o51ba8yv76l3:1?v3;9d82g5=:<0o1=n?4=03g>75b3ty?5h4?:3y>0522g891?f28ni7p};a083>7}:>k4=5;b>4bd3ty?mi4?:2y>0d3=9kl019oi:0a2?82fm388i6s|4`494?4|5=km6{t4e734>j;7<=838p19o9:0a2?82f0388i6s|4`;94?4|5=k26?=j;<6b{t75b34>j47?lc:p0de=838p19ol:31f?82f03;o;6s|4c794?4|5=h;6{t`1<5=h:6?=j;|q7f6<72;q68o<51b2891d42;9n7p};b583>7}:75b3ty=>;4?:2y>24e=9kl01;<7:0a2?805?388i6s|60a94?e|5?;h6?=j;<415?7dk27=>?4>dg9>275=9ml01;<;:0a7?805=3;h863=d`82`2=:=:91=n;4=417>4e234?3i7?k7:?6=4<6lh1694<51e`8yv06l3:1>v392982g5=:>8n1>>k4}r4154=3d9>271=9kl0q~8>e;296~;19m0:o=5260g966c52z?55a<6k816:;:1=n?4=411>75b3ty=>=4?:3y>276=::o01;?i:0a3?xu1:80;6?u2633966c<5?;m6;|q567<72;q6:?<522g8937a28ih7p}92283>7}:>;91>>k4=73e>4b03ty=>94?:3y>272=::o01;?i:0fb?xu1:<0;6?u2637966c<5?;m6o51cd8902028hm70;;6;00a>{t===1<7?:{<773?44m27>8=4>c09>117=9j;018:=:0a2?833;3;h=63:4582g4=:9;n1=nl4=00f>4ee34;9j7?lb:?271<6l>16=>;51e`8945128ni70?<7;3`7>;6;k0:o>5212a95f3<589o6cc9>514=9jh01<:<:0aa?873<3;hn6s|52`94?4|5<>=6m50;0x905e28i;70;{t=:n1<74e634?8h7<30817`=z{<9n6=4={<70a?44m27>?i4>c19~w45>2908w0;;2;o0:o<5212;966c52z?67c<5;l169>j51b38yv3383:1>v3:41817`=:=:n1=nm4}r775?6=:r7>8<4=3d9>16b=9m=0q~;;2;296~;2<;09?h5252f95ag52z?606<5;l169>j51e`8yv33<3:1>v3:45817`=:=:n1=im4}r475?6=:r7=?;4>bg9>214=::o0q~8<7;296~;1<;0:nk52625966c52z?572<6k916:>6522g8yv0413:1>v393682g4=:>:31>>k4}r7ae?6=;r7=?l4>c19>26g=9j;018ln:31f?xu1;h0;6?u262c966c<5?926l51b28935e28i:70?82;00a>{t>:h1<775b34<857?l1:p26e=838p1;=l:31f?80413;ho6s|62f94?4|5?9o6?=j;<40=?7c?2wx9kj50;3`804m3;on6393g82`g=:><<1=i94=774>4b034?mh7<;1;80:ho5262095ad<5;=i6dc9>1gd=9mi018ll:0f`?83el3;oo63>6082`g=:9?81=il4=040>4be34;=87?kb:?220<6lk16=:851ea8941028nh70?88;3gg>;60;0:h:5219a95ad<582o6k522g8935>28nj7p}93g83>7}:>:l1>>k4=71:>4be3ty=8=4?:3y>216=::o01;=6:0f`?xu1=h0;6>u265d95g`<5??h6;<46f?44m2wx:8750;0x933?28hm708:9;00a>{t><21<7=t=77;>75b34;357?l0:?2<<<6k81v;;?:181802k3;h<63951817`=z{??h6=4={<46g?44m27=9o4>bg9~w3362909w08:0;3`4>;1=809?h5rs771>5<5s4<><7?l1:?517<5;l1v<6?:180802;3;h<6395282g4=:91:1>>k4}r467?6=:r7=9>4=3d9>204=9j:0q~8:4;296~;1==09?h5264095f797>52z?510<5;l16:8<51ba8yv02>3:1>v3957817`=:><81=i94}r463?6=:r7=9:4=3d9>204=9mk0q~8;c;297~;1<:0:nk5265g95f7<5?>o6?=j;|q501<72;q6:9k51b2893232;9n7p}94d83>7}:>=o1>>k4=76g>4da3ty=884?:3y>212=9j:01;:::31f?xu1=6?=j;|q502<72;q6:99522g8932128i;7p}94983>7}:>=21>>k4=765>4e63ty=844?:3y>21?=::o01;:9:0a`?xu1=66}:>4e634<=57<{t>?:1<74e634<=<7<80;6?u2673966c<5?<;6c19>234=9j;01k>522g8yv01:3:1>v3963817`=:>?:1=n?4}r457?6=:r7=:>4=3d9>236=9ji0q~894;296~;1>=09?h5267295a152z?520<5;l16:;>51ec8yv01>3:1>v3967817`=:>?:1=il4}r453?6=:r7=::4=3d9>236=9mi0q~:94;296~;3=m0:nk52477966c>i7>52z?720<6jo1688k522g8yv22n3:1>v3;5d82g5=:<>k4}r654?6=:r7?9h4>c09>036=::o0q~:91;296~;3>809?h5247295f6=>7>52z?727<5;l168;>51b38yv23;3:18v3;6282`d=:9l31=nm4=560>75b34=?67}:<=31=oh4=573>75b3ty?8l4?:3y>006=9kl019:n:31f?xu3i6?=j;|q70f<72;q689o51b38912d2;9n7p};4e83>7}:<=n1>>k4=56`>4e73ty?8h4?:3y>01c=::o019:l:0a2?xu3=h0;6>u244395g`<5=?h6;<66f?44m2wx88<50;0x913d28i;70::2;00a>{t<75b34>>n7?mf:p005=838p19;=:0a3?822;388i6s|44694?4|5=?96;<660?44m2wx88;50;0x91322;9n70::4;3`4>{t<;h1<7;t=575>4bf34;nm7?k7:?2b1<6lj16=k;51ea8914e2;9n7p};5783>7}:<<<1>>k4=577>4e63ty8h>4?:4y>001=9mk013;oo63;3082`f=:;m91>>k4}r1g=?6==r7?9:4>dc9>5`d=9mk01cb9~w6cd290>w0::8;3ge>;6n>0:hn523da966c<5=996;4n;09?h5242095ab<5=oo60}:<<31=io4=513>4bd34>8?7?kc:?723<5;l1698<51e58yv21k3:19v3;5882`g=:<::1=ij4=510>4bc34>=o7<;3lh09?h5rs5fa>5<5s4>om7?l0:?7`g<5;l1v9jl:18182ci3;h=63;db817`=z{8336=4<{<6g`?7d827?hi4>c09>5<>=::o0q~:kd;296~;3lm09?h524ea95f653z?7``<6k9168ik51b3890g>2;9n7p};dd83>7}:>k4=5f`>4e63ty?hk4?:3y>0a`=::o019jl:0a`?xu3m90;6?u24d2966c<5=nh67}:>k4=5f`>4be3ty?i>4?:3y>0`5=::o019jl:0f`?xu4no0;6>u23g:95g`<5=::6;<634?44m2wx?k750;0x916628i;70=i9;00a>{t<9;1<775b34>;<7?mf:p7cg=838p1>h6:0a3?85ai388i6s|3g`94?4|5:l26;<1ef?44m2wx8>950;`x96`d28i:70=id;3gf>;3810:o=5240395f6<5=;j6;<62f?7c?27?>94>c09>073=9mk019=8:31f?82383;h<63;4182g4=z{=9o6=46{<1eg?7dk278jh4>dc9>05>=9j;019?>:0a2?826i3;ho63;1b82`2=:<;>1=nm4=505>4bf34>8h7<hl:31f?85aj3;h<6s|3gf94?4|5:lo6?=j;<1ef?7d92wx?kk50;0x96`b2;9n70=ib;3`g>{t<931<74da34>;m7<n:0`e?827;388i6s|41694?4|5=:86{t<9<1<775b34>;97?l0:p051=838p19>8:31f?827=3;h=6s|41:94?4|5=:36?=j;<631?7dk2wx8<:50;0x916e28hm70:>5;00a>{t<9i1<74da34>;o7<l:0a3?827l388i6s|41g94?4|5=:h6;<63a?44m2wx8=h50;0x916a2;9n70:?e;3`4>{t<8:1<775b34>;i7?l1:p047=838p19?>:31f?827m3;ho6s|40094?4|5=;96?=j;<63a?7c?2wx8<=50;0x91742;9n70:?e;3ge>{t4da34>o47<{t75b34>o87?l0:p0a0=838p19j9:31f?82c<3;h=6s|40f94?5|5=;=6f;3`4>;39>09?h5rs53e>5<5s4>:j7<a;00a>;3900:o=5rs53a>5<5s4>:n7<l4>c09>07?=::o0q~:=1;296~;3:h0:o=52433966c9m7>52z?76d<5;l168?751cd8yv25:3:1>v3;2082g5=:<;81>>k4}r617?6=:r7?><4>c09>075=::o0q~:=4;296~;3:=09?h5243195f6997>52z?760<5;l168?=51b38yv25>3:1>v3;27817`=:<;91=nm4}r613?6=:r7?>:4=3d9>075=9m=0q~==e;297~;4:h0:nk5232295f7<5:8m6?=j;|q06g<72;q6?>>51b28964e2;9n7p}<3183>7}:;::1>>k4=20e>4da3ty8>n4?:3y>77d=9j:01>{t;=o1<74e7349?i7<;<:31f?852:3;ij6s|35d94?4|5:>n650;0x962b28i:70=:0;00a>{t;<21<7=t=277>4da349>m7?l1:?01<<5;l1v>;::181852i3;h<63<54817`=z{:?j6=4={<16e?44m278944>bg9~w6312909w0=:5;3`4>;4=?09?h5rs274>5<5s49>97?l1:?012<5;l1v96j:18182?i3;ij63;8g817`=z{=2i6=4={<6;b?7en27?4o4=3d9~w1>d2909w0:7b;3`4>;30j09?h5rs5:g>5<5s4>3n7?l1:?7=::18085493;ij63<3682g4=:;:<1>>k4}r106?6=:r78?:4>c19>764=::o0q~=<7;296~;4;>09?h5232495g`52z?077<6k916?>=522g8yv54<3:1>v3<3382g4=:;:>1>>k4}r10g?6=;r78?54>bg9>76c=9j;01>=k:31f?xu4;00;6?u232g95f6<5:926?=j;|q07`<72;q6?>k522g8965c28hm7p}<3`83>7}:;:31=n>4=21b>75b3ty8?o4?:3y>76?=9j;01>=m:31f?xu4<:0;6>u232d95g`<5:>>6;<170?44m2wx?9>50;0x962228i;70=;0;00a>{t;=?1<775b349?87?mf:p717=838p1>:?:0a3?8539388i6s|35094?4|5:>;6;<176?44m2wx?9o50;1x962128hm70=;c;3`5>;45<5s49?o7?l0:?002<5;l1v>:l:181853k388i63<4c82fc=z{:>36=4={<173?7d8278854=3d9~w62>2909w0=;7;3`5>;4<009?h5rs5a1>5<5s4>i;7?mf:?7g6<5;l1v9l7:18182d;3;ij63;b9817`=z{=h26=4={<6a;3jh09?h5rs5`a>5<5s4>in7<c09>6d0=::o0q~:md;296~;3jm09?h524cc95fe53z?7f`<6k9168ok51b3897>42;9n7p};bd83>7}:>k4=5`b>4b03ty?nk4?:3y>0g`=::o019ln:0fb?xu3k90;6?u24b2966c<5=hj67}:=on1=oh4=721>75b3ty>jh4?:3y>254=9kl018hj:31f?xu2no0;6?u25gg95f6<5eb83>7}:9l;1=oh4=0gg>75b3ty:i?4?:3y>5`b=9kl016}:9l?1=n>4=0g6>4e634>no7<9<4=3d9~w4c12909w0?j6;00a>;6m=0:o<5rs0g4>5<5s4;n;7<e582`2=z{8o26=4={<3f=?44m27:i94>d`9~w4cf2909w0?ja;00a>;6m=0:ho5rs0ga>5<5s4;nn7<f`82g4=:9o31>>k4}r3fb?6=:r7:jl4>c19>5``=::o0q~?ia;296~;6nh09?h521g;95g`52z?2ac<6k916=k>522g8yv7a93:1>v3>eg82g4=:9o;1>>k4}r61b?6=;r7:j?4>c19>5c4=9j;019f583>7}:9o>1>>k4=0d2>4ed3ty:j84?:3y>5c3=::o01:0f4?xu6n?0;6?u21g4966c<58l:67}::mn1=oh4=3g1>75b3ty9hi4?:4y>6ab=::o01?k9:0a3?84b>3;h=63=d482g5=::=?1=n>4}r0ga?6=:r79i?4>bg9>6ac=::o0q~52z?1``<6k816>h>522g8yv4b?3:1>v3=e282fc=::l21>>k4}r0f7?6==r79i>4=3d9>6`e=9j:01?kl:0a2?84c=3;h=63=4482g4=z{;o?6=4={<0f;5m<09?h5rs3g5>5<5s48n87?l1:?1a3<5;l1v>8;:180852j3;ij63<6782g4=:;??1>>k4}r157?6=:r789k4>bg9>735=::o0q~=:f;297~;4=o09?h525c;95f6<5;|q01f<72;q6?;851b28963d2;9n7p}<6783>7}:;?<1>>k4=246>4da3ty89i4?:3y>70e=9j:01>;k:31f?xu4=l0;6?u234a95f7<5:?n6?=j;|q025<72;q6?;>522g8960428i;7p}<6083>7}:;?;1>>k4=240>4e63ty8:?4?:3y>734=::o01>8<:0a`?xu3;k0;6?u242595g`<5=9h6?=j;|q77=<72;q68>m51cd8915?2;9n7p};3883>7}:<:21=n>4=51:>75b3ty??l4?:3y>06>=9j;019=n:31f?xu3<>0;6?u245195g`<5=>36?=j;|q701<72;q689651cd891232;9n7p};4483>7}:<=>1=n>4=566>75b3ty?8;4?:3y>012=9j;019:9:31f?xu58=0;6>u245495f6<5=>=6;<030?44m2wx>?m50;0x974?28hm70<=d;00a>{t:;21<7:t=30;>75b3488=7?l0:?174<6k816>9;51ba8yv4513:1>v3=2e82fc=::;31>>k4}r01e?6=:r79>44>c19>67g=::o0q~<=b;296~;5:00:o<5223`966c52z?1a<<6jo16>hk522g8yv4b13:1?v3=e8817`=::m?1=nm4=366>4b03ty9il4?:3y>6`c=9kl01?kn:31f?xu5mk0;6?u22dc95f6<5;oi6?=j;|q1af<72;q6>ho51b3897cd2;9n7p}=f583>7}::o:1=oh4=3d6>75b3ty9j<4?:3y>6c3=9kl01?h>:31f?xu5n;0;6?u22g395f6<5;l96?=j;|q1b6<72;q6>k?51b3897`42;9n7p}7}:;l:1=oh4=2g6>75b3ty8i=4?:4y>7`6=::o01>k6:0a3?85b13;h=63:1082g5=:=881=nm4}r1f5?6=:r78i84>bg9>7`7=::o0q~=j2;296~;4m80:o=523d0966c52z?0a4<6k816?h=522g8yv5a83:1>v3>k4}r1f`?6=:r78j<4>bg9>7`b=::o0q~=je;296~;4mm0:o=523dg966c52z?0aa<6k816?hh522g8yv5a>3:1>v3>k4}r1e7?6=:r78j:4>bg9>7c5=::o0q~=i4;296~;4n:0:o=523g6966c52z?0b6<6k816?k;522g8yv24=3:1>v3;2c82fc=:<:<1>>k4}r600?6=:r7?>k4>bg9>062=::o0q~:=c;296~;3;?0:nk5243a966c9h7>52z?76f<6k9168?j522g8yv25m3:1>v3;2b82g4=:<;o1>>k4}r604?6=:r7??=4=3d9>062=9j:0q~:<1;296~;3;809?h5242695f78>7>52z?777<5;l168>:51ba8yv24;3:1>v3;32817`=:<:>1=i94}r675?6=:r7??i4>bg9>014=::o0q~:8j7>52z?77`<6k9168>h522g8yv2383:1>v3;3d82g4=:<=:1>>k4}r642?6=:r7?;?4>bg9>021=::o0q~:83;296~;3?>0:nk52461966c<87>52z?736<6k9168::522g8yv20=3:1>v3;7282g4=:<>?1>>k4}r64g?6=:r7?;54>bg9>02b=::o0q~:89;296~;3?m0:nk5246;966c52z?73<<6k9168:o522g8yv20j3:1>v3;7882g4=:<>h1>>k4}r0gf?6=:r79h94>bg9>6ae=::o0q~52z?1`<<6jo16>io522g8yv4c13:1?v3=d8817`=:=0k1=n>4=4;b>4e63ty9h;4?:3y>6ae=9kl01?j9:31f?xu5l<0;6?u22ea9a2=::m?1>>k4}r0g3?6=:r79h;4>c19>6a1=::o0q~55z?1`d<6lh16:=h522g890>b28nj70;61;3gf>;21;0:hn5rs6794?4|5?o1=oh4=64966c75b34>nn7?l0:p2c<72;q6;;4>bg9>2c<5;l1v:>50;0x93`=9j:01:>522g8yv162909w08i:0a2?8162;9n7p}82;296~;0:388i6381;3`4>{t?:0;6?u272817`=:?80:o<5rs6694?4|5>>1>>k4=6395fe4da34=h6?=j;|q43?6=:r7<;7<2909w097:0a3?81>2;9n7p}8a;296~;003;h=638a;00a>{t:9l1<74da348:<7<6=950;0x976228i:70{t=0h1<7=t=32;>4e7348;47?l1:?6=g<5;l1v?>7:1818470388i63=0682g5=z{83m6=4<{<03=?7d8279<44>c09>5<`=::o0q~52z?14d<5;l16>=951ba8yv47j3:1>v3=0c817`=::9=1=i94}r03g?6=:r79651=9mk0q~52z?14`<5;l16>=951ea8yv44:3:1>v3=2d82fc=:::91>>k4}r01a?6=:r79>h4=3d9>613=9mh0q~<=f;296~;5;:0:nk5223d966c52z?16c<6k916>>>522g8yv4493:1>v3=2g82g4=:::;1>>k4}r1:4?6=:r784n4>bg9>7<7=::o0q~=7d;296~;4180:nk5239f966c52z?0v3<8e82g4=:;1l1>>k4}r1g3?6=:r78h>4>bg9>7a>=::o0q~=k4;296~;4l10:nk523e6966c52z?0`1<6k916?i;522g8yv5c>3:1>v3>k4}r1g`?6=:r78h44>bg9>7ac=::o0q~=ka;296~;4ll0:nk523ec966c52z?0`d<6k916?il522g8yv5ck3:1>v3>k4}r1fe?6=:r78i;4>bg9>7`d=::o0q~=j6;297~;4m?09?h5250295f7<5<;:6;|q0a2<72;q6?hl51cd896c02;9n7p}7}:;l=1=n>4=2g;>75b3ty8i44?:3y>7`1=9j;01>k6:31f?xu3>h0;6?u247495g`<5=7}:4=54;>75b3ty?:44?:3y>031=9j;01986:31f?xu3?90;6?u247a95g`<5==:6?=j;|q72a<72;q68:?51cd8910c2;9n7p};6d83>7}:4=54f>75b3ty?:k4?:3y>03b=9j;0198i:31f?xu3010;6?u246g95g`<5=226?=j;|q73`<72;q68:k522g891`128i:7p};8683>7}:<181=oh4=5:4>75b3ty?;k4?:3y>0=?=9kl0199i:31f?xu3090;6?u246d95f6<5=2;6?=j;|q7<4<72;q68:h51b3891>62;9n7p};8283>7}:<191>>k4=5:4>4e73ty?494?:3y>0=2=::o01968:0a2?xu30<0;6?u2497966c<5=2<6028n<7p}91`83>7}:>9l1=oh4=73a>75b3ty===4?:3y>24d=9kl01;??:31f?xu1980;6?u260295f6<5?;:6?=j;|q557<72;q6:<>51b3893752;9n7p}>5g83>6}:>891=n>4=730>4e634;>j7<4;00a>;19;0:o<5rs736>5<5s4<:97<388i6391382`2=z{?;<6=4={<423?44m27==?4>d`9~w37?2909w08>8;00a>;19;0:ho5rs73:>5<5s4<:57<l4=3d9~w34e2909w08=a;3`4>;1:k09?h5rs70`>5<5s4<9m7?l1:?56f<5;l1v?98:180805l3;h<6392e82g4=::>=1>>k4}r41`?6=:r7=>i4=3d9>27e=9j:0q~?78;297~;1:l0:o=5263g95f7<58236?=j;|q56`<72;q6:?k522g8934d28i:7p}92g83>7}:>;l1>>k4=70`>4ed3ty=?=4?:3y>266=::o01;<522g8934d28ni7p}93283>7}:>:91>>k4=70`>4bd3ty9;?4?:3y>63e=9kl01?9=:31f?xu5>m0;6?u226095g`<5;;j51b28970b2;9n7p}=6g83>7}::?n1=n?4=34e>75b3ty9;=4?:3y>626=::o01?8i:0a3?xu5?80;6?u2263966c<5;;|q13`<72;q6>:=51cd8971b2;9n7p}=7e83>7}::>=1=oh4=35g>75b3ty9;94?:3y>62c=9kl01?9;:31f?xu5?<0;6?u226695f6<5;=>6?=j;|q133<72;q6>::51b3897112;9n7p}=7983>7}::>21>>k4=35g>4e73ty9;44?:3y>62?=::o01?9k:0a2?xu5?h0;6?u226c966c<5;=o6:l522g8971c28n<7p}=7b83>7}::>i1>>k4=35g>4bf3ty94l4?:3y>62`=9kl01?6n:31f?xu5000;6?u229195g`<5;226?=j;|q1<5<72;q6>5o51cd897>72;9n7p}=8083>7}::1:1=n>4=3:2>75b3ty94?4?:3y>6=6=9j;01?6=:31f?xu5j=0;6>u229095f6<5;296;<0a0?44m2wx>5:50;0x97>32;9n70<79;3`4>{t:1?1<775b348357?l1:p6=0=838p1?69:31f?84?13;ho6s|29594?4|5;2<6?=j;<0;=?7c?2wx>5650;0x97>?2;9n70<79;3ge>{t:0?1<74da348297<:0`e?84><388i6s|28394?5|5;3:6?=j;<0b1?7d8279m84>c09~w7>d2909w0<65;3ab>;50j09?h5rs3:g>5<5s483o7?l0:?1c19~w7?72909w0<60;00a>;50l0:o<5rs3;1>5<5s482>7<;388i63=9582g4=z{;k:6=4={<0:2?7en279m<4=3d9~w7g72909w0<6a;3ab>;5i909?h5rs3;4>5<5s48j=7?mf:?1=2<5;l1v?77:18184>?3;h<63=99817`=z{;326=4={<0:3?7d9279544=3d9~w4002908w0<69;3`4>;5100:o<52175966c52z?1=g<5;l16>l>51b28yv4>k3:1>v3=9b817`=::h:1=n?4}r0:`?6=:r795i4=3d9>6d6=9ji0q~<6e;296~;51l09?h522`295a152z?1=c<5;l16>l>51ec8yv4fl3:1>v3=a382fc=::hn1>>k4}r0bg?6=:r79m;4>bg9>6de=::o0q~52z?1e6<6k916>l:522g8yv4f=3:1>v3=a282g4=::h?1>>k4}r0b3?6=:r79m:4=3d9>6de=9j:0q~52z?1e<<5;l16>lm51ba8yv4fi3:1>v3=a`817`=::hi1=i94}r0bf?6=:r79mo4=3d9>6de=9mk0q~52z?1f1<6jo16>o9522g8yv4fn3:1>v3=b982fc=::hl1>>k4}r0a4?6=:r79mk4>c19>6g6=::o0q~7>52z?1f7<5;l16>o?51b28yv4e;3:1>v3=b2817`=::k;1=n?4}r0a1?6=:r79n84=3d9>6g1=9j:0q~52z?1f<<6jo16>oh522g8yv4ei3:1>v3=bg82fc=::kk1>>k4}r0af?6=:r79nl4>c19>6gd=::o0q~52z?1fa<5;l16>om51b28yv4em3:1>v3=bd817`=::ki1=n?4}r0`e?6=:r79o=4>bg9>6fg=::o0q~7>52z?1g4<6k916>n<522g8yv4d;3:1>v3=c082g4=::j91>>k4}raa>5<4s48h87?l0:?1g1<6k816oo4=3d9~w7e32909w0;5k:0:o=5rs3a6>5<5s48h97<388i63=c282gf=z{;i<6=4={<0`3?44m279o>4>d69~w7e?2909w0;5k:0:hl5rs3a:>5<5s48h57<;428i;70=51b38yv4dk3:1>v3=d282fc=::ji1>>k4}r0``?6=:r79on4>c19>6fb=::o0q~52z?1gc<5;l16>nk51b28yv4c83:1>v3=d1817`=::jo1=n?4}r717?6=:r7>=:4>bg9>175=::o0q~;=2;296~;29m0:nk52530966c53z?65a<5;l16=ll51b2894ge28i:7p}:1983>7}:=;91=oh4=43;>75b3ty>=44?:3y>14>=9j:018?6:31f?xu29h0;6?u250:95f7<5<;j6?=j;|q65g<72;q69a783>6}:=8i1=n>4=43`>4e634;j:7<{t=;:1<775b34?9>7?lc:p177=838p18<>:31f?835:3;o;6s|59:94?4|5<=m6428hm70;77;00a>{t=191<7=t=4:0>75b34;=<7?l0:?225<6k81v86?:18183?03;ij63:81817`=z{<2:6=4={<7;4?7d827>4<4=3d9~w0>52909w0;70;3`5>;20;09?h5rs4:7>5<5s4?387<4:4>cb9~w0ed2909w0;l4;3ab>;2kj09?h5rs4aa>5<5s4?h47?mf:?6gg<5;l1v8m7:18083d0388i63>5982g5=:9<21=n?4}r7`1?6=:r7>on4>bg9>1f3=::o0q~;l6;296~;2k<0:o=525b4966c52z?6g0<6k8169n9522g8yv3d13:1>v3:c8817`=:=jh1=n>4}r7`e?6=:r7>ol4=3d9>1fd=9j;0q~;i3;296~;2mo0:nk525g1966c52z?6b6<6jo169k>522g8yv3a93:1>v3:f182g5=:=o;1>>k4}r7e6?6=:r7>j=4>c09>1c4=::o0q~:je;296~;3m10:nk524dg966cnh7>52z?7af<6jo168hj522g8yv2b13:1>v3;ed82fc=:>k4}r6fe?6=:r7?i44>c19>0`g=::o0q~:jb;296~;3m00:o<524d`966c52z?66`<6jo169>9522g8yv34=3:1>v3:3382fc=:=:?1>>k4}r71b?6=:r7>?:4>bg9>17`=::o0q~;<0;296~;2:o0:o=52522966c52z?66c<6k8169>?522g8yv34;3:1>v3:32817`=:=:?1=n>4}r700?6=:r7>?94=3d9>163=9j;0q~;:3;296~;2>7>52z?614<6jo1698<522g8yv33m3:1>v3:5582fc=:==o1>>k4}r77b?6=:r7>8h4>c19>11`=::o0q~;:0;296~;2i7>52z?612<6jo1698k522g8yv3203:1>v3:5d82fc=:=<21>>k4}r76=?6=:r7>954>c19>10?=::o0q~;:a;296~;2=10:o<5254c966cn7>52z?61g<5;l1698o51b28yv32k3:1>v3:5b817`=:=9i4=3d9>10g=9ji0q~;97;296~;2>80:nk5257:966c7>52z?62=<6jo169;<522g8yv31;3:1>v3:6382g5=:=?91>>k4}r750?6=:r7>:?4>c09>132=::o0q~;95;296~;2><09?h5257695f652z?623<5;l169;:51b38yv3e83:1>v3:a482fc=:=k:1>>k4}r7bb?6=:r7>m44>bg9>1d`=::o0q~;n6;296~;2j90:nk525`4966c52z?6e3<6k9169l9522g8yv3f03:1>v3:a782g4=:=h21>>k4}r7;b?6=;r7>m54>c19>1d>=9j;0186i:31f?xu2ih0;6?u25`c966c<57}:=hi1>>k4=4ce>4ed3ty>mi4?:3y>1db=::o018oi:0f4?xu2il0;6?u25`g966c<5fa<6jo16o?4=3d9~wgb=839p1oj522g894?628i;70?61;3`5>{tjh0;6?u2c282fc=:jh09?h5rsc`94?4|5kk1=n>4=c`966c4e634hh6?=j;|qaa?6=:r7ii7<fc<5;l16o?4>c09~wf6=838p1n>522g89f4=9ji0q~m>:1818e62;9n70m=:0f4?xudn3:1>v3l7;3ab>;dn388i6s|cd83>7}:kk0:nk52cd817`=z{j21<7>k4}ra:>5<5s4i3675b3tyhm7>52z?`g`<6k91vnj50;0x9fb=::o01nk51b38yv`32909w0kl:0`e?8`32;9n7p}i3;296~;a83;ij63i3;00a>{tmm0;6?u2f582fc=:mm09?h5rsdg94?4|5ln1=n>4=dg966c4e634om6?=j;|qe5?6=:r7m=7<b7<5;l16j>4>c09~w4662909w0h8:0`e?8779388i6s|11294?4|5oh1=oh4=023>75b3tym47>52z?244<6jo16j54=3d9~wc?=838p1k651b289c?=::o0q~hn:1818`?28i:70hn:31f?xuak3:1>v3ic;00a>;6890:o=5rsgf94?4|5on1>>k4=023>4e63tymi7>52z?ea?44m27:<=4>cb9~wc`=838p1kh522g8946728n<7p}=4g83>6}::=>1=oh4=037>4da348><7<9;50;0x97372l=01?:::31f?xu5<>0;6?u225495f6<5;><6?=j;|q10=<72;q6>9851b38972?2;9n7p}=4`83>7}::=k1>>k4=36f>4e73ty98o4?:3y>61d=::o01?:j:0a2?xu5n69j522g8972b28n<7p};f683>6}:7}:4=5d0>75b3ty?j94?:3y>0c4=9j;019h;:31f?xu3n<0;6?u24g7966c<5=l?66}:=9i1=oh4=2fe>4da34?:87<{t=8:1<775b34?;j7?l0:p147=838p18?>:31f?837n3;h=6s|50094?4|5<;96?=j;<73b?7dk2wx94:50;0x90>e28hm70;64;00a>{t=091<74da34?2?7<d28i:70;7e;00a>{t=0:1<775b34?2?7?l0:p1<7=838p187>:31f?83>;3;h=6s|58094?4|5<396?=j;<7:7?7dk2wx9l<50;0x90?028hm70;n2;00a>{t=h;1<74da34?j=7<=838p18o=:0`e?83>0388i6s|58;94?4|5<336{t=0i1<775b34?j=7?l0:p1{t=h:1<775b34?j=7?ka:p1f7=838p18l9:0`e?83d9388i6s|5b294?4|5{t=k21<74e734?i47<{t=kn1<775b34?h<7?lc:p1gc=838p18lj:31f?83d83;o;6s|5cd94?4|552z?e>4da34o1>>k4}r`94?4|5l0:nk52b;00a>{tk3:1>v3m:0a3?8e=::o0q~j50;0x9g<6k816h7<c09>6?44m2wx?7>52z?0>75b3481=n>4}r694?4|5=09?h522;3`5>{t=3:1>v3::31f?84=9ji0q~850;0x93<5;l16>7?k7:p3?6=:r7<6?=j;<095ag6c;3`4>{t98=1<775b34;:o7?l1:p54>=838p1c;3ge>{t98h1<775b34;:o7?kb:p572=838p1{t9;:1<775b34;987?lc:p577=838p1<<>:31f?875<3;o;6s|13094?4|58896?=j;<310?7ci2wx=?=50;0x94442;9n70?=4;3gf>{t9;h1<74da34;9n7<{t9;31<775b34;9n7?k7:p57g=838p1<;6;;0:o<5rs00g>5<5s4;9h7<3182g4=z{88m6=4={<31b?44m27:?=4>cb9~w45?2909w0?<1;3ab>;6;109?h5rs011>5<5s4;8>7<3982g4=z{89?6=4={<300?44m27:?54>cb9~w4522909w0?<5;00a>;6;10:h:5rs015>5<5s4;8:7<3982`g=z{8>;6=4={<30=?7en27:8=4=3d9~w4262908w0?;6;h0:o<52153966c52z?27d<5;l16=9>51b28yv74j3:1>v3>3c817`=:9=:1=n?4}r30g?6=:r7:?n4=3d9>516=9ji0q~?52z?27`<5;l16=9>51ec8yv74n3:1>v3>3g817`=:9=:1=il4}r373?6=:r7:8<4>bg9>511=::o0q~?;2;296~;6<;09?h5215595f652z?206<5;l16=9951b38yv73<3:1>v3>45817`=:9==1=nm4}r371?6=:r7:884=3d9>511=9m=0q~?;6;296~;652z?20=<6jo16=9k522g8yv7303:1?v3>49817`=:9<:1=n>4=073>4e63ty:844?:3y>51?=::o01<:j:0a3?xu6n6;|q20g<72;q6=9l522g8942b28ih7p}>4b83>7}:9=i1>>k4=06f>4b03ty:8i4?:3y>51b=::o01<:j:0fb?xu6=?0;6?u215d95g`<58?=6?=j;|q215<72;q6=8>522g8943128i;7p}>5083>7}:9<;1>>k4=075>4e63ty:9?4?:3y>504=::o01<;9:0a`?xu6=:0;6?u2141966c<58?=65483>7}:9>k4=075>4be3ty:9h4?:3y>501=9kl01<;j:31f?xu6=10;6?u214:966c<58?n65`83>7}:9>k4=07f>4ed3ty:9o4?:3y>50d=::o01<;j:0f4?xu6=j0;6?u214a966c<58?n66783>7}:975b3ty::=4?:3y>536=::o01<89:0a3?xu6>80;6?u2173966c<58<=6;|q227<72;q6=;<522g8940128ih7p}>6283>7}:9?91>>k4=045>4b03ty::94?:3y>532=::o01<89:0fb?xu6><0;6?u2177966c<58<=66983>7}:9?21>>k4=04b>4e73ty::44?:3y>53?=::o01<8n:0a2?xu6?80;6?u217`95g`<58=:6?=j;|q22g<72:q6=;l522g8941428i;70?83;3`5>{t9?i1<775b34;<=7?l0:p53b=838p1<8k:31f?87093;h=6s|17g94?4|58{t9>:1<775b34;<=7?ka:p52?=838p1<9=:0`e?8701388i6s|16194?4|58=86?=j;<34=?7d82wx=::50;0x94132;9n70?89;3`5>{t9>?1<775b34;<57?lc:p520=838p1<99:31f?87013;o;6s|16594?4|58=<6?=j;<34=?7ci2wx=:650;0x941?2;9n70?89;3gf>{t9>l1<74da34;8082g4=z{8=i6=4={<34f?44m27:;k4>c19~w41d2909w0?8c;00a>;6?o0:o<5rs05g>5<5s4;7g82`2=z{82<6=4={<3;4?7en27:4:4=3d9~w4>62909w0?71;00a>;60>0:o=5rs0:1>5<5s4;3>7<8682gf=z{82?6=4={<3;0?44m27:4:4>d69~w4>22909w0?75;00a>;60>0:hl5rs0:5>5<5s4;3:7<8g817`=z{8226=4={<3;=?44m27:4k4>c19~w4>f2909w0?7a;00a>;60o0:o<5rs0:a>5<5s4;3n7<8g82`2=z{82o6=4={<3;`?44m27:4k4>d`9~w4>b2909w0?7e;00a>;60o0:ho5rs0;4>5<5s4;2<7?mf:?2=2<5;l1v<7>:18187>9388i63>9682g5=z{8396=4={<3:6?44m27:5:4>c09~w4?42909w0?63;00a>;61>0:on5rs0;7>5<5s4;287<1v<7::18187>=388i63>9682`d=z{83=6=4={<3:2?44m27:5:4>dc9~w4?b2909w0?68;3ab>;61l09?h5rs0;:>5<5s4;257<i388i63>9d82g4=z{83i6=4={<3:f?44m27:5h4>cb9~w4?d2909w0?6c;00a>;61l0:h:5rs0;g>5<5s4;2h7<n3;ij63>a4817`=z{8k;6=4={<3b4?44m27:m84>c19~w4g62909w0?n1;00a>;6i<0:o<5rs0c1>5<5s4;j>7<a482`2=z{8k?6=4={<3b0?44m27:m84>d`9~w4g>2909w0?n6;3ab>;6i009?h5rs0c4>5<5s4;j;7<a882g4=z{8h:6=4={<3be?7en27:n<4=3d9~w4ge2909w0?nb;00a>;6j80:o=5rs0c`>5<5s4;jo7<b082gf=z{8kn6=4={<3ba?44m27:n<4>d69~w4ga2909w0?nf;00a>;6j80:hl5rs0`3>5<5s4;i<7<b9817`=z{8h86=4={<3a7?44m27:n54>c19~w4d32909w0?m4;00a>;6j10:o<5rs0`6>5<5s4;i97<388i63>b982`2=z{8h<6=4={<3a3?44m27:n54>d`9~yk13m<0;6{|l40`e=83;pqc9;ee83>4}zf>>ni7>51zm31ca290:wp`84g294?7|ug=?j<4?:0y~j22a:3:1=vsa75d0>5<6std<8k:50;3xyk13n<0;6{|l40ce=83;pqc9;fe83>4}zf>>mi7>51zm31`a290:wp`851294?7|ug=><<4?:0y~j237:3:1=vsa7420>5<6std<9=:50;3xyk128<0;68:182xh0=921<7?t}o564<<728qvb:;?a;295~{i?<:i6=4>{|l415e=83;pqc9:0e83>4}zf>?;i7>51zm306a290:wp`850294?7|ug=>=<4?:0y~j236:3:1=vsa7430>5<6std<9<:50;3xyk129<0;6a;295~{i?<;i6=4>{|l414e=83;pqc9:1e83>4}zf>?:i7>51zm307a290:wp`853294?7|ug=>><4?:0y~j235:3:1=vsa7400>5<6std<9?:50;3xyk12:<0;6{|l417e=83;pqc9:2e83>4}zf>?9i7>51zm304a290:wp`852294?7|ug=>?<4?:0y~j234:3:1=vsa7410>5<6std<9>:50;3xyk12;<0;6{|l416e=83;pqc9:3e83>4}zf>?8i7>51zm305a290:wp`855294?7|ug=>8<4?:0y~j233:3:1=vsa7460>5<6std<99:50;3xyk12<<0;6i6=4>{|l411e=83;pqc9:4e83>4}zf>??i7>51zm302a290:wp`854294?7|ug=>9<4?:0y~j232:3:1=vsa7470>5<6std<98:50;3xyk12=<0;6{|l410e=83;pqc9:5e83>4}zf>?>i7>51zm303a290:wp`857294?7|ug=>:<4?:0y~j231:3:1=vsa7440>5<6std<9;:50;3xyk12><0;6{|l413e=83;pqc9:6e83>4}zf>?=i7>51zm300a290:wp`856294?7|ug=>;<4?:0y~j230:3:1=vsa7450>5<6std<9::50;3xyk12?<0;621<7?t}o563<<728qvb:;8a;295~{i?<=i6=4>{|l412e=83;pqc9:7e83>4}zf>?51zm301a290:wp`859294?7|ug=>4<4?:0y~j23?:3:1=vsa74:0>5<6std<95:50;3xyk120<0;6{|l41=e=83;pqc9:8e83>4}zf>?3i7>51zm30>a290:wp`858294?7|ug=>5<4?:0y~j23>:3:1=vsa74;0>5<6std<94:50;3xyk121<0;6{|l414}zf>?2i7>51zm30?a290:wp`85`294?7|ug=>m<4?:0y~j23f:3:1=vsa74c0>5<6std<9l:50;3xyk12i<0;6{|l41de=83;pqc9:ae83>4}zf>?ji7>51zm30ga290:wp`85c294?7|ug=>n<4?:0y~j23e:3:1=vsa74`0>5<6std<9o:50;3xyk12j<0;6{|l41ge=83;pqc9:be83>4}zf>?ii7>51zm30da290:wp`85b294?7|ug=>o<4?:0y~j23d:3:1=vsa74a0>5<6std<9n:50;3xyk12k<0;6{|l41fe=83;pqc9:ce83>4}zf>?hi7>51zm30ea290:wp`85e294?7|ug=>h<4?:0y~j23c:3:1=vsa74f0>5<6std<9i:50;3xyk12l<0;6{|l41ae=83;pqc9:de83>4}zf>?oi7>51zm30ba290:wp`85d294?7|ug=>i<4?:0y~j23b:3:1=vsa74g0>5<6std<9h:50;3xyk12m<0;6{|l41`e=83;pqc9:ee83>4}zf>?ni7>51zm30ca290:wp`85g294?7|ug=>j<4?:0y~j23a:3:1=vsa74d0>5<6std<9k:50;3xyk12n<0;6{|l41ce=83;pqc9:fe83>4}zf>?mi7>51zm30`a290:wp`861294?7|ug==<<4?:0y~j207:3:1=vsa7720>5<6std<:=:50;3xyk118<0;68:182xh0>921<7?t}o554<<728qvb:8?a;295~{i??:i6=4>{|l425e=83;pqc990e83>4}zf><;i7>51zm336a290:wp`860294?7|ug===<4?:0y~j206:3:1=vsa7730>5<6std<:<:50;3xyk119<0;6821<7?t}o555<<728qvb:8>a;295~{i??;i6=4>{|l424e=83;pqc991e83>4}zf><:i7>51zm337a290:wp`863294?7|ug==><4?:0y~j205:3:1=vsa7700>5<6std<:?:50;3xyk11:<0;6;21<7?t}o556<<728qvb:8=a;295~{i??8i6=4>{|l427e=83;pqc992e83>4}zf><9i7>51zm334a290:wp`862294?7|ug==?<4?:0y~j204:3:1=vsa7710>5<6std<:>:50;3xyk11;<0;6:21<7?t}o557<<728qvb:8{|l426e=83;pqc993e83>4}zf><8i7>51zm335a290:wp`865294?7|ug==8<4?:0y~j203:3:1=vsa7760>5<6std<:9:50;3xyk11<<0;6=21<7?t}o550<<728qvb:8;a;295~{i??>i6=4>{|l421e=83;pqc994e83>4}zf>51zm332a290:wp`864294?7|ug==9<4?:0y~j202:3:1=vsa7770>5<6std<:8:50;3xyk11=<0;6<21<7?t}o551<<728qvb:8:a;295~{i???i6=4>{|l420e=83;pqc995e83>4}zf><>i7>51zm333a290:wp`867294?7|ug==:<4?:0y~j201:3:1=vsa7740>5<6std<:;:50;3xyk11><0;6?21<7?t}o552<<728qvb:89a;295~{i??{|l423e=83;pqc996e83>4}zf><=i7>51zm330a290:wp`866294?7|ug==;<4?:0y~j200:3:1=vsa7750>5<6std<:::50;3xyk11?<0;6>21<7?t}o553<<728qvb:88a;295~{i??=i6=4>{|l422e=83;pqc997e83>4}zf><51zm331a290:wp`869294?7|ug==4<4?:0y~j20?:3:1=vsa77:0>5<6std<:5:50;3xyk110<0;6121<7?t}o55<<<728qvb:87a;295~{i??2i6=4>{|l42=e=83;pqc998e83>4}zf><3i7>51zm33>a290:wp`868294?7|ug==5<4?:0y~j20>:3:1=vsa77;0>5<6std<:4:50;3xyk111<0;6021<7?t}o55=<<728qvb:86a;295~{i??3i6=4>{|l424}zf><2i7>51zm33?a290:wp`86`294?7|ug==m<4?:0y~j20f:3:1=vsa77c0>5<6std<:l:50;3xyk11i<0;6h21<7?t}o55e<<728qvb:8na;295~{i??ki6=4>{|l42de=83;pqc99ae83>4}zf>51zm33ga290:wp`86c294?7|ug==n<4?:0y~j20e:3:1=vsa77`0>5<6std<:o:50;3xyk11j<0;6k21<7?t}o55f<<728qvb:8ma;295~{i??hi6=4>{|l42ge=83;pqc99be83>4}zf>51zm33da290:wp`86b294?7|ug==o<4?:0y~j20d:3:1=vsa77a0>5<6std<:n:50;3xyk11k<0;6j21<7?t}o55g<<728qvb:8la;295~{i??ii6=4>{|l42fe=83;pqc99ce83>4}zf>51zm33ea290:wp`86e294?7|ug==h<4?:0y~j20c:3:1=vsa77f0>5<6std<:i:50;3xyk11l<0;6m21<7?t}o55`<<728qvb:8ka;295~{i??ni6=4>{|l42ae=83;pqc99de83>4}zf>51zm33ba290:wp`86d294?7|ug==i<4?:0y~j20b:3:1=vsa77g0>5<6std<:h:50;3xyk11m<0;6l21<7?t}o55a<<728qvb:8ja;295~{i??oi6=4>{|l42`e=83;pqc99ee83>4}zf>51zm33ca290:wp`86g294?7|ug==j<4?:0y~j20a:3:1=vsa77d0>5<6std<:k:50;3xyk11n<0;6o21<7?t}o55b<<728qvb:8ia;295~{i??li6=4>{|l42ce=83;pqc99fe83>4}zf>51zm33`a290:wp`871294?7|ug=<<<4?:0y~j217:3:1=vsa7620>5<6std<;=:50;3xyk108<0;68:182xh0?921<7?t}o544<<728qvb:9?a;295~{i?>:i6=4>{|l435e=83;pqc980e83>4}zf>=;i7>51zm326a290:wp`870294?7|ug=<=<4?:0y~j216:3:1=vsa7630>5<6std<;<:50;3xyk109<0;6a;295~{i?>;i6=4>{|l434e=83;pqc981e83>4}zf>=:i7>51zm327a290:wp`873294?7|ug=<><4?:0y~j215:3:1=vsa7600>5<6std<;?:50;3xyk10:<0;68i6=4>{|l437e=83;pqc982e83>4}zf>=9i7>51zm324a290:wp`872294?7|ug=5<6std<;>:50;3xyk10;<0;69i6=4>{|l436e=83;pqc983e83>4}zf>=8i7>51zm325a290:wp`875294?7|ug=<8<4?:0y~j213:3:1=vsa7660>5<6std<;9:50;3xyk10<<0;6>i6=4>{|l431e=83;pqc984e83>4}zf>=?i7>51zm322a290:wp`874294?7|ug=<9<4?:0y~j212:3:1=vsa7670>5<6std<;8:50;3xyk10=<0;6?i6=4>{|l430e=83;pqc985e83>4}zf>=>i7>51zm323a290:wp`877294?7|ug=<:<4?:0y~j211:3:1=vsa7640>5<6std<;;:50;3xyk10><0;6{|l433e=83;pqc986e83>4}zf>==i7>51zm320a290:wp`876294?7|ug=<;<4?:0y~j210:3:1=vsa7650>5<6std<;::50;3xyk10?<0;621<7?t}o543<<728qvb:98a;295~{i?>=i6=4>{|l432e=83;pqc987e83>4}zf>=51zm321a290:wp`879294?7|ug=<4<4?:0y~j21?:3:1=vsa76:0>5<6std<;5:50;3xyk100<0;62i6=4>{|l43=e=83;pqc988e83>4}zf>=3i7>51zm32>a290:wp`878294?7|ug=<5<4?:0y~j21>:3:1=vsa76;0>5<6std<;4:50;3xyk101<0;63i6=4>{|l434}zf>=2i7>51zm32?a290:wp`87`294?7|ug=5<6std<;l:50;3xyk10i<0;6ki6=4>{|l43de=83;pqc98ae83>4}zf>=ji7>51zm32ga290:wp`87c294?7|ug=5<6std<;o:50;3xyk10j<0;6hi6=4>{|l43ge=83;pqc98be83>4}zf>=ii7>51zm32da290:wp`87b294?7|ug=5<6std<;n:50;3xyk10k<0;6ii6=4>{|l43fe=83;pqc98ce83>4}zf>=hi7>51zm32ea290:wp`87e294?7|ug=5<6std<;i:50;3xyk10l<0;6ni6=4>{|l43ae=83;pqc98de83>4}zf>=oi7>51zm32ba290:wp`87d294?7|ug=5<6std<;h:50;3xyk10m<0;6oi6=4>{|l43`e=83;pqc98ee83>4}zf>=ni7>51zm32ca290:wp`87g294?7|ug=5<6std<;k:50;3xyk10n<0;6li6=4>{|l43ce=83;pqc98fe83>4}zf>=mi7>51zm32`a290:wp`881294?7|ug=3<<4?:0y~j2>7:3:1=vsa7920>5<6std<4=:50;3xyk1?8<0;68:182xh00921<7?t}o5;4<<728qvb:6?a;295~{i?1:i6=4>{|l4<5e=83;pqc970e83>4}zf>2;i7>51zm3=6a290:wp`880294?7|ug=3=<4?:0y~j2>6:3:1=vsa7930>5<6std<4<:50;3xyk1?9<0;6a;295~{i?1;i6=4>{|l4<4e=83;pqc971e83>4}zf>2:i7>51zm3=7a290:wp`883294?7|ug=3><4?:0y~j2>5:3:1=vsa7900>5<6std<4?:50;3xyk1?:<0;6{|l4<7e=83;pqc972e83>4}zf>29i7>51zm3=4a290:wp`882294?7|ug=3?<4?:0y~j2>4:3:1=vsa7910>5<6std<4>:50;3xyk1?;<0;6{|l4<6e=83;pqc973e83>4}zf>28i7>51zm3=5a290:wp`885294?7|ug=38<4?:0y~j2>3:3:1=vsa7960>5<6std<49:50;3xyk1?<<0;6i6=4>{|l4<1e=83;pqc974e83>4}zf>2?i7>51zm3=2a290:wp`884294?7|ug=39<4?:0y~j2>2:3:1=vsa7970>5<6std<48:50;3xyk1?=<0;6{|l4<0e=83;pqc975e83>4}zf>2>i7>51zm3=3a290:wp`887294?7|ug=3:<4?:0y~j2>1:3:1=vsa7940>5<6std<4;:50;3xyk1?><0;6{|l4<3e=83;pqc976e83>4}zf>2=i7>51zm3=0a290:wp`886294?7|ug=3;<4?:0y~j2>0:3:1=vsa7950>5<6std<4::50;3xyk1??<0;621<7?t}o5;3<<728qvb:68a;295~{i?1=i6=4>{|l4<2e=83;pqc977e83>4}zf>251zm3=1a290:wp`889294?7|ug=34<4?:0y~j2>?:3:1=vsa79:0>5<6std<45:50;3xyk1?0<0;6{|l4<=e=83;pqc978e83>4}zf>23i7>51zm3=>a290:wp`888294?7|ug=35<4?:0y~j2>>:3:1=vsa79;0>5<6std<44:50;3xyk1?1<0;6{|l4<4}zf>22i7>51zm3=?a290:wp`88`294?7|ug=3m<4?:0y~j2>f:3:1=vsa79c0>5<6std<4l:50;3xyk1?i<0;6{|l44}zf>2ji7>51zm3=ga290:wp`88c294?7|ug=3n<4?:0y~j2>e:3:1=vsa79`0>5<6std<4o:50;3xyk1?j<0;6{|l44}zf>2ii7>51zm3=da290:wp`88b294?7|ug=3o<4?:0y~j2>d:3:1=vsa79a0>5<6std<4n:50;3xyk1?k<0;6{|l44}zf>2hi7>51zm3=ea290:wp`88e294?7|ug=3h<4?:0y~j2>c:3:1=vsa79f0>5<6std<4i:50;3xyk1?l<0;6{|l44}zf>2oi7>51zm3=ba290:wp`88d294?7|ug=3i<4?:0y~j2>b:3:1=vsa79g0>5<6std<4h:50;3xyk1?m<0;6{|l4<`e=83;pqc97ee83>4}zf>2ni7>51zm3=ca290:wp`88g294?7|ug=3j<4?:0y~j2>a:3:1=vsa79d0>5<6std<4k:50;3xyk1?n<0;6{|l44}zf>2mi7>51zm3=`a290:wp`891294?7|ug=2<<4?:0y~j2?7:3:1=vsa7820>5<6std<5=:50;3xyk1>8<0;68:182xh01921<7?t}o5:4<<728qvb:7?a;295~{i?0:i6=4>{|l4=5e=83;pqc960e83>4}zf>3;i7>51zm3<6a290:wp`890294?7|ug=2=<4?:0y~j2?6:3:1=vsa7830>5<6std<5<:50;3xyk1>9<0;6a;295~{i?0;i6=4>{|l4=4e=83;pqc961e83>4}zf>3:i7>51zm3<7a290:wp`893294?7|ug=2><4?:0y~j2?5:3:1=vsa7800>5<6std<5?:50;3xyk1>:<0;6{|l4=7e=83;pqc962e83>4}zf>39i7>51zm3<4a290:wp`892294?7|ug=2?<4?:0y~j2?4:3:1=vsa7810>5<6std<5>:50;3xyk1>;<0;6{|l4=6e=83;pqc963e83>4}zf>38i7>51zm3<5a290:wp`895294?7|ug=28<4?:0y~j2?3:3:1=vsa7860>5<6std<59:50;3xyk1><<0;6i6=4>{|l4=1e=83;pqc964e83>4}zf>3?i7>51zm3<2a290:wp`894294?7|ug=29<4?:0y~j2?2:3:1=vsa7870>5<6std<58:50;3xyk1>=<0;6{|l4=0e=83;pqc965e83>4}zf>3>i7>51zm3<3a290:wp`897294?7|ug=2:<4?:0y~j2?1:3:1=vsa7840>5<6std<5;:50;3xyk1>><0;6{|l4=3e=83;pqc966e83>4}zf>3=i7>51zm3<0a290:wp`896294?7|ug=2;<4?:0y~j2?0:3:1=vsa7850>5<6std<5::50;3xyk1>?<0;621<7?t}o5:3<<728qvb:78a;295~{i?0=i6=4>{|l4=2e=83;pqc967e83>4}zf>351zm3<1a290:wp`899294?7|ug=24<4?:0y~j2??:3:1=vsa78:0>5<6std<55:50;3xyk1>0<0;6{|l4==e=83;pqc968e83>4}zf>33i7>51zm3<>a290:wp`898294?7|ug=25<4?:0y~j2?>:3:1=vsa78;0>5<6std<54:50;3xyk1>1<0;6{|l4=4}zf>32i7>51zm35<6std<5l:50;3xyk1>i<0;6{|l4=de=83;pqc96ae83>4}zf>3ji7>51zm35<6std<5o:50;3xyk1>j<0;6{|l4=ge=83;pqc96be83>4}zf>3ii7>51zm35<6std<5n:50;3xyk1>k<0;6{|l4=fe=83;pqc96ce83>4}zf>3hi7>51zm35<6std<5i:50;3xyk1>l<0;6{|l4=ae=83;pqc96de83>4}zf>3oi7>51zm35<6std<5h:50;3xyk1>m<0;6{|l4=`e=83;pqc96ee83>4}zf>3ni7>51zm37:1eCDG}7uIJ[wpNO \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE.ngc b/cpld/XC95144XL/MXSE.ngc deleted file mode 100644 index ba361e0..0000000 --- a/cpld/XC95144XL/MXSE.ngc +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$5474=79;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97l0?7GAPTV9WR:5294>7L2>0?78E9766<1J0<<15:C?56823H6:83;4A=36:0=F48<596O316<6?D:607?0M1?6>59B84823H69<394A=02>4823H69=3;4A=01:2=F4;9143;4A=00:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FDL:>7LBJ0L78EIC7[j1J@H>Pmtz3456c3HFNGKM9Ufyu>?016g?DJB8Vg~t=>?0468EIC6k2KGIGTzoUE27;?89B[CUE48?556OPFR@?538>3HUM_O2>7?;8EZ@TJ5;3245N_GQA84?902KTJ^L31?;8EZ@TJ58;245N_GQA877912KTJ^L3231:==FWOYI0>07;@]EWG:3611JSK]M<4<;?DYA[K6=255N_GQA828?3HUM_O27>99B[CUE404o7LQISC]24ZOE]On0MRH\B^32[LDRNm1JSK]M_00\MGSAl2KTJ^LP12]JFP@c3HUM_OQ>4^KAQCbGXNZHT=:QFBTDg?DYA[KU:4RGMUGf8EZ@TJV;2SDLZFb9B[CUEW8UBNXHk;@]EWGY58VCIYKj4A^DPFZ46W@H^Ji5N_GQA[74XAK_Mh6OPFR@\66YNJ\Lh7LQISC]1[LDRNj1JSK]M_2]JFP@d3HUM_OQ;_H@VBf=FWOYIS8QFBTD`?DYA[KU=SDLZFb9B[CUEW>UBNXHl;@]EWGY?W@H^Jn5N_GQA[85MESP22>DBZ[;yi6LJRS3q[Ziu89:;j6LJRS3q[Ziu89:;=k5MESP2vZYhz9:;DBZ[UTmcj?0122g>DBZ[UTc>?01f8F@TUWVey<=>?1e9AAWTXWfx;<=>=d:@FVWYXg{:;<==k;CGQVZYhz9:;<9j4BDPQ[Ziu89:;9i5MESP\[jt789:=:6LJRSpe=>DBZ[xmSC>n;CGQVw`XF9;=7OK]Rspf?GCUZ{xTbbz?013e?GCUZ{xTbbz?01326>D@i2HLSRa}0123e>D@WVey<=>>b:@D[Ziu89::=o5MG^]lv5679;h0NJQnne2345733KMy0NJ|>4:@VB@>EB;2IGG:5LLJ03AKeEKC;?NB55LLJ06AK7d3JF@>8KA_N@VB<=DDB8SSDAMe:AOO7^XAFHTEO[I7:AOOZ@TJj1H@FQISC]JFP@03JF@SDAMc:AOOZOHJVCIYK=4CMP0?FHS12IDA@G[TDF4?FTBI]OO>6M\6:Aoadt6n2Igil|>_^cm`5678o1H`ho}1^cm`56788;0H:5KT@AHvc1>119GPDELz{Uecy>?0034?Agsi5:5;6Jnt`>2:2=Cazki`hh4DhqbficXign;<=>>0:FjwddkmVkeh=>?0033?AotikfnSl`k0123646:7H;4ER>3:0=B[5;596K\<3<6?@U;;7?0I^2;>59FWZ6c3LYT?e:GP[7YXign;<=>>e:GP[7YXign;<=>=4:GP[6bo7H]P4^]bja6789o0I^Q;_^cm`56788o0I^Q;_^cm`5678;;m7H]PM`fg[Zgcl9:;PMymq[Wct}e~7>3?i;DQ\IdbcWVkoh=>?0^O{kwYUmzgx1=11g9FWZKflmUTmij?012\I}iuW[oxyaz34?3:?@UXEhnoSRokd1234ZYffm:;<=?n;DQ\IdbcWVkoh=>?0^]bja6789;:56K\_Lcg`ZYflm:;<=QPaof34576i2OXS@okd^]b`a6789UTmcj?01325<=B[VGjhiQPaef3456XWhdo<=>=1`9FWZKflmUTmij?012\[dhc89:9=i5JS^S\5Ziu89:;i6K\_P]2[jt789::j6K\_P]2[jt789::0j;DQ\[dbc89:;090:;DVBGNb5HNE38M7=N8;1B=?5F239J77=N<;1B9?5F639J31=NIJY>7DOLS078MDET:<1BMN]<4:KAQC?h5FO@AW[dhc89::?h5FO@AW[dhc89::8h5FO@AW[dhc89::9h5FO@AW[dhc89:::h5FO@AW[dhc89::;h5FO@AW[dhc89::4h5FO@AW[dhc89::5i5FO@AW[hs89:;i6G@ABV\ip~789::i6G@ABV\ip~789:9i6G@ABV\ip~789:8i6G@ABV\ip~789:?i6G@ABV\ip~789:>i6G@ABV\ip~789:=i6G@ABV\ip~789:OHJLXYi6G@BDPQ[hs89:;j6G@BDPQ[hs89:;=<>4IN@FVWYj}q:;<=??119JKGCUZVg~t=>?00324>OHJLXYS`{w012357773@EII_\Pmtz34566;8:0EBLJRS]nq}6789;?j6G@BDPQ[hs89:;>k5FOCGQVZkrp9:;<>h4IN@FVWYj}q:;<=:i;HMAAWTXe|r;<=>:f:KLF@TUWds<=>?6g9JKGCUZVg~t=>?06d8MJDBZ[Ufyu>?01:e?LIEM[XTaxv?012:0>OHJD>0EBL\4:KLGV3OHKZ9>7DALS578MJET=<1BCN]94:KLH5eOHD9Ufyu>?013g?LIK8Vg~t=>?03f8MJJ7Wds<=>?3e9JKI6Xe|r;<=>;d:KLH5Yj}q:;<=;;;HMO5f=NGE;Tbbz?013g?LIK9Vddx=>?1078MJJC[?1BC]YLS69JKUQD[8=0EB^XCR04?LIW_JY8;6G@PVAP00=NG[OZh6G@RDS\ip~789:n7DA]EP]nq}6789;m7DA]EP]nq}6789;;i6G@RDS\ip~789:9i6G@RDS\ip~789:8i6G@RDS\ip~789:?i6G@RDS\ip~789:>i6G@RDS\ip~789:=i6G@RDS\ip~789:OHZ^:o7DA]W1]nq}6789o0EB\X0^ov|56788o0EB\X0^ov|5678;o0EB\X0^ov|5678:o0EB\X0^ov|5678=n0EB\X0^llp5679l1BC_Y?_omw45669l1BC_Y?_omw4566:l1BC_Y?_omw4566;l1BC_Y?_omw4566l1BC_Y?_omw4566?<1BC_Y>d:KLVR7Xign;<=>j;HMQS4Yffm:;<=?j;HMQS4Yffm:;<=1:KLV`gcqVUjbi>?010`?LITWOYFSKHk1g9JKVYA[DUMJi?"Io33?LITWOYFSKHk1,Km5464INQ\BVKXNOn9!D`>109JKVYA[DUMJi<"Io3354=NGZUM_@QIFe0.Mk76981BC^QISL]EBa4*Ag;9=<5FOR]EWHYANm8&Ec?<109JKVYA[DUMJi<"Io3754=NGZUM_@QIFe0.Mk72991BC^QISL]EBa4*Ag8:<6G@S^DPIZ@Al;'Bb>??;HMP[CUJWOLo> Ga4028MJUXNZGTJKj=-Hl655=NGZUM_@QIFe0.Mk0682CD_RH\M^DE`7+Nf>;;7DA\_GQN[C@c:$Ce4<>4INQ\BVKXNOn9!D`6c:KLWZ@TEVLMh>h4INQ\BVKXNOn8!D`>0:KLWZ@TEVLMh>#Fn033?LITWOYFSKHk3,Km646:<6G@S^DPIZ@Al:'Bb8??;HMP[CUJWOLo? Ga6028MJUXNZGTJKj<-Hl455=NGZUM_@QIFe1.Mk>682CD_RH\M^DE`6+Nf0>0EB[?c:KLQ5Yj}q:;<=j4INW3[hs89:;=i5FOT2\ip~789:9h6G@U1]nq}67899o7DAZ0^ov|5678=n0EB[?_lw{4567==1BCX?l;HMV5Zhh|9:;=i5FOT3\jjr789;:96G@UEQ7?LIPM?1B\A<}0d9JTI4u8Vddx=>?1g9JTI4u8Vddx=>?1048MUJ5z8o0GHK__IKFVDTD@P=0@BIFC@Nb?IVJWQEY>R?:;Mmb`4c1:Olpmcujfkyh_ABEO31?K653G;97C<8;OGWSJTL92E?7BLZF49LFP@B;2EY>>5@R218KW233Fdhin5@nbg\[jt789:o7B`le^]lv56788n0Ccmj_^mq4567:m1DbnkP_np34564l2EeohQPos23452c3FdhiRQ`r12340bIiklUTc>?01:g?JhdmVUd~=>?0838Tf=W&;::?<>>3^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD`8TVYA[DUMJi?j;QQ\BVKXNOn:!D`i;QQ\BVKXNOn:!D`>f:RP[CUJWOLo= Ga2c9SWZ@TEVLMh?k4PR]EWHYANm8&Ech4PR]EWHYANm8&Ec?>0:RP[CUJWOLo> Ga1133?UUXNZGTJKj=-Hl2546 Ga7g9SWZ@TEVLMh?#Fn9d8TVYA[DUMJi<"Io;a?UUXNZGTCxz?c:RP[CUJWF<7_O30?48VD:68720^L2>1;2=2>TF48;596\N<0<6?WG;:7?0^L2<>49QE929=2XJ080:;SC?2;3TF40487_OB5:PBIFU13[KFO^?9;SCNGV413[KFO^=9;SCNGV213[KFO^;9;SCNGV013[KFO^99;SCNGV>13[KFHD]8;SCN@LU6n2XJAIG\1^ov|56788:0^LCKIR3\ip~789::=<5]ALFJW4Yj}q:;<=??109QEHBN[8Ufyu>?013254=UIDNB_?013654=UIDNB_?013:55=UIDNB_4R@OGMV7Xe|r;<=>:119QEHBN[8Ufyu>?01424>TFEMCX=Rczx12342773[KFHD]>_lw{456708:0^LCKIR3\ip~789:2;6\NMEKP6c=UIDNB_?QPos2345773[KFHD]=_^mq456798;0^LCKIR0\[jt789::<<>4R@OGMV4XWfx;<=>=119QEHBN[;UTc>?01124>TFEMCX>RQ`r12341773[KFHD]=_^mq4567=8:0^LCKIR0\[jt789:===5]ALFJW7YXg{:;<=9>0:PBIAOT:VUd~=>?0933?WGJL@Y9SRa}0123=2=UIDNB_>94R@OGMV203[KFHD]:8:PBIWcflp;;7_OBRdcg}Zkrp9:;<?1008VDKUmhnrS`{w012355753[KF^hoky^ov|56788;:>6\NMSgb`|Yj}q:;<=?=139QEHTbimsTaxv?01227442:PBIWcflpUfyu>?013554=UIDXnmiwPmtz34565981YM@\jae{\ip~789:8=<5]ALPfeaXe|r;<=>;109QEHTbimsTaxv?012654=UIDXnmiwPmtz34561981YM@\jae{\ip~789:<=<5]ALPfeaXe|r;<=>7109QEHTbimsTaxv?012:1>TF[LFo7_O\EM]nq}6789o0^L]JL^ov|56788l0^L]JL^ov|56788:m7_O\EM]nq}6789;:j6\NSDN\ip~789::>k5]ARGO[hs89:;=>h4R@QFHZkrp9:;<<:i;SCPAIYj}q:;<=?:f:PBW@JXe|r;<=>>6g9QEVCKWds<=>?16d8VDUBDVg~t=>?00:e?WGTMEUfyu>?013:a>TF[LFTaxv?0121b>TF[LFTaxv?01214c=UIZOGS`{w012364`n7_O\EM]nq}6789?n7_O\EM]nq}6789TFW9Ufyu>?013g?WGX8Vg~t=>?03f8VDY7Wds<=>?3e9QEZ6Xe|r;<=>;a:PB[46XGK_Mm6\N_03\KGSA12XJS?0e9QEZ7Xe|r;<=>>d:PB[4Yj}q:;<=j4R@]2[hs89:;845]A^0\KGSAk2XJS?Qbuy2345bTFW;Ufyu>?011g?WGX:Vg~t=>?05;8VDY4WFH^Jn5]A^1\ip~789:o7_OP3^ov|56788n0^LQ<_lw{4567:m1YMR=Pmtz34564l2XJS>Qbuy23452>3[KT8RAMUGa8VDY3Wds<=>?d:PB[1Yj}q:;<=?k;SC\0Zkrp9:;TFW?010g?WGX=Vg~t=>?02f8VDY2Wds<=>?489QEZ0XGK_Mo6\N_7]nq}6789n0^LQ9_lw{45679m1YMR8Pmtz34565l2XJS;Qbuy23455c3[KT:Rczx12341?k;SC\3Zkrp9:;<i5]A^5\ip~789:8h6\N_6]nq}6789>27_OP8^MAQCeTFW1Ufyu>?013g?WGX0Vg~t=>?03f8VDY?Wds<=>?3e9QEZ>Xe|r;<=>;d:PB[=Yj}q:;<=;k;SC\TFW0Ufyu>?0160?WCT<2XN_<94RDQGkkca3[OXHb`j_`lg4567991YI^J`nd]bja6789;:<6\JSEmmaZgil9:;?349QAVt7l2XN_>Pnnv3457b3[OX~=Qaou2344723[OX~<;4RDQq60=UMZxm96\JSspg?WCTz{Uecy>?00g8V@UuzVddx=>?1078VJKD[?1YC@M\169QKHET99=0^BCLS034?WIJKZ;9;6\@MBQ272=UGDIX=984RNO@W7084RNO@W10>0:PP[CUJWOLo= Ga1033?WUXNZGTJKj>-Hl2646m7_]PFRO\BCb6%@d9j6\\_GQN[C@c9$Ce?k5]S^DPIZ@Al8'Bb9h4RR]EWHYANm;&Ec;i;SQ\BVKXNOn:!D`9f:PP[CUJWOLo= Ga7g9QWZ@TEVLMh<#Fn9d8VVYA[DUMJi?"Io;a?WUXNZGTJKj=e:PP[CUJWOLo> Gaf:PP[CUJWOLo> Ga1028VVYA[DUMJi<"Io3355=U[VLXARHId3/Jj47682XXSK]B_GDg6(Oi9;;;7_]PFRO\BCb5%@d:?<>4RR]EWHYANm8&Ec?;119QWZ@TEVLMh?#Fn0724>TTWOYFSKHk2,Km53773[YTJ^CPFGf1)Lh6?8:0^^QISL]EBa4*Ag;3==5]S^DPIZ@Al;'Bb<7i;SQ\BVKXNOn9!D`=f:PP[CUJWOLo> Ga3g9QWZ@TEVLMh?#Fn5d8VVYA[DUMJi<"Io7e?WUXNZGTJKj=-Hl5b>TTWOYFSKHk2,Km3c=U[VLXARHId3/Jj=`TTWOYFSKHk3,Kmb>TTWOYFSKHk3,Km546m7_]PFRO\BCb4%@d>j6\\_GQN[C@c;$Ce:k5]S^DPIZ@Al:'Bb:h4RR]EWHYANm9&Ec6i;SQ\BVKXNOn8!D`6b:PP[CUJWF4n5]S^DPIZIr|1;h7_]PFRO\Kpr?:<1Yiljv6:Pfea7?2Xnmiw?rg9Qadb~8{UTc>?0133?Wcflp:ySRa}01235462Xnmiw>7:Pfea6zo1Yiljv1s]\kw6789;;7_kndx3q[Ziu89:;=<>4Rdcg}4tXWfx;<=>=6:Pfea5?2Xnmiw=rg9Qadb~:{UTc>?0133?Wcflp8ySRa}01235462Xnmiw<6:Pfea3i2XnmiwPIN@P=>TbimsT^LCl;Sgb`|Yhz9:;=i5]e`fz[jt789;:h6\jae{\kw67888o7_kndx]lv5679:<0^hhNcj;8V``Df}6;245]egAmp97912XnjN`{<3<:?WcaKg~7?374Rdd@jq:3601YikMat=7==>TbnJd0;06;SgeGkr;?720^hhLnu]3<>TbnJdS<64Rdd@jqY502XnjN`{_2:8V``Df}U?46\jfBlw[0>887_kiCov\IdbcWVkoh=>?0^O{kwYUmzgx1?1229QacEi|VGjhiQPaef3456XEqeyS_k|umv?6;443[omOczPM`fg[Zgcl9:;>5]egAmpZKflmUTmij?012\I}iuW[oxyaz34?00?WcaKg~TAljk_^cg`5678VGscQ]erwop939::1YikMat^Ob`aYXimn;<=>PMymq[Wct}e~7:3<<;SgeGkrXEhnoSRokd1234ZKg{UYi~{ct=5=63=UmoIexRCnde]\eab789:T^h}zlu]3[kis89:;=d:PfbFhsWDkohRQnde2345YXign;<=<>e:PfbFhsWDkohRQnde2345YXign;<=<>1e9QacEi|VGjhiQPaef3456XWhdo<=><1d9QacEi|VGjhiQPaef3456XWhdo<=><10f8V``Df}UFmijP_`fg4567WVkeh=>?40g8V``Df}UFmijP_`fg4567WVkeh=>?403g?WcaKg~TAljk_^cg`5678VUjbi>?043f?WcaKg~TAljk_^cg`5678VUjbi>?04326>TbnJdSRokd12349699;1YikMat^]b`a67896:2<<4Rdd@jqYXimn;<=>32?31?WcaKg~TSljk01238686:2XnjN`{_^cg`56785>5=?5]egAmpZYflm:;<=2:>008V``Df}UTmij?012?2;753[omOczP_`fg45674>4<7_kiDnlf55=UmoNdbhQPaof3456692XnjIaae^]bja6789;m7_kiDnlf[Ziu89:;==5]egFlj`YXg{:;<=?>2:PfbAiimVif|Rk~012356=UmoNdbhQlmq]fu56788;87_kiDnlf[fkwWl{;<=>=129QacBhflUha}Qjq12346743[omHb`j_bos[`w789:?=>5]egFlj`YdeyUn}=>?0430?WcaLfdnSnc_ds345619:1YikJ`nd]`iuYby9:;<:?<;Sge@jhbWjg{Sh?012;56=UmoNdbhQlmq]fu56780<0^hh]AR48V``Umx=0^hh]ep3:?Wca]{mnbyo4RddVvbci|8k0^hhZrfgmp7g1XO^GLS558WFUNKZ?=7^AZRBG6?VQ;87?0_Z2>>69PS94=87?0_Z2=>89PSZ6XAK_M56]X_0]JFP@f3Zdo^LCLSVPa?VhcZHGH_Z\>c:Qm`WGJKZ]Y==m4SofQEHET_[;:o6]adSCNGVQU9;h0_cj]ALAPSW4e3Zdo^LCLSVP0f>Uil[KFO^Y]4c9PjaTFEJY\^8l4SofQEHET_[2:Vji`ir|HUTc>?013354=SadodyyOP_np34565981_e`k`uuC\[jt789:8=<5[ilglqqGXWfx;<=>;109Wmhch}}KTSb|?012654=SadodyyOP_np34561981_e`k`uuC\[jt789:<=<5[ilglqqGXWfx;<=>7109Wmhch}}KTSb|?012:<>Rnele~xOl4ThofkprEX{o:?6ZfmdmvpGVumVUd~=>?0068Plkbg|~I\kP_np345669=1_e`k`uu@Sv`YXg{:;<=<>0:Vji`ir|KUTc>?0132?QojmfNRQ`r12344763]cfib{{B^]lv5678;;:7YgbenwwFZYhz9:;<>m4URGQ[SOTAKFN?6XLC89UM@QX\PZN?6X_Ab9UTDYXign;<=>k;WRB[Zgil9:;<?016`?SVFWVey<=>?5b9UTDYXg{:;<=8l;WRB[Ziu89:;;85YP@pe1>PWI{xo7[^Nrs]mkq6788o0Z]O}r^llp56798n0Zdj]ALAPSW03cl1]ei\NMBQTV32l9l1]ei\NMBQTV32l:l1]ei\NMBQTV32l;l1]ei\NMBQTV32ll1]ei\NMBQTV32l?l1]ei\NMBQTV32l08n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA=1SC_<9;YQWHLD03QY_SJ@K7:ZPPZPDK?1ShoQFd028\akXEh`d~[k}shmm55=_ldUFeca}Vdppmjh13Qe7<3?<;Yqw<>Yffm:;<=74_`lg4567901Tmcj?0121<>Yj}q:;<==4cov1?fu43oyin6h|b^llp5678j1moQaou23457c3oyiSca{012354bhF[VLXNRGMUG58jDUXAFHo7cO\_HMA[JDRNL;=7cO\_HMA[kGTW@EIcxzP0^32e>hF[VCDNR`NS^KLFjssWge<=>?1c9mEVYNGKUeM^QFOCmvpZhh|9:;<c:lBkprHMVEIYK;4nCGQV3=iJLXY=45aBDPQ[CUEm2dII_\PFR@\KGSA12dII_\PIN@f?kDBZ[UBCOQFBTD0?kD@?2dIKRG@Bb9mFBYNGKUBNXH<;o@Q3>hEZVCDNn5aBS]JKGYHJ\L?7cMNSe9mGDUXKeaTECX>7:l@EVYI801eOL]POCWEg>hDIZUecy>?00f8jFGTWge<=>>179m@QGDCh1eHYOLK^DPFc=iL]KHGRH\B^MAQCghC\HI@SRa}012363=iL`dGIo5aDhlOAZIE]On0bIgaLD]lv5678l1eHd`CE^mq45679?1eHd`@E69m@lhHM8=0bIgaOD04?kBnfFO8n6`KioMF[JDRN>1eHb{{ODa8jAir|FOTCO[If:lGkprHMVddx=>?1028jAir|FOTbbz?013255=iLfCHQaou23444682dOcxz@E^llp5679:;;7cJ`uuMF[kis89::8<>4nEmvpJCXff~;<=?:5:lJTI4f3gC[@?QFBTD7?kJC[11e@I]PFR@g?kJC[VLXNRGMUG:8jIBTW@EIi6`CDR]JKGYHJ\LNn6`CDR]JKGir|j1e@I]Paof3457c3gFO_Road12354ehKLZUecy>?003a?kJC[Vey<=>>c:lO@VYhz9:;=hKLZUd~=>?1668jIQB=2dG[H?l;oNTAZgil9:;hHM;20bBKPOCWE=>hH~lxgmt>>3:lLr`tkip:TMnb}_HLU5f=iGoy`lw?_O225>hH~lxgmt>Paof34566:2dDzh|cax2\ekb789::=?5aOwgqhd7Whdo<=>?2008jJpbzekr:>6`@vdpoe|6Xign;<=>:139mKscudhs;Sl`k01232<=iGoy`lw>159mKscudhs:SNbdEo]JJS7d3gE}ibny0]M42=iZHGG[Hm4nSCNHRCXGK_M;6`]ALWTAf=iZHG^[HQ@BTD7?kTF[<1e^L]>5:lQEV423gXJ_>;4nSCP00=iZHY>56`]AR]LFP@33gXN_45aRDQ\MGSA>2dYC@M\7:lQKHET9k1e^BCLS^MAQC0hRLZ20bXJ\_GQA`>hRLZUM_OQFBTD;?kSC[VCDNh5aUEQ\MJDXGK_MIo5aUEQ\MJDh}}i0bXJ\_`lg4567l2d^H^Qnne23457d3g_O_R``t1235a=i]MYTbbz?01320>hR_L?0bXYJ1b9mQRCXign;<=>k;oWTAZgil9:;<i5aUVG\ekb789:8h6`ZWD]bja6789>?7cXBA99mRHGXAFHn7cXBA^KLFZIE]OOi7cXBA^KLFjssl2d]ALQPaof3456b3g\FMRQnne23457d3g\FMRQ`r1234a=i^DKTSb|?0122`>hQEHUTc>?010g?kPJIVUd~=>?02f8jSKFWVey<=>?4e9mRHGXWfx;<=>:d:lUIDYXg{:;<=8k;oTNEZYhz9:;<:j4nWOB[Ziu89:;4i5aVLC\[jt789:2o6`YM@]bja6789n0b[CN_`lg45679m1eZ@OPaof34565l2d]ALQnne23455c3g\FMRoad12341bhQEHUjbi>?0157?kPWI<1eZ]O>5:lUTD4?3g\[MRH\Be9mRUGXNZHTCO[I8:lUTDYNGKn0b[^N_HMA[LDRN:1e[H94nVG\BVDd3g]NSK]M_H@VB6=uid20tn7:01zoyEFw9:<;7MNw1c79B?4=9rYj57=6b;3`a?74<13j;7<=54dxj6??281e?4756:&0=2<41;1v_l6538`95fc=9:>35l95237:`>b6j<0;6<4>{Rc:>6?e28in6<=;88c4>742>91}X>:l:182>4<4:0qXm44<9c82g`<6;=22m:4=2443?!5013;i=6*>cg8726=e9k?1<7:m:7d9g=}O;>=0(>8>:0`6?_?32:q:694::|&2f5<4>91bm94?::m0`=<722c8n>4?::m:`?6=3f>>n7>5;h604?6=3f>9o7>5;n614?6=3`9h57>5;n62g?6=3f9o=7>5;n1`b?6=3`>9;7>5;h1ge?6=3f9i97>5;n1`6?6=3f3i6=44i2d3>5<5<5<5<>i3:00;66gic;29?j5cn3:17b=i5;29?j2393:17b=j3;29?j5b03:17d:90;29?j5d?3:17b?jf;29 4b628on7c?k0;28?j7bl3:1(:0gf?k7c83;07b?i8;29 4b628on7c?k0;08?j7a?3:1(:0gf?k7c83907b?i6;29 4b628on7c?k0;68?j7a=3:1(:0gf?k7c83?07b?i4;29 4b628on7c?k0;48?j7a;3:1(:0gf?k7c83=07b?i2;29 4b628on7c?k0;:8?j7a93:1(:0gf?k7c83307b?i0;29 4b628on7c?k0;c8?j7bk3:1(:0gf?k7c83h07d:9:18'5a7=<<1e=i>50:9j01<72-;o=7::;o3g4?7<3`>86=4+1e3900=i9m:1>65f4383>!7c93>>7c?k0;18?l27290/=i?5449m5a6=<21b?k4?:%3g5?223g;o<7;4;h1f>5<#9m;1885a1e292>=n;m0;6)?k1;66?k7c83=07d=l:18'5a7=<<1e=i>58:9j7g<72-;o=7::;o3g4??<3`9j6=4+1e3900=i9m:1m65f3883>!7c93>>7c?k0;`8?l5?290/=i?5449m5a6=k21b?:4?:%3g5?223g;o<7j4;h6f>5<#9m;1885a1e29a>=n51198m1d=83.:h<4;5:l2`5<6921b8l4?:%3g5?223g;o<7?=;:k7=?6=,8n:69;4n0f3>45<3`>36=4+1e3900=i9m:1=954i5594?"6l80?96`>d1821>=n<80;6)?k1;66?k7c83;=76g<5183>!7c939?j6`>d183?>o4h6l90:76g<4e83>!7c939?j6`>d181?>d41<0;6<4?:1y'737=99=0D>7;;I143>i68?0;66sm38494?7=83:p(>8>:25;?M5><2B8;:5`1bf94?=zj;3o6=4<:183!5193;;?6F<959K721<,8;=6=5f9483>>ofm3:17b?jb;29?xd5i90;6>4?:1y'737=9990D>7;;I143>"69?0;7d7::188mdc=831d=hl50;9~f7g629086=4?{%155?77;2B8595G3658 471291b584?::kba?6=3f;nn7>5;|`2ef<72:0;6=u+3739555<@:3?7E=87:&253<73`3>6=44i`g94?=h9lh1<75rb0ce>5<4290;w)=91;337>N41=1C?:94$035>5=n1<0;66gne;29?j7bj3:17plm5;291?6=8r.8:<4>059K7<2<@:=<7)?>6;28m5<55;294~"4>80:<95G3868L6103-;::7>4i8;94?=ni?0;66g65;29?lgb2900c50z&024<>;2B8595G3658m46?2900eol50;9l5ge=831vn>o>:182g?2?298;%155?gf3S3?691b5l4?::m217<722e88;4?::m016<722c=:7>5;h613?6=3f;?<7>5;h66b?6=3f;mm7>5;h3a2?6=3f8j:7>5;n325?6=3`;=6=4+1e3950=i9m:1<65f1583>!7c93;>7c?k0;38?l74290/=i?5149m5a6=:21b=<4?:%3g5?723g;o<7=4;hd94?"6l80:96`>d187?>ob290/=i?5149m5a6==21bh7>5$0f2>43!7c93;>7c?k0;58?ld=83.:h<4>5:l2`5d0821>h6l90h76g9:18'5a7=9<1e=i>5d:9j5`<72-;o=7?:;o3g4?c<3`;o6=4+1e3950=i9m:1j65m38794?7=83:p(>8>:024?M5><2B8;:5`11494?=zj:3=6=4>:183!51939<46F<959K7210D>98;%322?6>o>=3:17doj:188k4ce2900qo:>9;291?6=8r.8:<4>059K7<2<@:=<7)?>6;28m5<:<7>52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th?=l4?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi=<<50;;94?6|,:<:6>?4H2;7?M50?2c:<44?::k24d<722c:t$242>67<@:3?7E=87:k24<<722c:t$242>7d<@:3?7E=87:k24<<722c:N4?>1b==650;9l5ge=831vn>:6:181>5<7s-9==7?>7:J0=1=O;>=0e<>7:188k4dd2900qo=;a;297?6=8r.8:<4=b:J0=1=O;>=0e<>6:188m46f2900c50z&024<5j2B8595G3658m46>2900e<>n:188k4dd2900qo?:3;296?6=8r.8:<4>169K7<2<@:=<7d??8;29?j7ek3:17pl>5583>7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm14794?4=83:p(>8>:034?M5><2B8;:5f11:94?=h9ki1<75rb075>5<3290;w)=91;0`?M5><2B8;:5f11;94?=n99k1<75f11`94?=h9ki1<75rb530>5<4290;w)=91;0a?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zj=;?6=4=:183!5193;:;6F<959K7215<:97>52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th?=;4?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi8<950;194?6|,:<:6?l4H2;7?M50?2c:<44?::k24d<722e:nn4?::a04>=83>1<7>t$242>7e<@:3?7E=87:k24<<722c:t$242>4703A9286F<769j55>=831d=om50;9~f4`d29086=4?{%155?4e3A9286F<769j55?=831b==o50;9l5ge=831vn5<7s-9==7?>7:J0=1=O;>=0e<>7:188k4dd2900qo?ie;296?6=8r.8:<4>169K7<2<@:=<7d??8;29?j7ek3:17pl>fg83>6<729q/?;?52c9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xd5890;6>4?:1y'737=:k1C?4:4H254?l7713:17d??a;29?j7ek3:17pl=0083>6<729q/?;?51cd8L6?33A9<;6g>0883>>o68h0;66a>bb83>>{e:981<7=50;2x 6062;h0D>7;;I143>o6800;66g>0`83>>i6jj0;66sm2`594?5=83:p(>8>:3`8L6?33A9<;6g>0883>>o68h0;66a>bb83>>{e:hn1<7<50;2x 60628;<7E=64:J032=n9921<75`1ca94?=zj;kn6=4=:183!5193;:;6F<959K7215<52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th9n=4?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi>o?50;094?6|,:<:6N4?>1b==650;9l5ge=831vn?l=:181>5<7s-9==7?>7:J0=1=O;>=0e<>7:188k4dd2900qo169K7<2<@:=<7d??8;29?j7ek3:17pl=b583>6<729q/?;?52c9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xd5i10;694?:1y'737=:j1C?4:4H254?l7713:17d??a;29?l77j3:17b?mc;29?xd5i00;6>4?:1y'737=9kl0D>7;;I143>o6800;66g>0`83>>i6jj0;66sm2`c94?5=83:p(>8>:3`8L6?33A9<;6g>0883>>o68h0;66a>bb83>>{e:hh1<7=50;2x 60628hm7E=64:J032=n9931<75f11c94?=h9ki1<75rb3c`>5<3290;w)=91;0`?M5><2B8;:5f11;94?=n99k1<75f11`94?=h9ki1<75rb2:b>5<2290;w)=91;0g?M5><2B8;:5f11;94?=n99k1<75f11`94?=n99i1<75`1ca94?=zj:2i6=4<:183!51938i7E=64:J032=n9931<75f11c94?=h9ki1<75rb2:`>5<4290;w)=91;1:7>N41=1C?:94i02:>5<5<52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th84h4?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi?5h50;194?6|,:<:6?l4H2;7?M50?2c:<44?::k24d<722e:nn4?::a7<6=8391<7>t$242>7d<@:3?7E=87:k24<<722c:=8391<7>t$242>4da3A9286F<769j55?=831b==o50;9l5ge=831vn>;6:186>5<7s-9==7N4?>1b==750;9j55g=831b==l50;9j55e=831d=om50;9~f63f29096=4?{%155?76?2B8595G3658m46?2900c7>50z&024<69>1C?4:4H254?l7703:17b?mc;29?xd4=j0;6?4?:1y'737=98=0D>7;;I143>o6810;66a>bb83>>{e;5<2290;w)=91;0g?M5><2B8;:5f11;94?=n99k1<75f11`94?=n99i1<75`1ca94?=zj:?>6=4<:183!51938i7E=64:J032=n9931<75f11c94?=h9ki1<75rb275>5<4290;w)=91;3ab>N41=1C?:94i02:>5<5<;7>53;294~"4>809n6F<959K7215<0D>98;h33=?6=3`;;m7>5;n3ag?6=3th:8?4?:283>5}#;?;1=oh4H2;7?M50?2c:<44?::k24d<722e:nn4?::a515=8381<7>t$242>4703A9286F<769j55>=831d=om50;9~f42329086=4?{%155?4e3A9286F<769j55?=831b==o50;9l5ge=831vn<:::187>5<7s-9==7N4?>1b==750;9j55g=831b==l50;9l5ge=831vn<:9:187>5<7s-9==7?l0:J0=1=O;>=0e<>6:188m46f2900e<>m:188k4dd2900q~850;6xZ3=:9881==l4=27:>46>349>m7??8:p3?6=2;33g>;4=00:544=99n01>;6:02a?852k3;;46s|9;290~X>34;:>7??e:?011<68h16=9?511;8yvg=83?pRl52100955`<5:?26<>l;<16`?77027:8<4>0`9~wg<72:qUn63>138255=:;<>1==74}ra94?5|Vj16=<=511;896>f28:j7p}k:180[b<58;86<>n;<1;e?7712wxi7>53z\f?876;3;;n63<8`824g=z{o0;6>uQf:?256<68j16?5o511a8yv76290;<327?77l2788:4>089>042=99201?l<:02;?85?j3;;563>43824<=z{891<76t^018947428:n70=;8;33<>;39<0:<5521ga955g<5;h96<>7;<1;f?77i27:8>4>099~w42=83hpR<:4=030>46a349?;7??a:?216<681168<=511c894`e28:370?ic;33=>;5io0:<5522`:955g<5:2h6<>n;<371?77i2wx=;4?:cy]53=:9891=<>4=26:>46?34;>97??8:?753<68116=kk511:897g028:270;5j90:<55239f955><58>=6<>n;|q2`?6=;rT:h63>13824<=:;<21==74}r3f>5<4sW;n70?>2;33e>;4=10:6}Y1h168=h5949>04?=1<1v:181[76927:=94>bb9~w4752909w0?>2;3ag>;69=0:<45rs030>5<5s4;:?7?mc:?251<68h1v<:?:181[73827:8;4>bb9~w4262909w0?;1;3ag>;6<=0:5<5s4;?>7?mc:?200<6801v<:<:181873;3;io63>44824g=z{8>?6=4={<370?7ek27:8;4>089~w4222909w0?;5;3ag>;65<5sW;>>63>5782ff=z{8?86=4={<367?7ek27:9;4>0`9~w4332909w0?:4;3ag>;6=?0:<45rs076>5<5s4;>97?mc:?213<68k1v279m:4>0`9>6g7=99201<:=:02b?xu6j10;6?u23879550<5=;26l84}r3a=?6=:r785;4>ce9>05`=il1vbb9~w4`e2909w0?ib;3ag>;6no0:5<5s4;mo7?mc:?145<6801v0`9~w4`a2909w0?if;3ag>;5880:<45rs323>5<5s48;<7?mc:?144<68h1v?>>:18184793;io63=03824<=z{;k=6=4={_0b2>;5ij0:nn5rs3c4>5<5s48j;7?mc:?1e<<6801v?o7:18184f03;io63=ac824d=z{;k26=4={<0b=?7ek279ml4>089~w7gf2909w0;5ik0:<45rs3ca>5<5s48jn7?mc:?1ef<68h1v?ok:18184fl3;io63=b5824<=z{;kn6=4={<0ba?7ek279n94>0`9~w7ga2909w0;5ih0:5<5s48i<7?mc:?1e=<6801v?l>:18184e93;io63=a9824g=z{;h96=4={<0a6?7ek279mn4>089~w7d42909w0;5ij0:5<5s48i87?mc:?1e<<68h1v>:9:181[53>2788o4>bb9~w6202909w0=;7;3ag>;45<5s49?47?mc:?00d<6801v>:6:18185313;io63<4`824d=z{:>j6=4={<17e?7ek2788o4>0`9~w6342909wS=:3:?012<6jj1v>;;:181852<3;io63<54824<=z{:?>6=4={<161?7ek2789:4>089~w6312909w0=:6;3ag>;4=>0:5<5s49>47?mc:?01`<68h1v>;6:18185213;io63<57824<=z{:?j6=4={<16e?7ek2789k4>089~w63e2909w0=:b;3ag>;4=o0:5<5s49>o7?mc:?01c<68k1v>;k:181852l3;io63<5g824f=z{:?n6=4={<16a?7ek278984>0`9~w63a2909w0=:f;3ag>;4=?0:5<4s492=7?mc:?01`<68016=9:511;8yv5?i3:1>v3<8`82ff=:;0;1==o4}r1;f?6=:r784o4>bb9>7<6=9930q~=7c;296~;40j0:nn5239d955?52z?0v3<8d82ff=:;0;1==l4}r1;b?6=:r784k4>bb9>7<6=99k0q~=60;296~;4190:nn52383955?;j7>52z?74c<6mk168<75ad9~w1762909w0:?f;c5?82683;io6s|40094?4|5=:m6474=53;>4dd3ty?=>4?:3y>045=9ki019?7:02b?xu39=0;6?u240695ge<5=;<6<>6;|q750<72;q68<;51ca8917028:j7p};1783>7}:<8<1=om4=53;>46e3ty?=:4?:3y>041=9ki019?7:02:?xu3900;68u240;95`d<58lo6<>7;<3eb?771279mh4>099>7=e=9930q~:>b;296~;39002563;1`82ff=z{=8<6=4={_613>;3990:<55rs57e>5<4sW>>j63>55824==:;1o1==64}|`727<72:>1ol4j9zJ032=#;?;1=k74Z8696~7=;3w/=o>53728m50;9l757=831d8>750;9j7g4=831d8?m50;9l076=831d?i?50;9j76c=831b:;4?::m74g<722c8j?4?::k71c<722c?>k4?::k2bd<722e?>44?::k1e3<722e9i44?::m741<722e:ik4?:%3g5?7bm2d:h=4?;:m2aa<72-;o=7?je:l2`5<632e:j54?:%3g5?7bm2d:h=4=;:m2b2<72-;o=7?je:l2`5<432e:j;4?:%3g5?7bm2d:h=4;;:m2b0<72-;o=7?je:l2`5<232e:j94?:%3g5?7bm2d:h=49;:m2b6<72-;o=7?je:l2`5<032e:j?4?:%3g5?7bm2d:h=47;:m2b4<72-;o=7?je:l2`5<>32e:j=4?:%3g5?7bm2d:h=4n;:m2af<72-;o=7?je:l2`55$0f2>446`>d182?>oa290/=i?5139m5a6=:21bi7>5$0f2>4454ie83>!7c93;97c?k0;68?le=83.:h<4>2:l2`5<232ci6=4+1e3957=i9m:1:65fa;29 4b62880b<3`21<7*>d0826>h6l90276g8:18'5a7=9;1e=i>5a:9j2?6=,8n:6<<4n0f3>g=d0826>h6l90h76g>d;29 4b62880b2:l2`55$0f2>446`>d1824>=n900;6)?k1;31?k7c83;:76g>8;29 4b62880b50;&2`4<6:2d:h=4>4:9a7<3=83;1<7>t$242>4603A9286F<769l550=831vn??6:186>5<7s-9==7??4:J0=1=O;>=0(5;h;6>5<>of>3:17d7::188mdc=831d=hl50;9~f3?=8391<7>t$242>4643A9286F<769j=0<722cji7>5;n3ff?6=3th9>54?:283>5}#;?;1===4H2;7?M50?2.:=;4?;h;6>5<>ofm3:17b?jb;29?xd58=0;6>4?:1y'737=9990D>7;;I143>"69?0;7d7::188mdc=831d=hl50;9~f65c29086=4?{%155?77;2B8595G3658 471291b584?::kba?6=3f;nn7>5;|`0`4<72:0;6=u+3739555<@:3?7E=87:k:1?6=3`kn6=44o0ga>5<53;294~"4>80:<>5G3868L6103-;::7>4i8794?=nil0;66a>ec83>>{e:j?1<7=50;2x 60628:87E=64:J032=#98<1<6g65;29?lgb2900c50z&024<68:1C?4:4H254?!76>3:0e4;50;9je`<722e:io4?::a6``=8381<7>t$242>4703A9286F<769j55>=831d=om50;9~f7`329086=4?{%155?7en2B8595G3658m46>2900e<>n:188k4dd2900qo:169K7<2<@:=<7d??8;29?j7ek3:17pl;0483>7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm43c94?4=83:p(>8>:034?M5><2B8;:5f11:94?=h9ki1<75rb306>5<5290;w)=91;323>N41=1C?:94i02;>5<0D>98;h335;|`162<72:0;6=u+37396g=O;0>0D>98;h33=?6=3`;;m7>5;n3ag?6=3th8h;4?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi>h950;094?6|,:<:6N4?>1b==650;9l5ge=831vn?k7:180>5<7s-9==7N4?>1b==750;9j55g=831d=om50;9~f6b529096=4?{%155?76?2B8595G3658m46?2900c50z&024<6jo1C?4:4H254?l7713:17d??a;29?j7ek3:17pl;0b83>7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm41f94?5=83:p(>8>:0`e?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zj=9h6=4=:183!5193;:;6F<959K7215<8h7>52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th??h4?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi8>h50;794?6|,:<:6?j4H2;7?M50?2c:<44?::k24d<722c:t$242>4703A9286F<769j55>=831d=om50;9~f16?29096=4?{%155?76?2B8595G3658m46?2900c7>50z&024<69>1C?4:4H254?l7703:17b?mc;29?xd38h0;684?:1y'737=:m1C?4:4H254?l7713:17d??a;29?l77j3:17d??c;29?j7ek3:17pl=5983>6<729q/?;?52c9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xd5=00;6?4?:1y'737=98=0D>7;;I143>o6810;66a>bb83>>{e:7;;I143>o6800;66g>0`83>>i6jj0;66sm24`94?5=83:p(>8>:0`e?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zj;<;6=4<:183!51938i7E=64:J032=n9931<75f11c94?=h9ki1<75rb342>5<5290;w)=91;323>N41=1C?:94i02;>5<N41=1C?:94i02:>5<5<53;294~"4>80:nk5G3868L6103`;;57>5;h33e?6=3f;io7>5;|`123<72:0;6=u+37396g=O;0>0D>98;h33=?6=3`;;m7>5;n3ag?6=3th9::4?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi>;650;194?6|,:<:6?l4H2;7?M50?2c:<44?::k24d<722e:nn4?::a63?=8391<7>t$242>4da3A9286F<769j55?=831b==o50;9l5ge=831vn?8l:180>5<7s-9==7N4?>1b==750;9j55g=831d=om50;9~f70c29096=4?{%155?76?2B8595G3658m46?2900c50z&024<5j2B8595G3658m46>2900e<>n:188k4dd2900qo<9f;297?6=8r.8:<4>bg9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xd5?;0;6>4?:1y'737=:k1C?4:4H254?l7713:17d??a;29?j7ek3:17pl=7283>7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm26694?5=83:p(>8>:3`8L6?33A9<;6g>0883>>o68h0;66a>bb83>>{e:>?1<7=50;2x 60628hm7E=64:J032=n9931<75f11c94?=h9ki1<75rb35;>5<4290;w)=91;0a?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zj;=26=4=:183!5193;:;6F<959K7215<53;294~"4>809n6F<959K7215<0D>98;h33=?6=3`;;m7>5;n3ag?6=3th9;h4?:283>5}#;?;1>o5G3868L6103`;;57>5;h33e?6=3f;io7>5;|`13c<72;0;6=u+3739541<@:3?7E=87:k24=<722e:nn4?::a6=6=8391<7>t$242>7d<@:3?7E=87:k24<<722c:5?50;194?6|,:<:6N4?>1b==750;9j55g=831d=om50;9~f7>329086=4?{%155?4e3A9286F<769j55?=831b==o50;9l5ge=831vn?6::181>5<7s-9==7?>7:J0=1=O;>=0e<>7:188k4dd2900qo<76;297?6=8r.8:<4=b:J0=1=O;>=0e<>6:188m46f2900c50z&024<6jo1C?4:4H254?l7713:17d??a;29?j7ek3:17pl=9383>6<729q/?;?52c9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xd51:0;6?4?:1y'737=98=0D>7;;I143>o6810;66a>bb83>>{e:0>1<7=50;2x 6062;h0D>7;;I143>o6800;66g>0`83>>i6jj0;66sm28794?5=83:p(>8>:0`e?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zj;nh6=4<:183!5193;ij6F<959K7215<N41=1C?:94i02:>5<5<52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th9i=4?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi>h?50;794?6|,:<:6?j4H2;7?M50?2c:<44?::k24d<722c:t$242>4da3A9286F<769j55?=831b==o50;9l5ge=831vn?k<:180>5<7s-9==7N4?>1b==750;9j55g=831d=om50;9~f7c329086=4?{%155?7en2B8595G3658m46>2900e<>n:188k4dd2900qo=0e<>6:188m46f2900c50z&024<6jo1C?4:4H254?l7713:17d??a;29?j7ek3:17pl=c683>7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm2e094?5=83:p(>8>:0`e?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zj;n86=4=:183!5193;:;6F<959K7215<53;294~"4>809n6F<959K7215<0D>98;h335;|`1`3<72;0;6=u+3739541<@:3?7E=87:k24=<722e:nn4?::a6a1=8381<7>t$242>4703A9286F<769j55>=831d=om50;9~f7b?29086=4?{%155?4e3A9286F<769j55?=831b==o50;9l5ge=831vn?j6:181>5<7s-9==7?>7:J0=1=O;>=0e<>7:188k4dd2900qo=0e<>6:188m46f2900c50z&024<5j2B8595G3658m46>2900e<>n:188k4dd2900qo=0e<>6:188m46f2900c50z&024<5j2B8595G3658m46>2900e<>n:188k4dd2900qobg9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xd5km0;6>4?:1y'737=:k1C?4:4H254?l7713:17d??a;29?j7ek3:17pl=cd83>6<729q/?;?51cd8L6?33A9<;6g>0883>>o68h0;66a>bb83>>{e:jl1<7=50;2x 60628hm7E=64:J032=n9931<75f11c94?=h9ki1<75rb3f3>5<4290;w)=91;0a?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zj;n:6=4;:183!5193;h<6F<959K7215<5<52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th9ni4?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi>ok50;194?6|,:<:6?l4H2;7?M50?2c:<44?::k24d<722e:nn4?::a6g`=8381<7>t$242>4703A9286F<769j55>=831d=om50;9~f7e729086=4?{%155?4e3A9286F<769j55?=831b==o50;9l5ge=831vn?m>:181>5<7s-9==7?>7:J0=1=O;>=0e<>7:188k4dd2900qo169K7<2<@:=<7d??8;29?j7ek3:17pl=c283>7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm2b694?5=83:p(>8>:0`e?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zj;h36=4<:183!51938i7E=64:J032=n9931<75f11c94?=h9ki1<75rb3`:>5<4290;w)=91;0a?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zj;hj6=4<:183!51938i7E=64:J032=n9931<75f11c94?=h9ki1<75rb3`a>5<3290;w)=91;0`?M5><2B8;:5f11;94?=n99k1<75f11`94?=h9ki1<75rb3``>5<3290;w)=91;3`4>N41=1C?:94i02:>5<5<0D>98;h335;|`11<7>t$242>7e<@:3?7E=87:k24<<722c:t$242>4da3A9286F<769j55?=831b==o50;9l5ge=831vn?6j:180>5<7s-9==7N4?>1b==750;9j55g=831d=om50;9~f7>a29086=4?{%155?7en2B8595G3658m46>2900e<>n:188k4dd2900qo?l4;296?6=8r.8:<4>169K7<2<@:=<7d??8;29?j7ek3:17pl>c483>7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm1b494?4=83:p(>8>:034?M5><2B8;:5f11:94?=h9ki1<75rb0a4>5<5290;w)=91;323>N41=1C?:94i02;>5<N41=1C?:94i02:>5<5<5<53;294~"4>80:nk5G3868L6103`;;57>5;h33e?6=3f;io7>5;|`17f<72:0;6=u+37396g=O;0>0D>98;h33=?6=3`;;m7>5;n3ag?6=3th98:4?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi>9h50;094?6|,:<:6N4?>1b==650;9l5ge=831vn?;?:181>5<7s-9==7?>7:J0=1=O;>=0e<>7:188k4dd2900qo<:1;296?6=8r.8:<4>169K7<2<@:=<7d??8;29?j7ek3:17pl=5383>7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm24194?4=83:p(>8>:034?M5><2B8;:5f11:94?=h9ki1<75rb377>5<5290;w)=91;323>N41=1C?:94i02;>5<0D>98;h335;|`17a<72;0;6=u+3739541<@:3?7E=87:k24=<722e:nn4?::a66c=8381<7>t$242>4703A9286F<769j55>=831d=om50;9~f75a29086=4?{%155?4e3A9286F<769j55?=831b==o50;9l5ge=831vn?:?:180>5<7s-9==7N4?>1b==750;9j55g=831d=om50;9~f72629086=4?{%155?4e3A9286F<769j55?=831b==o50;9l5ge=831vn?:=:187>5<7s-9==7N4?>1b==750;9j55g=831b==l50;9l5ge=831vn?:<:180>5<7s-9==7?mf:J0=1=O;>=0e<>6:188m46f2900c50z&024<6jo1C?4:4H254?l7713:17d??a;29?j7ek3:17pl=4483>6<729q/?;?51cd8L6?33A9<;6g>0883>>o68h0;66a>bb83>>{e:=<1<7=50;2x 6062;h0D>7;;I143>o6800;66g>0`83>>i6jj0;66sm25:94?5=83:p(>8>:3`8L6?33A9<;6g>0883>>o68h0;66a>bb83>>{e:=31<7=50;2x 6062;h0D>7;;I143>o6800;66g>0`83>>i6jj0;66sm25c94?5=83:p(>8>:0`e?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zj;>i6=4<:183!51938i7E=64:J032=n9931<75f11c94?=h9ki1<75rb36`>5<4290;w)=91;3ab>N41=1C?:94i02:>5<5<53;294~"4>809n6F<959K7215<0D>98;h33=?6=3`;;m7>5;n3ag?6=3th9<;4?:283>5}#;?;1=oh4H2;7?M50?2c:<44?::k24d<722e:nn4?::a647=8381<7>t$242>4703A9286F<769j55>=831d=om50;9~f77529096=4?{%155?76?2B8595G3658m46?2900c50z&024<5j2B8595G3658m46>2900e<>n:188k4dd2900qo<>4;296?6=8r.8:<4>169K7<2<@:=<7d??8;29?j7ek3:17pl=1483>7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm20494?4=83:p(>8>:034?M5><2B8;:5f11:94?=h9ki1<75rb334>5<3290;w)=91;0`?M5><2B8;:5f11;94?=n99k1<75f11`94?=h9ki1<75rb33;>5<5290;w)=91;323>N41=1C?:94i02;>5<0D>98;h335;|`14=<72=0;6=u+37395f6<@:3?7E=87:k24<<722c:t$242>7d<@:3?7E=87:k24<<722c:=o50;694?6|,:<:6?m4H2;7?M50?2c:<44?::k24d<722c:=l50;194?6|,:<:6?l4H2;7?M50?2c:<44?::k24d<722e:nn4?::a65e=8391<7>t$242>7d<@:3?7E=87:k24<<722c:=j50;194?6|,:<:6N4?>1b==750;9j55g=831d=om50;9~f76b29086=4?{%155?7en2B8595G3658m46>2900e<>n:188k4dd2900qo=0e<>6:188m46f2900c50z&024<6k91C?4:4H254?l7713:17d??a;29?l77j3:17b?mc;29?xd3:80;6?4?:1y'737=98=0D>7;;I143>o6810;66a>bb83>>{e<;81<7<50;2x 60628;<7E=64:J032=n9921<75`1ca94?=zj=886=4=:183!5193;:;6F<959K7215<987>54;294~"4>80:o=5G3868L6103`;;57>5;h33e?6=3`;;n7>5;n3ag?6=3th?>84?:283>5}#;?;1>o5G3868L6103`;;57>5;h33e?6=3f;io7>5;|`15g<72:0;6=u+37396g=O;0>0D>98;h33=?6=3`;;m7>5;n3ag?6=3th9=i4?:583>5}#;?;1>n5G3868L6103`;;57>5;h33e?6=3`;;n7>5;n3ag?6=3th9=h4?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi>N4?>1b==650;9l5ge=831vn?5<7s-9==7?>7:J0=1=O;>=0e<>7:188k4dd2900qo<=1;296?6=8r.8:<4>169K7<2<@:=<7d??8;29?j7ek3:17pl=2383>0<729q/?;?52e9K7<2<@:=<7d??9;29?l77i3:17d??b;29?l77k3:17b?mc;29?xd5::0;6>4?:1y'737=9kl0D>7;;I143>o6800;66g>0`83>>i6jj0;66sm23694?5=83:p(>8>:3`8L6?33A9<;6g>0883>>o68h0;66a>bb83>>{e:8i1<7=50;2x 60628hm7E=64:J032=n9931<75f11c94?=h9ki1<75rb30b>5<3290;w)=91;0`?M5><2B8;:5f11;94?=n99k1<75f11`94?=h9ki1<75rb311>5<4290;w)=91;0a?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zj;986=4=:183!5193;:;6F<959K7215<52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th9?84?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi>>850;094?6|,:<:6N4?>1b==650;9l5ge=831vn?=8:181>5<7s-9==7?>7:J0=1=O;>=0e<>7:188k4dd2900qo<<8;296?6=8r.8:<4>169K7<2<@:=<7d??8;29?j7ek3:17pl=3883>7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm23`94?5=83:p(>8>:0`e?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zj;8h6=4<:183!51938i7E=64:J032=n9931<75f11c94?=h9ki1<75rb30g>5<4290;w)=91;0a?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zj;8n6=4;:183!5193;h<6F<959K7215<5<54;294~"4>809o6F<959K7215<5<53;294~"4>80:nk5G3868L6103`;;57>5;h33e?6=3f;io7>5;|`174<72:0;6=u+37396g=O;0>0D>98;h33=?6=3`;;m7>5;n3ag?6=3ty=6=4={_48973f28:27p}8:181[1<5;<96<>6;|q;>5<5sW201?87:02:?xu>2909wS74=34f>46>3tyj6=4={_c8971328:27p}m:181[d<5;=j6<>6;|q`>5<5sWi01?6?:02:?xuc2909wSj4=3:5>46>3tyn6=4={_g897>b28:27p}>0;296~X68279954>0`9~w47=838pR46f3ty:;7>52z\23>;5>90:vP>9:?12f<68h1vn;|q2f?6=:rT:n63=79824d=z{8i1<7d;296~X6l279494>0`9~w4c=838pR46>3ty=:7>513y]23=:>00ji63=188ba>;6k;0ji63=dd824d=::l;1==74=3f0>46?348o87??a:?1`<<68116>n>511c894e028:370<;7;33<>;5==0:<55225;955?<5;;:6<>7;<027?771279><4>099>663=9920q~86:18680>28oi70;5=:0:<552206955><5;8i6<>6;|q:e?6=krT2m63=188:1>;6k;0296399;;6?845033>70<70=7070=k2;33<>{t9k21<7=t=2;6>461348:57o9;<3`6?g13ty:o?4?:6y>5f4=9lh01?ji:02;?84d93;;463=50824==::921==o4=33a>46f3488>7??9:p5f5=838p128hh7p}>c583>7}:9j>1=om4=0a;>46f3ty:o84?:3y>5f3=9ki01l;|q2g2<72;q6=n951ca894e>28:j7p}>c983>7}:9j21=om4=0a:>46>3ty:in4?:3y]5`e<5;?i65Q1df8yv7bn3:1?vPi;_3fb>;51=0:<45rs0d3>5<5sW;m<63=6282ff=z{8l:6=4={_3e5>;5>00:nn5rs0d1>5<5sW;m>63=6g82ff=z{8l86=4={_3e7>;5?<0:nn5rs0d7>5<5sW;m863=7c82ff=z{8l>6=4={_3e1>;5080:nn5rs0d5>5<5sW;m:63=8682ff=z{8l<6=4={_3e3>;50o0:nn5rs0d;>5<5sW;m463=9482ff=z{8lj6=4>1z\2bd=::ll1==64=3ff>46>348o:7??8:?1g5<68016>5o511:897>d28:j70?l8;33=>;5=;0:<552247955><5;>:6<>6;<026?770279=84>099>077=99201?<=:02:?844:3;;m63=35824==z{;:86=4;{<013?7ek27??k4>089>05g=993019<=:02;?xu58=0;6?u221695`d<5;8=6<>7;|q140<72;q6>=:5ad9>646=9ki0q~52z?142<6jj16>=o511`8yv4703:1>v3=0982ff=::9h1==o4}r03=?6=:r79<44>bb9>65e=99k0q~52z?14g<6jj16>=j511;8yv47k3:1>v3=0b82ff=::9n1==o4}r03`?6=:r79bb9>65c=99k0q~52z?14c<6jj16><>511c8yv4693:1>v3=1082ff=::921==74}r026?6=:r79=?4>bb9>65>=99h0q~<>3;296~;59:0:nn5221a955?52z?151<6jj16>=7511;8yv46=3:1>v3=1482ff=::931==o4}r022?6=:r79=;4>bb9>65`=99k0q~<>7;296~;59>0:nn52202955?52z?15=<6jj16>=o511;8yv4613:1>v3=1882ag=::;?1==64}r02e?6=:r79=4469:?15f<6jj1v??m:181846j3;io63=25824<=z{;;o6=4={<02`?7ek279>>4>089~w77b2909w0<>e;3ag>;5:;0:5<5s48:j7?mc:?167<68k1v?0`9~w7452909w0<=2;3ag>;5::0:5<5s489?7?mc:?161<68h1v?<;:181845<3;io63=1b824<=z{;8>6=4={<011?7ek279>:4>089~w7412909w0<=6;3ag>;5:>0:5<5s48947?jb:?1b1<6801v?<6:18184503kn70<<1;3ag>{t:;k1<74dd3488<7??9:p67d=838p1??j50;0x974c28hh70<=e;33=>{t:;o1<74dd3489j7??a:p67`=838p1?><50;0x975528hh70<=e;33e>{t::91<74dd3489n7??a:p662=838p1?=;:0``?845l3;;m6s|22794?4|5;9>6>850;0x975128hh70<=f;33=>{t::=1<74dd3489o7??9:p66>=838p1?=7:0``?845k3;;m6s|22;94?4|5;926>o50;35844i3;nn6346?348=<7??9:?124<68116>;8511;8970028:370<9c;33=>;5>m0:<552260955?<5;=86<>7;<04099>62c=99301?9i:02;?84?<3;;563=84824==::081==74=3;0>46?3483n7??8:?1{t::i1<74dd3488j7??9:p66b=838p1?=k:0``?843j3;;m6s|22g94?4|5;9n6>h50;0x975a28hh70<;4;33=>{t:=:1<74dd348?o7??9:p617=838p1?:>:0``?843=3;;56s|25094?4|5;>969=50;0x972428hh70<;6;33e>{t:=>1<74dd348?47??a:p613=838p1?:::0``?84313;;m6s|25494?4|5;>=69950;0x972028hh70<{t:=21<74dd348?i7??9:p61?=838p1?:6:0``?843i3;;56s|25c94?4|5;>j69l50;0x972e28hh70<;c;33e>{t:=i1<74dd348?h7??9:p61b=838p1?:k:0``?843m3;;m6s|25d94?4|5;>m68>50;0x973728hh70<;0;33e>{t:<;1<74dd348?=7??a:p604=838p1?;=:0``?843:3;;56s|24194?4|5;?868:50;0x973328hh70<;3;33=>{t:4dd348??7??a:p601=838p1?;7:0``?842j3;;56s|24:94?4|5;?268750;0x973f28hh70<:b;33e>{t:4dd348=?7??9:p636=838p1?8>:0``?841:3;;m6s|27394?4|5;<96;;50;0x970128hh70<99;33=>{t:?<1<74dd348=47??a:p631=838p1?87:0``?84113;;m6s|27`94?4|5;;m50;0x970c28hh70<9e;33e>{t:?n1<74dd348=j7??a:p627=838p1?9=:0``?840=3;;56s|26094?4|5;=86:=50;0x971328hh70<85;33e>{t:>=1<74dd348=838p1?96:0``?840i3;;m6s|26;94?4|5;=j6:j50;0x971b28hh70<71;33=>{t:>o1<74dd3483<7??a:p62`=838p1?6?:0``?84?93;;m6s|29194?4|5;2?65:50;0x97>228hh70<76;33e>{t:1?1<74dd3483;7??a:p6=?=838p1?6n:0``?84?l3;;56s|29c94?4|5;2i65l50;0x97>d28hh70<7f;33e>{t:1i1<74dd3483i7??a:p6=b=838p1?6j:0``?84?n3;;56s|28394?4|5;3964<50;0x97?428hh70<64;33e>{t:091<74dd348297??a:p6d0=838pR?o9;<61e?7702wx>o;50;3284e=3;nn63=e6824==::mi1==o4=3g2>46e348o>7??9:?1`=<68h16>oh511:897df28:270?l6;33<>;5;j0:<5;;=6<>7;<03e?77i279=i4>089>64c=99201?3;;46s|2c494?4|5;h>6lk4=3``>4dd3ty9n:4?:3y>6g1=9ki01?m;:02:?xu5j10;6?u22c:95ge<5;hh6<>6;|q1f<<72;q6>o751ca897de28:27p}=b`83>7}::kk1=om4=3``>46f3ty9no4?:3y>6gd=9ki01?ll:02a?xu5jm0;6?u22cf95ge<5;i?6<>n;|q1f`<72;q6>ok51ca897d?28:27p}=bg83>7}::kl1=om4=3`;>46f3ty9o=4?:3y>6f6=9ki01?lm:02b?xu5k80;6?u22b395ge<5;h26<>6;|q1g7<72;q6>n<51ca897d>28:j7p}=c283>7}::j91=om4=3`a>46e3ty9o94?:3y>6f2=9ki01?ln:02b?xu5k<0;6<:t=3a6>4ce348n47??9:?1`f<68016>h?511a897b028:370;5j>0:<5522cg955?<5;i96<>7;<3`1?7702798k4>099>66b=99201?:?:02:?847>3;;563=16824d=::821==64=33g>46f348:j7??8:?16d<68h16>>9511:8yv4d>3:1>v3=c48ba>;5l80:nn5rs3a4>5<5s48h;7?mc:?1g=<68h1v?m7:18184d03;io63=d0824d=z{;i26=4={<0`=?7ek279oh4>089~w7ef2909w0;5kj0:5<5s48hn7?mc:?1`4<68k1v?ml:18184dk3;io63=ce824<=z{;io6=4={<0``?7ek279oh4>0`9~w7eb2909w0;5ko0:5<5s48hj7?mc:?1`5<6801v?j?:18184c83;io63=d0824<=z{;n96=4={<0g6?7ek279ok4>089~w7b42909w0;5k00:5<5s48o87?mc:?1gd<6801v?j::18184c=3;io63=c`824d=z{;n=6=4={<0g2?7ek279oi4>0`9~w7b02909w0;5l90:5<5s48o47?mc:?1gg<6801v?j6:18184c13;io63=cc824d=z{;nj6=4>1z?1`d<6mk16>h>511:897e028:370;5jm0:<5522cg955g<5;i86<>7;<3`0?7702799=4>099>61>=99301?>9:02b?846?3;;n63=06824==::8n1==l4=303>46?3489m7??b:?17=<6811v?jm:18184ci3kn70{t:mi1<74dd348n87??9:p6ac=838p1?jj:0``?84b;3;;56s|2ed94?4|5;nm6h>50;0x97c728hh70{t:l;1<74dd348oh7??a:p6`4=838p1?k=:0``?84b;3;;m6s|2d194?4|5;o86h:50;0x97c328hh70{t:l?1<74dd348oh7??9:p6`0=838p1?k8:0``?84b03;;m6s|2d;94?4|V;o270{t:ll1<74dd348m87??a:p76b=839pR>>>;<10`?7bj27?>>4>099~w65b290>wS=9<511c8977428:j70<<3;33<>{t;=:1<7mt^263?84b93;;m63=e3824<=::m21==74=3a:>46>348ho7??9:?17f<68016>98511;8977028:270;59k0:<45222;955>52z?07abb9~w6d5290=wS=m2:?76a<68h168=j511;8915d28:370:?7;33<>;3:=0:5<5sW9o=637?mc:p7a3=838p1>j>:`g896b128hh7p}7}Y;o8019>6:02;?xu38=0;6?uQ4168916228hh7p};0783>7}:<9?1==64=52b>4dd3ty?<:4?:3y>051=9ki019>n:02b?xu3810;6?u241:95ge<5=:j6<>m;|q74<<72;q68=751ca8916f28:h7p};0c83>7}Y<9h019>k:0``?xu38j0;6?u241a95ge<5=:o6<>n;|q765<72;qU8?>4=506>4dd3ty?><4?:3y>077=9ki019<;:02:?xu3:;0;6?u243095ge<5=8?6<>m;|q766<72;q68?=51ca8914228:j7p};2583>7}:<;>1=om4=506>46>3ty?>44?:3y]07?<5=8j64dd3ty?>k4?:3y]07`<5=9n6<>7;|q77<<72;qU8>74=51b>4dd3ty??o4?:3y>06g=992019=i:0``?xu3;j0;6?u242a95ge<5=9m6<>n;|q77a<72;q68>j51ca8915a28:i7p};3d83>7}:<:o1=om4=51e>46d3ty?9k4?:4y]00`<5=8o6<>6;<63g?77027??i4>099>05>=9920qpl45=><0=jvF<769'737=9:l0(4?::m2e5<722e:j7>5;n0;>5<6=4>:183!5193;;;6F<959K7210D>98;h;:>5<>ofm3:17b?jb;29?xd1i3:197>50z&024<68=1C?4:4H254?l?>2900el850;9j=0<722cji7>5;n3ff?6=3th:o?4?:483>5}#;?;1==:4H2;7?M50?2.:=;4?;h;:>5<>ofm3:17b?jb;29?xd503:197>50z&024<68=1C?4:4H254?l?>2900el850;9j=0<722cji7>5;n3ff?6=3thjn7>53;294~"4>80:<>5G3868L6103`3>6=44i`g94?=h9lh1<75rb0`0>5<4290;w)=91;337>N41=1C?:94i8794?=nil0;66a>ec83>>{e9o0;6>4?:1y'737=9990D>7;;I143>o>=3:17doj:188k4ce2900qo?:7;297?6=8r.8:<4>029K7<2<@:=<7d7::188mdc=831d=hl50;9~f44c29086=4?{%155?77;2B8595G3658 471291b584?::kba?6=3f;nn7>5;|`2e5<72<0;6=u+3739552<@:3?7E=87:k:=?6=3`k=6=44i8794?=nil0;66a>ec83>>{e9==1<7;50;2x 60628:?7E=64:J032=n100;66gn6;29?l?22900elk50;9l5`d=831vn<8;:186>5<7s-9==7??4:J0=1=O;>=0e4750;9je3<722c297>5;hcf>5<0D>98;h;:>5<>ofm3:17b?jb;29?xd60D>7;;I143>o>13:17do9:188m<3=831bmh4?::m2ag<722wi=:<50;794?6|,:<:6<>;;I1:0>N4?>1b544?::kb2?6=3`3>6=44i`g94?=h9lh1<75rb0f1>5<4290;w)=91;337>N41=1C?:94$035>5=n1<0;66gne;29?j7bj3:17pl>d783>6<729q/?;?51118L6?33A9<;6*>1783?l?22900elk50;9l5`d=831vnlj50;194?6|,:<:6?l4H2;7?M50?2c:<44?::k24d<722e:nn4?::a506=8381<7>t$242>4703A9286F<769j55>=831d=om50;9~f4g>29096=4?{%155?76?2B8595G3658m46?2900c5<7s-9==7?>7:J0=1=O;>=0e<>7:188k4dd2900qo?8d;297?6=8r.8:<4=b:J0=1=O;>=0e<>6:188m46f2900c2900e<>n:188k4dd2900qo?j6;296?6=8r.8:<4>169K7<2<@:=<7d??8;29?j7ek3:17pl>e683>6<729q/?;?52c9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xd4m=0;6>4?:1y'737=:k1C?4:4H254?l7713:17d??a;29?j7ek3:17pl7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm3d494?5=83:p(>8>:3`8L6?33A9<;6g>0883>>o68h0;66a>bb83>>{e9?<1<7=50;2x 6062;h0D>7;;I143>o6800;66g>0`83>>i6jj0;66sm17594?4=83:p(>8>:034?M5><2B8;:5f11:94?=h9ki1<75rb04;>5<4290;w)=91;0a?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zj8<26=4<:183!5193;ij6F<959K7215<N41=1C?:94i02:>5<5<52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th:8o4?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi=9m50;194?6|,:<:6?l4H2;7?M50?2c:<44?::k24d<722e:nn4?::a51b=8391<7>t$242>4da3A9286F<769j55?=831b==o50;9l5ge=831vn5<7s-9==7N4?>1b==750;9j55g=831d=om50;9~f4g429096=4?{%155?76?2B8595G3658m46?2900c7>50z&024<69>1C?4:4H254?l7703:17b?mc;29?xd6i<0;6>4?:1y'737=:k1C?4:4H254?l7713:17d??a;29?j7ek3:17pl>a783>6<729q/?;?51cd8L6?33A9<;6g>0883>>o68h0;66a>bb83>>{e9m21<7<50;2x 60628;<7E=64:J032=n9921<75`1ca94?=zj8no6=4<:183!5193;ij6F<959K7215<N41=1C?:94i02:>5<5<52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th:i=4?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi=h?50;194?6|,:<:6?l4H2;7?M50?2c:<44?::k24d<722e:nn4?::a5`4=8381<7>t$242>4703A9286F<769j55>=831d=om50;9~f4c429086=4?{%155?4e3A9286F<769j55?=831b==o50;9l5ge=831vn5<7s-9==7N4?>1b==750;9j55g=831d=om50;9~f4b>29086=4?{%155?4e3A9286F<769j55?=831b==o50;9l5ge=831vn5<7s-9==7?mf:J0=1=O;>=0e<>6:188m46f2900c50z&024<5j2B8595G3658m46>2900e<>n:188k4dd2900qo?kc;290?6=8r.8:<4>c19K7<2<@:=<7d??9;29?l77i3:17d??b;29?j7ek3:17pl>d583>6<729q/?;?52c9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xd6l<0;6>4?:1y'737=9kl0D>7;;I143>o6800;66g>0`83>>i6jj0;66sm2dd94?4=83:p(>8>:034?M5><2B8;:5f11:94?=h9ki1<75rb3d7>5<5290;w)=91;323>N41=1C?:94i02;>5<N41=1C?:94i02:>5<5<54;294~"4>80:o=5G3868L6103`;;57>5;h33e?6=3`;;n7>5;n3ag?6=3th:944?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi=8l50;194?6|,:<:6N4?>1b==750;9j55g=831d=om50;9~f43d29086=4?{%155?4e3A9286F<769j55?=831b==o50;9l5ge=831vn<;k:181>5<7s-9==7?>7:J0=1=O;>=0e<>7:188k4dd2900qo?:e;296?6=8r.8:<4>169K7<2<@:=<7d??8;29?j7ek3:17pl>5g83>6<729q/?;?52c9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xd6>90;6>4?:1y'737=:k1C?4:4H254?l7713:17d??a;29?j7ek3:17pl>6083>6<729q/?;?51cd8L6?33A9<;6g>0883>>o68h0;66a>bb83>>{e9?81<7=50;2x 6062;h0D>7;;I143>o6800;66g>0`83>>i6jj0;66sm14c94?5=83:p(>8>:0`e?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zj8=?6=4<:183!51938i7E=64:J032=n9931<75f11c94?=h9ki1<75rb056>5<4290;w)=91;3ab>N41=1C?:94i02:>5<5<52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th:;:4?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi=:650;194?6|,:<:6?l4H2;7?M50?2c:<44?::k24d<722e:nn4?::a52?=83>1<7>t$242>7e<@:3?7E=87:k24<<722c:t$242>7d<@:3?7E=87:k24<<722c:N4?>1b==650;9l5ge=831vn<8k:181>5<7s-9==7?>7:J0=1=O;>=0e<>7:188k4dd2900qo?9e;296?6=8r.8:<4>169K7<2<@:=<7d??8;29?j7ek3:17pl>6g83>6<729q/?;?52c9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xd6?90;6>4?:1y'737=9kl0D>7;;I143>o6800;66g>0`83>>i6jj0;66sm16394?2=83:p(>8>:3a8L6?33A9<;6g>0883>>o68h0;66g>0c83>>i6jj0;66sm7e83>7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm7d83>7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm7g83>7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm8183>7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm8083>0<729q/?;?52e9K7<2<@:=<7d??9;29?l77i3:17d??b;29?l77k3:17b?mc;29?xd?:3:1?7>50z&024<6jo1C?4:4H254?l7713:17d??a;29?j7ek3:17pl>c583>7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm1b794?4=83:p(>8>:034?M5><2B8;:5f11:94?=h9ki1<75rb0a5>5<5290;w)=91;323>N41=1C?:94i02;>5<0D>98;h335;|`2g=<72:0;6=u+37396g=O;0>0D>98;h33=?6=3`;;m7>5;n3ag?6=3th:o44?:283>5}#;?;1>o5G3868L6103`;;57>5;h33e?6=3f;io7>5;|`2gd<72:0;6=u+37395g`<@:3?7E=87:k24<<722c:t$242>4da3A9286F<769j55?=831b==o50;9l5ge=831v5<68rT9463=8;3ff>;fl3;;m63>67824<=:9?=1==64=06:>46>34;?m7??8:?2e7<68016=l=511:894bc28:j70;6=k0:<58=;6<>6;<5e>46?34;h87??8:p6<<72;q6>5469:?1e?7ek2wx:;4?:`y]23=:9j81mh527c824<=:9>n1==74=0ff>46f34;>o7??a:?231<68016=;l511c89=6=99201vP9a:?5e?7bj2wx;l4?:3y>2d1273>7?mc:p3a<72;q6;i4>bb9><4<68h1v:k50;0x92c=9ki015?511`8yv1a2909w09i:0``?8>628:h7p}70;296~;?83;io6372;33e>{t080;6?u28082ff=:0;0:<45rs8c94?75sW3j70?8a;;6?80f20?01=1<16mo465:?2f6<>=27:j77:;<363??234;9h77:;<3b4??234;?;77:;<350??234;j;77:;<37a??234;<>77:;<3g6??234;o:77:;|qbf?6=:r7jn7?jb:?1e?7712wxmn4?:3y>eg=838pRi4?:7y>57b=9lh01d5824d=:9<31==64=6g955>53z\26`=:?k0:58z\205=:;l>1==74=0ff>46>348m87??8:?21f<68016=::511c8940e28:270?lb;33=>{t9==1<7<6lk4=06g>4dd3ty:844?:3y>51?=9ki01<:k:02:?xu6h6<>6;|q20g<72;q6=9l51ca8942d28:j7p}>4b83>7}:9=i1=om4=06g>46f3ty:8h4?:3y>51c=9lh01<:6:02b?xu66;|q212<72;qU=894=074>4ce3ty:954?:3y>501=il16=8o51ca8yv7213:1>v3>5882ff=:9bb9>537=9930q~?:c;296~;6=j0:nn52172955?h7>52z?21a<6jj16=;>511c8yv72m3:1>v3>5d82ff=:9?81==o4}r36b?6=:r7:9k4>bb9>50g=9930q~?90;296~;6>90:nn52173955g52z?224<6jj16=;<511;8yv71:3:1>v3>6382ff=:952z?221bb9~w4012909w0?96;3ag>;6>00:<45rs044>5<5s4;=;7?mc:?22=<68h1v<87:18187103;io63>68824d=z{834;<=7?mc:p53d=838p1<8m:0``?871n3;;56s|17a94?4|58{t9?o1<74dd34;<=7??b:p53`=838p1<8i:0``?87083;;m6s|16294?4|58=;6{t9>91<74dd34;<57??a:p522=838p1<9::0``?87003;;56s|16794?4|58==6{t9>=1<74dd34;<57??9:p52g=838p1<9n:0ga?84ai3;;m6s|16`94?4|58=j6lk4=05g>4dd3ty:;n4?:3y>52e=9ki01<9k:02b?xu6i90;6?uQ1`2894g728oi7p}>a083>7}:9h:1mh521`495ge7>52z?2e7<6jj16=l8511;8yv7f;3:1>v3>a282ff=:9h?1==74}r3b0?6=:r7:m94>bb9>5d3=99k0q~?n5;296~;6i<0:nn521`4955g52z?2e2<6mk16=l<511c8yv7f03:1>v3>a68ba>;6i00:nn5rs0`0>5<2s4836lk4=0`0>4ce34;j;776;<37a??>348j6<>n;|q2f1<72:q6=o=5ad9>524=1016=:751ca8yv7e03:1nv3<948243=:9>k1m;526`8b2>;6k;0j:63=8;c5?87f83k=70?;7;c5?871<3k=70?n7;c5?873m3k=70?82;c5?xu6k;0;6;u21b095`d<58nm6<>7;<36`?77027:;:4>099>53e=992015?511;8yv7d;3:1>v3>c38:=>;6kj0:nn5rs0a7>5<5s4;h87?mc:?2g=<68h1vc8824<=z{8i=6=4={<3`2?7ek27:o44>0`9~w4e02909w0?l7;3ag>;6kj0:5<5s4;h47?mc:?2gd<6801vc`824d=z{8ij6=4={<3`e?7ek27:oo4>0`9~w4ee2909w0?lb;3ag>;6kj0:<45rs0f1>5<>s4;o>7?jb:?2a3<68116=h>511:894c628:270?k4;33=>;6=l0:<552167955?<587;<3`1?7702wx=i=50;0x94b52ho016<>n;|q2`3<72jq6=i851d`894c028:270?kd;33=>;6m;0:<5521d1955?<58n>6<>6;<36f?77127:9k4>089>523=99k01<8k:02;?81c28:370?l6;33<>{t9m=1<7dc<58nh6d883>7}:9m31=om4=0f`>46e3ty:hl4?:3y>5ag=9ki016;|q2`a<72;q6=ij51ca894bf28:27p}>dd83>7}:9mo1=om4=0g7>46>3ty:hk4?:3y>5a`=9ki01n;|q2a4<72;q6=h?51ca894b>28:27p}>e383>7}:9l81=om4=0f:>46f3ty:i>4?:3y>5`5=9ki01n;|q2a0<72;q6=h851ca894c028:j7p}>e983>0}:9o0ji63>a18:=>;6<>02563nd;33=>;6m>0:nn5rs3g:>5<5sW8n563=f`82ff=z{;om6=4={<0fb?7ek279j44>0`9~w7`32909w0;5nh0:5<5s48m57?mc:?1bd<6801v>l=:181[5e:278i84>099~w6c42909wS=j3:?0a3<6jj1v>k;:18185b<3;io636=4={<1f1?7ek278i;4>0`9~w6`52908wS=i2:?215<68116=9l511:8yv25n3:1?vP;2g9>5d?=99201k;:02b?87103;;56srb2c1>57)?m0;154>i3=10;66a>o4j;0;66a96;29?l77:3:17d8m:188m26=831b>ho50;9j6``=831b>k:50;9l7f3=831b=5}#;?;1==94H2;7?M50?2e:<;4?::a7a1=83?1<7>t$242>4633A9286F<769j=<<722cj:7>5;h;6>5<>o>=3:17doj:188k4ce2900qo059K7<2<@:=<7d76:188md0=831b584?::kba?6=3f;nn7>5;|`1b0<72<0;6=u+3739552<@:3?7E=87:k:=?6=3`k=6=44i8794?=nil0;66a>ec83>>{e>j0;684?:1y'737=99>0D>7;;I143>o>13:17do9:188m<3=831bmh4?::m2ag<722wi;<4?:483>5}#;?;1==:4H2;7?M50?2c257>5;hc5>5<>i6mk0;66sm36c94?3=83:p(>8>:027?M5><2B8;:5f9883>>of>3:17d7::188mdc=831d=hl50;9~f64=8391<7>t$242>4643A9286F<769'540=82c297>5;hcf>5<5<5290;w)=91;323>N41=1C?:94i02;>5<5<4290;w)=91;3ab>N41=1C?:94i02:>5<5<52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th9jk4?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi?=>50;194?6|,:<:6?l4H2;7?M50?2c:<44?::k24d<722e:nn4?::a73<72;0;6=u+3739541<@:3?7E=87:k24=<722e:nn4?::a7ab=8381<7>t$242>4703A9286F<769j55>=831d=om50;9~f6bb29086=4?{%155?7en2B8595G3658m46>2900e<>n:188k4dd2900qo=8c;297?6=8r.8:<4=b:J0=1=O;>=0e<>6:188m46f2900c2900e<>n:188k4dd2900qo8j:181>5<7s-9==7?>7:J0=1=O;>=0e<>7:188k4dd2900qo8i:180>5<7s-9==7?mf:J0=1=O;>=0e<>6:188m46f2900c7>50z&024<69>1C?4:4H254?l7703:17b?mc;29?xd5n10;6>4?:1y'737=9kl0D>7;;I143>o6800;66g>0`83>>i6jj0;66sm2g094?4=83:p(>8>:034?M5><2B8;:5f11:94?=h9ki1<75rb3d0>5<4290;w)=91;3ab>N41=1C?:94i02:>5<5<52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th9ih4?:283>5}#;?;1=oh4H2;7?M50?2c:<44?::k24d<722e:nn4?::a76<72;0;6=u+3739541<@:3?7E=87:k24=<722e:nn4?::a00?=8381<7>t$242>4703A9286F<769j55>=831d=om50;9~f13f29086=4?{%155?7en2B8595G3658m46>2900e<>n:188k4dd2900qo=l6;297?6=8r.8:<4>bg9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xd083:1>7>50z&024<69>1C?4:4H254?l7703:17b?mc;29?xd0=3:1>7>50z&024<69>1C?4:4H254?l7703:17b?mc;29?xd0>3:1>7>50z&024<69>1C?4:4H254?l7703:17b?mc;29?xd0?3:1>7>50z&024<69>1C?4:4H254?l7703:17b?mc;29?xd003:197>50z&024<5l2B8595G3658m46>2900e<>n:188m46e2900e<>l:188k4dd2900qo=8e;297?6=8r.8:<4>bg9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xd4?o0;6>4?:1y'737=9kl0D>7;;I143>o6800;66g>0`83>>i6jj0;66sm39294?4=83:p(>8>:034?M5><2B8;:5f11:94?=h9ki1<75rb2:2>5<5290;w)=91;323>N41=1C?:94i02;>5<0D>98;h335;|`0<6<72?0;6=u+37396`=O;0>0D>98;h33=?6=3`;;m7>5;h33f?6=3`;;o7>5;h33`?6=3f;io7>5;|`0<1<72:0;6=u+37395g`<@:3?7E=87:k24<<722c:77<6mk16:54>089~w62=838p1><5949>76<6jj1v>;50;0x964=il16?;4>bb9~w30=83lpR;84=639e`=:>j0ji63=f48ba>;5n90ji63=ec8ba>;103;io63i1==74=61955><5?o1==64=3d4>46?348m>7??8:?1aa<68116?5<511:8yv002909w088:0``?80?28:j7p}9a;297~;4k?0:<4527982ff=:;191==o4}r4a>5<4sW{t>m0;6?u26b8:=>;1n3;io6s|6d83>7}:>l0:nn526g824d=z{>:1<7=t^628922=99301:;511:8yv162909w09>:0ga?81128:37p}82;296~;09332709;:0``?xu0;3:1>v383;3ag>;0<3;;m6s|7483>7}:?90:nn5279824<=z{><1<721==o4}r54>5<5s4==646e3ty<47>52z?43?7ek27<47??c:pf0<72;qUn852392955>7>59z\247=:;m=158522d`9=0=::o:158522g79=0=:>j0296381;;6?850i33>70=<:02;?xu6980;6>uQ103896bb28:270=8c;33e>{t9k21<76t=2;6>461349o;7o9;<0ff?g1348m<7o9;<0e1?g134k1m;5rs3gb>5<4sW8nm63=ed824<=:;>l1==74}r0ff?6=:r79io4>ec9>72`=99k0q~0`9~w7ca2908wSk=511;8yv4a83:1>v3=f182ag=::oo1==64}r0e5?6=:r79j=469:?1b6<6jj1v?h=:18184a:3;io63=f2824d=z{;l?6=4<{_0e0>;5n10:<45236g955?52z?1b0<6mk16?:k511c8yv4a>3:1>v3=f48:=>;5n10:nn5rs3d4>5<5s48m;7?mc:?1b=<68h1v?hk:18185783;io63<80824==z{;ln6=4={<0ea?7ek278<=4>089~w7`a2909w0;4890:5<5s499m:181850i3kn70=8c;3ag>{t;>n1<7=t=25b>4dd3ty8;h4?:3y>72c=9ki01>6<:02:?xu4?o0;6?u236d95ge<5:286<>m;|q0<5<72;q6?5>51ca896>428:h7p}<8083>7}:;1;1=om4=2:0>46c3ty84?4?:3y>7=4=9ki01>6;:02b?xu40:0;6?u239195ge<5:2?6<>6;|q0f7<72<5:<1==64=57b>46>349h:7??a:p7f3=838pR>m:;<1`2?7ek2wx?i950;0xZ6b0349o;7?jb:p7ae=838p1>j8:`g896bb28hh7p}7}:;mn1=om4=2ff>46f3ty?954?:3y]00><5=?j62ki1oluG3658 606289n7)?m0;154>i6:l0;66g;5983>>i4jj0;66g>o6ik0;66a>1983>>o4kk0;66a>oej3:17d:=7;29?l72?3:17b=l2;29?l?02900e4850;9l7c4=831b=8?50;9l07`=831b?ol50;9j5`?=831b=lo50;9j7f3=831d?ih50;9l7`>=831d=k4?::m705<722h8584?:083>5}#;?;1==94H2;7?M50?2e:<;4?::a<<<72<0;6=u+3739552<@:3?7E=87:&253<73`326=44i`494?=n1<0;66gne;29?j7bj3:17pl;4183>0<729q/?;?51168L6?33A9<;6g69;29?lg12900e4;50;9je`<722e:io4?::ag4<72:0;6=u+3739555<@:3?7E=87:k:1?6=3`kn6=44o0ga>5<6=4<:183!5193;;?6F<959K721>i6mk0;66smd083>6<729q/?;?51118L6?33A9<;6g65;29?lgb2900c5}#;?;1===4H2;7?M50?2c297>5;hcf>5<0D>98;h;6>5<>i6mk0;66sm1g83>6<729q/?;?51118L6?33A9<;6g65;29?lgb2900c50z&024<68:1C?4:4H254?l?22900elk50;9l5`d=831vnkh50;194?6|,:<:6<><;I1:0>N4?>1b584?::kba?6=3f;nn7>5;|`1e6<72:0;6=u+3739555<@:3?7E=87:k:1?6=3`kn6=44o0ga>5<>i6mk0;66sm39494?5=83:p(>8>:020?M5><2B8;:5f9483>>ofm3:17b?jb;29?xde83:1?7>50z&024<68:1C?4:4H254?l?22900elk50;9l5`d=831vn95<7s-9==7??3:J0=1=O;>=0e4;50;9je`<722e:io4?::a7c4=8391<7>t$242>4643A9286F<769j=0<722cji7>5;n3ff?6=3th8nn4?:283>5}#;?;1===4H2;7?M50?2c297>5;hcf>5<0D>98;h;6>5<6<729q/?;?51118L6?33A9<;6g65;29?lgb2900c429086=4?{%155?77;2B8595G3658m<3=831bmh4?::m2ag<722wi?5;50;194?6|,:<:6<><;I1:0>N4?>1b584?::kba?6=3f;nn7>5;|`bb?6=;3:10D>98;h;6>5<>ofm3:17b?jb;29?xda<3:1?7>50z&024<68:1C?4:4H254?!76>3:0e4;50;9je`<722e:io4?::a6=44i`g94?=h9lh1<75rb8094?5=83:p(>8>:020?M5><2B8;:5+10494>o>=3:17doj:188k4ce2900qo?8e;297?6=8r.8:<4>029K7<2<@:=<7)?>6;28m<3=831bmh4?::m2ag<722wi=5:50;194?6|,:<:6<><;I1:0>N4?>1/=<850:k:1?6=3`kn6=44o0ga>5<53;294~"4>80:<>5G3868L6103-;::7>4i8794?=nil0;66a>ec83>>{elj0;6>4>:2yK721<,:<:65<1<75`35794?=e;0k1<7=50;2x 6062:387E=64:J032=n9931<75f11c94?=h9ki1<75rsc194?4|Vk901>7n:02b?xue<3:1>vPm4:?0=d<6801v>:::181[53=2785l4>bb9~ygbe29086<4<{I143>"4>80:n?5fb283>>oe<3:17b=;5;29?g5>i3:1?7>50z&024<41:1C?4:4H254?l7713:17d??a;29?j7ek3:17p}m3;296~Xe;2785l4>0`9~wg2=838pRo:4=2;b>46>3ty8884?:3y]713<5:3j6uG3658 60628h97dl<:188mg2=831d?9;50;9a7t$242>6?43A9286F<769j55?=831b==o50;9l5ge=831vo=50;0xZg5<5:3j6<>n;|qa0?6=:rTi863<9`824<=z{:>>6=4={_171>;41h0:nn5r}cf:>5<42808wE=87:&024<6j;1bn>4?::ka0?6=3f9?97>5;c1:e?6=;3:10D>98;h33=?6=3`;;m7>5;n3ag?6=3tyi?7>52z\a7>;41h0:01>7n:02:?xu4<<0;6?uQ357896?f28hh7psm3b194?4=83:p(>8>:034?M5><2B8;:5f11:94?=h9ki1<75rb3;4>5<4290;w)=91;0a?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zj>:1<7=50;2x 6062;h0D>7;;I143>o6800;66g>0`83>>i6jj0;66sm8d83>6<729q/?;?52c9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xd4n00;6>4?:1y'737=:k1C?4:4H254?l7713:17d??a;29?j7ek3:17pl;3783>6<729q/?;?52c9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xde:3:1>7>50z&024<69>1C?4:4H254?l7703:17b?mc;29?xd4010;6?4?:1y'737=98=0D>7;;I143>o6810;66a>bb83>>{e010;6?4?:1y'737=98=0D>7;;I143>o6810;66a>bb83>>{e:h?1<7<50;2x 60628;<7E=64:J032=n9921<75`1ca94?=zj0;1<7<50;2x 60628;<7E=64:J032=n9921<75`1ca94?=zj:li6=4=:183!5193;:;6F<959K7215<847>52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th3o7>52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th3h7>53;294~"4>80:nk5G3868L6103`;;57>5;h33e?6=3f;io7>5;|`700<72;0;6=u+3739541<@:3?7E=87:k24=<722e:nn4?::a`2<72;0;6=u+3739541<@:3?7E=87:k24=<722e:nn4?::a`=<72:0;6=u+37396g=O;0>0D>98;h33=?6=3`;;m7>5;n3ag?6=3tho?7>52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3tho87>53;294~"4>809n6F<959K7215<5<5290;w)=91;323>N41=1C?:94i02;>5<5<4290;w)=91;0a?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zjjh1<7<50;2x 60628;<7E=64:J032=n9921<75`1ca94?=zjji1<7=50;2x 6062;h0D>7;;I143>o6800;66g>0`83>>i6jj0;66smdd83>6<729q/?;?52c9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xdb83:1?7>50z&024<5j2B8595G3658m46>2900e<>n:188k4dd2900qok=:180>5<7s-9==7N4?>1b==750;9j55g=831d=om50;9~f46729096=4?{%155?76?2B8595G3658m46?2900c229096=4?{%155?76?2B8595G3658m46?2900c50z&024<5j2B8595G3658m46>2900e<>n:188k4dd2900qo?67;297?6=8r.8:<4=b:J0=1=O;>=0e<>6:188m46f2900c03:1?7>50z&024<5j2B8595G3658m46>2900e<>n:188k4dd2900qo?69;296?6=8r.8:<4>169K7<2<@:=<7d??8;29?j7ek3:17pl>9`83>7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm18`94?4=83:p(>8>:034?M5><2B8;:5f11:94?=h9ki1<75rb0;`>5<4290;w)=91;0a?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zj83o6=4<:183!5193;ij6F<959K7215<N41=1C?:94i02:>5<5<0D>98;h33=?6=3`;;m7>5;n3ag?6=3th:4=4?:283>5}#;?;1>o5G3868L6103`;;57>5;h33e?6=3f;io7>5;|`2<4<72;0;6=u+3739541<@:3?7E=87:k24=<722e:nn4?::a5=4=8391<7>t$242>7d<@:3?7E=87:k24<<722c:N4?>1b==750;9j55g=831d=om50;9~fc0=8381<7>t$242>4703A9286F<769j55>=831d=om50;9~fc1=8381<7>t$242>4703A9286F<769j55>=831d=om50;9~fc>=8381<7>t$242>4703A9286F<769j55>=831d=om50;9~fc?=8381<7>t$242>4703A9286F<769j55>=831d=om50;9~fcg=8381<7>t$242>4703A9286F<769j55>=831d=om50;9~fcd=83=1<7>t$242>7`<@:3?7E=87:k24<<722c:t$242>7d<@:3?7E=87:k24<<722c:N4?>1b==650;9l5ge=831vn9;;:181>5<7s-9==7?>7:J0=1=O;>=0e<>7:188k4dd2900qo::5;297?6=8r.8:<4=b:J0=1=O;>=0e<>6:188m46f2900c3:1?7>50z&024<5j2B8595G3658m46>2900e<>n:188k4dd2900qo::7;297?6=8r.8:<4=b:J0=1=O;>=0e<>6:188m46f2900c3:1>7>50z&024<69>1C?4:4H254?l7703:17b?mc;29?xd60m0;6?4?:1y'737=98=0D>7;;I143>o6810;66a>bb83>>{e91o1<7<50;2x 60628;<7E=64:J032=n9921<75`1ca94?=zj82m6=4=:183!5193;:;6F<959K7215<52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th:5<4?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi=4<50;094?6|,:<:6N4?>1b==650;9l5ge=831vn<7<:181>5<7s-9==7?>7:J0=1=O;>=0e<>7:188k4dd2900qo?64;297?6=8r.8:<4>bg9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xd60>0;6>4?:1y'737=:k1C?4:4H254?l7713:17d??a;29?j7ek3:17pl>8983>6<729q/?;?52c9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xd6000;694?:1y'737=:j1C?4:4H254?l7713:17d??a;29?l77j3:17b?mc;29?xd60h0;694?:1y'737=9j:0D>7;;I143>o6800;66g>0`83>>o68k0;66a>bb83>>{e91h1<7=50;2x 6062;h0D>7;;I143>o6800;66g>0`83>>i6jj0;66sm19a94?5=83:p(>8>:0`e?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zj;91<7=50;2x 6062;h0D>7;;I143>o6800;66g>0`83>>i6jj0;66sm2583>7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm2483>6<729q/?;?51cd8L6?33A9<;6g>0883>>o68h0;66a>bb83>>{e:?0;6>4?:1y'737=:k1C?4:4H254?l7713:17d??a;29?j7ek3:17pl=7;297?6=8r.8:<4>bg9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xd4jl0;6?4?:1y'737=98=0D>7;;I143>o6810;66a>bb83>>{e;kl1<7<50;2x 60628;<7E=64:J032=n9921<75`1ca94?=zj:i;6=4<:183!5193;ij6F<959K7215<N41=1C?:94i02:>5<5<52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th:=n4?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi=N4?>1b==650;9l5ge=831vn5<7s-9==7?>7:J0=1=O;>=0e<>7:188k4dd2900qo?>f;296?6=8r.8:<4>169K7<2<@:=<7d??8;29?j7ek3:17pl>2183>7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm13394?5=83:p(>8>:0`e?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zj8896=4<:183!51938i7E=64:J032=n9931<75f11c94?=h9ki1<75rb000>5<3290;w)=91;0`?M5><2B8;:5f11;94?=n99k1<75f11`94?=h9ki1<75rb03a>5<4290;w)=91;3ab>N41=1C?:94i02:>5<5<?;7>57;294~"4>809j6F<959K7215<5<5<0D>98;h335;|`70<<72;0;6=u+3739541<@:3?7E=87:k24=<722e:nn4?::a01g=8381<7>t$242>4703A9286F<769j55>=831d=om50;9~f12e29096=4?{%155?76?2B8595G3658m46?2900c7>50z&024<69>1C?4:4H254?l7703:17b?mc;29?xd34?:1y'737=9kl0D>7;;I143>o6800;66g>0`83>>i6jj0;66sm45d94?2=83:p(>8>:3a8L6?33A9<;6g>0883>>o68h0;66g>0c83>>i6jj0;66sm3d`94?4=83:p(>8>:034?M5><2B8;:5f11:94?=h9ki1<75rb2g`>5<5290;w)=91;323>N41=1C?:94i02;>5<0D>98;h335;|`0a`<72:0;6=u+37396g=O;0>0D>98;h33=?6=3`;;m7>5;n3ag?6=3th8ik4?:283>5}#;?;1=oh4H2;7?M50?2c:<44?::k24d<722e:nn4?::aa1<72;0;6=u+3739541<@:3?7E=87:k24=<722e:nn4?::aa=<72=0;6=u+37396f=O;0>0D>98;h33=?6=3`;;m7>5;h33f?6=3f;io7>5;|`f=?6=:3:10D>98;h335;|`fe?6=:3:10D>98;h335;|`ff?6=:3:10D>98;h335;|`fg?6=:3:10D>98;h335;|`f`?6=:3:10D>98;h335;|`fa?6=;3:1N41=1C?:94i02:>5<5<8>:3a8L6?33A9<;6g>0883>>o68h0;66g>0c83>>i6jj0;66sme683>6<729q/?;?51cd8L6?33A9<;6g>0883>>o68h0;66a>bb83>>{ek:0;6?4?:1y'737=98=0D>7;;I143>o6810;66a>bb83>>{ek=0;684?:1y'737=9j;0D>7;;I143>o6800;66g>0`83>>o68k0;66g>0b83>>i6jj0;66smc483>7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66smc783>6<729q/?;?52c9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xdd?3:1?7>50z&024<5j2B8595G3658m46>2900e<>n:188k4dd2900qom7:180>5<7s-9==7?mf:J0=1=O;>=0e<>6:188m46f2900c7>50z&024<69>1C?4:4H254?l7703:17b?mc;29?xd4nl0;6?4?:1y'737=98=0D>7;;I143>o6810;66a>bb83>>{e;ol1<7<50;2x 60628;<7E=64:J032=n9921<75`1ca94?=zj=:;6=4<:183!5193;ij6F<959K7215<N41=1C?:94i02:>5<5<N41=1C?:94i02:>5<5<;?7>53;294~"4>80:nk5G3868L6103`;;57>5;h33e?6=3f;io7>5;|`260<72;0;6=u+3739541<@:3?7E=87:k24=<722e:nn4?::a570=8391<7>t$242>7d<@:3?7E=87:k24<<722c:N4?>1b==650;9l5ge=831vn<<7:180>5<7s-9==7?mf:J0=1=O;>=0e<>6:188m46f2900c50z&024<6jo1C?4:4H254?l7713:17d??a;29?j7ek3:17pl>2`83>6<729q/?;?52c9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xd6:k0;6>4?:1y'737=:k1C?4:4H254?l7713:17d??a;29?j7ek3:17pl>2b83>6<729q/?;?51cd8L6?33A9<;6g>0883>>o68h0;66a>bb83>>{e9::1<7<50;2x 60628;<7E=64:J032=n9921<75`1ca94?=zj89=6=4=:183!5193;:;6F<959K7215<52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th:?54?:283>5}#;?;1>o5G3868L6103`;;57>5;h33e?6=3f;io7>5;|`27<<72;0;6=u+3739541<@:3?7E=87:k24=<722e:nn4?::a56g=8381<7>t$242>4703A9286F<769j55>=831d=om50;9~f45e29086=4?{%155?7en2B8595G3658m46>2900e<>n:188k4dd2900qo?=0e<>6:188m46f2900c50z&024<5j2B8595G3658m46>2900e<>n:188k4dd2900qo?<1;297?6=8r.8:<4=b:J0=1=O;>=0e<>6:188m46f2900c50z&024<5k2B8595G3658m46>2900e<>n:188m46e2900c50z&024<6k91C?4:4H254?l7713:17d??a;29?l77j3:17b?mc;29?xd6;=0;6>4?:1y'737=:k1C?4:4H254?l7713:17d??a;29?j7ek3:17pl>3483>6<729q/?;?51cd8L6?33A9<;6g>0883>>o68h0;66a>bb83>>{t9o0;6?uQ1g9>5c<6mk1v?<50;0x94`=il16>:4>bb9~w75=838p1?=51ca8973=99k0q~<;:18184328hh70<9:02b?xu5=3:1>v3=5;3ag>;5>3;;56s|2783>7}::?0:nn5226824d=z{?k1<7=t=6295ge<582n6<>7;<32`?7702wx4>4?:3y><6<6mk16;=4>0`9~w=2=83kp15=5949>07`=1<16?k<5949>7a`=1<16?om5949>7=3=1<16>l<5949>535=1<16mk465:?;1?7ek2wx4;4?:3y><3<6mk16;=4>089~w=1=839p1585ad9><6ec9>7f5=99201<77:02b?84428:270?=6;33=>{t0h0;6>u2888ba>;?m3;io637d;33=>{t0k0;6?u2888:=>;?l3;io6s|8b83>7}:0j0:nn528e824d=z{1l1<7=t=9d95`d<5081mh528b824==z{0:1<78z\:2>;?133>70:;0;;6?8e620?01i;5949>`4<>=27hh77:;<3<5:o364;4=00f><3<58l1585210:9=0=:no02963=a28:1>;?>33>70=76;;6?8d720?01k:5949>=272>77:;<34a??234;3877:;<3:1??2342>6<>7;|q:3?6==rT2;63>01824==:9031==64=0;1>46?34;9<7??8:pec<72:q6mk4>ec9>5=0=99201;60m0:<55210a955>dc<5hl1mh52b382ff=z{kh1<7``<68h16j;4>099>007=99i019:8:02:?82313;;463j8;33=>;bi3;;463l5;33<>{tk;0;6?u2c08ba>;d03;io6s|c283>7}:k:0:nn52c7824d=z{j>1<75<5s4i>646f3tyh:7>52z?`2?7ek27h47??9:pg2<72;q6o:4>bb9>g=<68h1voj50;cx9f?=9lh01i75b59>``<68016j54>099>004=993019:8:02b?82303;;463j8;33e>;b13;;463l4;33=>{tkh0;6?u2c88ba>;dk3;io6s|cc83>7}:kk0:nn52cb824d=z{ko1<77t=bf95`d<5mk1n952e1824<=:nh0:<552440955g<5=><6<>m;<67e?77027nh7??8:?`0?77i2wxoh4?:3y>gabb9>`5<68h1voh50;:x9a7=9lh01il5b59>a7<68016j44>099>005=992019:l:02;?8cd28:370m;:02a?xuc:3:1>v3k1;cf?8b328hh7p}k3;296~;c;3;io63k4;33e>{tk90;65u2d482ag=:lj0i863ib;33e>;3==0:<55245`955><5l21==l4=d`955><5j>1==m4}rf5>5<5s4n>6lk4=e:95ge4dd34n36<>n;|qg`?6=;r7om7l<;4dd34o;6<>n;|qgb?6=;r7on7l<;4dd34o96<>n;|qf5?6=:r7oo7l<;4dd3tyn?7>55z?g3?77027o?7??8:?`b?77027hn7??8:?f3?7ek2wxi94?:3y>a1<6jj16ih4>0`9~w`3=838p1h;51ca89`0=99k0q~k9:1818c128hh70k8:02b?xub03:1>v3j8;3ag>;b=3;;56s|e883>7}:m00:nn52eg824d=z{lk1<75<5s4oi646e3tyno7>52z?fg?7ek27n:7??9:paa<72;q6ii4>bb9>a3<68k1vhk50;0x9`c=9ki01h9511;8yvca2909w0ki:0``?8c228:j7p}i0;296~;c139?963lc;33=>{tn80;6?u2d`8000=:l90:<45rsg094?4|5mh1?9;4=e6955?62234n36<>6;|qe0?6=;r7m87?jb:?2v3i7;3ag>;aj3;;n6s|f983>7}:n10:nn52fc824a=z{o31<75<5s4lj646b3tymi7>54z?eb?gb34ln646?34i86<>7;|qeb?6=;r7mj7?jb:?fa?77127h:7??9:p557=838p1kk5949>556=9ki0q~?>8;290~X69116=<651d`8913628:270:;7;33g>{t9831<7:t=03a>4dd34;947??9:?27<<68116=>l511;8yv76i3:1>v3>1`82ff=:9;;1==74}r32g?6=:r7:=n4>bb9>577=99k0q~?>d;296~;69m0:nn52131955?52z?25`<6jj16=?<511;8yv76n3:1>v3>1g82ff=:9;81==o4}r314?6=:r7:>=4>bb9>54d=99k0q~?=1;296~;6:80:nn52131955g7>52z?267<6jj16=?=511`8yv75;3:1>v3>2282ff=:98h1==74}r310?6=:r7:=54ne:?26f<6jj1v<<::181875=3;io63>29824d=z{88=6=4={<312?7ek27:>44>0`9~w4402909w0?=7;3ag>;6:k0:5<5s4;947?mc:?26d<68h1v<<6:18187513;io63>2c824<=z{88j6=4={<31e?7ek27:>n4>089~w44e2909w0?=b;3ag>;6:j0:5<4sW;9i63>2d82ag=:9:>1==74}r31b?6=:r7:>h4ne:?270<6jj1v<=?:18187483;io63>3c824d=z{89:6=4={<305?7ek27:?>4>0`9~w4552909w0?<2;3ag>;6;<0:5<5s4;8?7?mc:?271<68h1v<=;:181874<3;io63>34824<=z{89=6=4={<302?7ek27:?n4>0`9~w4502909w0?<7;3ag>;6;:0:5<5s4;847?mc:?277<68h1v<=6:18187413;io63>3e824d=z{89j6=4={<30e?7ek27:??4>0c9~w45e2909w0?;6;80:5<5s4;8o7?mc:?276<6801v<=k:181874l3;io63>33824<=z{8?:6=4={_365>;4n00:<45rs074>5<5sW;>;63>628ba>{t9?91<7:t=040>4ce34;247??9:?17?77i27:>;4>0`9~w41b290nw0?8e;3ff>;4m;0:7;<3;4?77i27:5>4>099>61<68116?n>511;896`b28:370:?0;33=>;6:>0:<552124955><58936<>6;<305?7712wx=:h50;0x941b2ho01<6<:0``?xu6090;6?u219295ge<58286<>6;|q2<4<72;q6=5?51ca894>528:j7p}>8383>7}:9181=om4=0:0>46f3ty:494?:dy>5=2=9lh01>k=:02:?87>?3;;m63>9`824==:9181==74=0:a>46>348<6<>6;<1ab?770278io4>099>054=99301<39824d=:9:i1==74}r3;1?6=:r7:494ne:?23;io63>95824<=z{82<6=4={<3;3?7ek27:444>0c9~w4>?2909w0?78;3ag>;60j0:5<5s4;357?mc:?28c824d=z{82i6=4={<3;f?7ek27:4n4>089~w4>c2909w0?7d;3ag>;61=0:5<5s4;3i7?mc:?2<<<6801v<6i:18187?n3;io63>86824<=z{83;6=4={<3:4?7ek27:4:4>0`9~w4?62909w0?61;3ag>;60h0:<45rs0;1>5<5s4;2>7?mc:?2;3;io63>89824d=z{83?6=4={<3:0?7ek27:444>0`9~w4?2290mw0?65;3ff>;61m0:<452192955?<582:6<>7;<3:5?77027:454>089>60<68016?ok511:896cd28:370=id;33<>;3880:<58826<>6;<303?77027:?i4>089~w4?12909w0?65;cf?87>n3;io6s|18594?4|583<6{t9031<74dd34;2o7??a:p5m3;;56s|18`94?4|583i6{t90n1<74dd34;2i7??a:p5n3;;m6s|1`c94?4|V8kj70:<6;33=>{t9hh1<7=t^0ca?85bl3;;46327357o9;<674?g13ty95;4?:2y>6<1=9ki01<7?:02;?876n3;;46s|2`094?4|5;k96l=50;0x97g428oi70<67;33=>{t:h>1<7=t=3c0>dc<5;k96lk4=3c6>4dd3ty8484?:2y>7=3=9lh019;>:02a?823?3;;h6s|39494?5|5:2=60d9~w6>02908w0=76;cf?85?=3kn70=78;3ag>{t;kh1<7b28:j7p}7}Y;ki01>ll:0ga?xu4jm0;6?u23ca9e`=:;j;1=om4}r1aa?6=:r78nh4>bb9>7f6=99k0q~=mf;296~;4jo0:nn523b3955g52z?0g5<6jj16?n?511;8yv5d:3:1>vP7f5=9ki0q~=l5;297~X4k<16454>099>56g=9920q~=lb;296~X4kk165<4>099~w6eb2909wS?j9:\0g`=z{:n<6=4={_1g3>;e:3;;46s|3ed94?4|V:nm70=kf;3ff>{t;l;1<7dc<5:o964ce3ty8il4?:3y>7`>=il16?hh51ca8yv5bj3:1>v3bb9>7`c=99k0q~=jd;296~;4mm0:nn523dd955g52z?0a`<6jj16?hh511;8yv5a:3:1>vP7c4=9lh0q~=i8;296~;4n00:nn523g`955>52z?0b7bb9~w6`d2908w0=i9;33e>;3;?0:52z?0ba<6jj168=>511c8yv5am3:1>v3bb9>057=99h0q~:?0;296~;3890:nn52410955g;=7>52z?744<6jj168==511c8yv27:3:1>v3;0382ff=:<991==74}r613?6=:rT?>:522`7955>9j7>52z\76c=:<;l1=hl4}r601?6=:r7??;4>bb9>06>=9920q~:<7;296~;3:o0ji63;3982ff=z{=>;6=4<{_674>;3<90:io52f6824==z{=>?6=4={<674?gb34>?97?mc:p010=838p19:?:8;8912a28hh7p};4683>7}:<==1=om4=56f>46>3ty?854?:3y>01>=9ki019:k:02b?xu3<00;6?u245;95ge<5=>o6<>6;|q70d<72;q689o51ca8912c28:i7p};4c83>7}:<=h1=om4=56e>46>3ty?8n4?:3y>01e=9ki019:i:02a?xu3n6<>n;|q70`<72;q689k51ca8912a28:j7p};5183>7}:<=?1==64=574>4dd3ty?9<4?:3y>007=9ki019;8:02:?xu3=;0;6?u244095ge<5=?=6<>6;|q716<72;q688=51ca8913228:27p};5583>7}:<<>1=om4=576>46f3ty?984?:3y>003=9ki019;9:02b?xu3=?0;6?u244495ge<5=?<6<>n;|q71=<72;qU8864=2:;>46?3twi?l>50;597d<4lrB8;:5+3739e2=#9k:1?;>4o263>5<5<5<5<2290;w)=91;330>N41=1C?:94i8;94?=ni?0;66g65;29?lgb2900c50z&024<68=1C?4:4H254?l?>2900el850;9j=0<722cji7>5;n3ff?6=3th8>h4?:483>5}#;?;1==:4H2;7?M50?2.:=;4?;h;:>5<>ofm3:17b?jb;29?xd4>l0;684?:1y'737=99>0D>7;;I143>o>13:17do9:188m<3=831bmh4?::m2ag<722wi?=o50;194?6|,:<:6<><;I1:0>N4?>1/=<850:k:1?6=3`kn6=44o0ga>5<53;294~"4>80:<>5G3868L6103-;::7>4i8794?=nil0;66a>ec83>>{e;9i1<7=50;2x 60628:87E=64:J032=#98<1<6g65;29?lgb2900c50z&024<68:1C?4:4H254?!76>3:0e4;50;9je`<722e:io4?::a75c=8391<7>t$242>4643A9286F<769'540=82c297>5;hcf>5<0D>98;%322?6>i6mk0;66sm30294?5=83:p(>8>:020?M5><2B8;:5+10494>o>=3:17doj:188k4ce2900qo=>1;297?6=8r.8:<4>029K7<2<@:=<7)?>6;28m<3=831bmh4?::m2ag<722wi?<650;195?5|@:=<7)=91;3a6>oe;3:17dl;:188k6222900n>7n:180>5<7s-9==7=63:J0=1=O;>=0e<>6:188m46f2900c6}O;>=0(>8>:0`1?ld42900eo:50;9l713=831i?4o50;194?6|,:<:6>7<;I1:0>N4?>1b==750;9j55g=831d=om50;9~wg5=838pRo=4=2;b>46f3tyi87>52z\a0>;41h0:<45rs266>5<5sW9?963<9`82ff=zuk9::7>53;397~N4?>1/?;?51c08mg5=831bn94?::m000<722h85l4?:283>5}#;?;1?4=4H2;7?M50?2c:<44?::k24d<722e:nn4?::pf6<72;qUn>5238c955gi3;;56s|35794?4|V:>>70=6a;3ag>{zj:;>6=4<:080M50?2.8:<4>b39jf6<722ci87>5;n171?6=3k92m7>53;294~"4>8085>5G3868L6103`;;57>5;h33e?6=3f;io7>5;|qa7?6=:rTi?63<9`824d=z{k>1<77}Y;=?01>7n:0``?x{e;8>1<7=51;1xL6103-9==7?m2:ka7?6=3`h?6=44o266>5<5<5<5sWh870=6a;33e>{tj=0;6?uQb59>74>:2yK721<,:<:65<1<75`35794?=e;0k1<7=50;2x 6062:387E=64:J032=n9931<75f11c94?=h9ki1<75rsc194?4|Vk901>7n:02b?xue<3:1>vPm4:?0=d<6801v>:::181[53=2785l4>bb9~yg56:3:1?7?53zJ032=#;?;1=o<4ic194?=nj=0;66a<4483>>d41h0;6>4?:1y'737=;090D>7;;I143>o6800;66g>0`83>>i6jj0;66s|b283>7}Yj:16?4o511c8yvd32909wSl;;<1:e?7712wx?9;50;0xZ6223492m7?mc:~f65a29096=4?{%155?76?2B8595G3658m46?2900c7>50z&024<69>1C?4:4H254?l7703:17b?mc;29?xd4?;0;6>4?:1y'737=9kl0D>7;;I143>o6800;66g>0`83>>i6jj0;66sm32094?5=83:p(>8>:0`e?M5><2B8;:5f11;94?=n99k1<75`1ca94?=zj:9;6=4=:183!5193;:;6F<959K7215<53;294~"4>809n6F<959K7215<N41=1C?:94i02:>5<5<53;294~"4>809n6F<959K7215<N41=1C?:94i02:>5<5<53;294~"4>809n6F<959K7215<N41=1C?:94i02:>5<5<52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th88<4?:283>5}#;?;1>o5G3868L6103`;;57>5;h33e?6=3f;io7>5;|`007<72;0;6=u+3739541<@:3?7E=87:k24=<722e:nn4?::a715=8391<7>t$242>7d<@:3?7E=87:k24<<722c:t$242>4703A9286F<769j55>=831d=om50;9~f65229096=4?{%155?76?2B8595G3658m46?2900c3:1>7>50z&024<69>1C?4:4H254?l7703:17b?mc;29?xd4;>0;6?4?:1y'737=98=0D>7;;I143>o6810;66a>bb83>>{e;:21<7<50;2x 60628;<7E=64:J032=n9921<75`1ca94?=zj:926=4=:183!5193;:;6F<959K7215<52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th8?o4?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi?>m50;;94?6|,:<:6>?4H2;7?M50?2c:<44?::k24d<722c:t$242>4703A9286F<769j55>=831d=om50;9~f60129096=4?{%155?76?2B8595G3658m46?2900c7>50z&024<69>1C?4:4H254?l7703:17b?mc;29?xd4>10;6?4?:1y'737=98=0D>7;;I143>o6810;66a>bb83>>{e;?31<7<50;2x 60628;<7E=64:J032=n9921<75`1ca94?=zj:5<52;294~"4>80:=:5G3868L6103`;;47>5;n3ag?6=3th8:n4?:383>5}#;?;1=<94H2;7?M50?2c:<54?::m2ff<722wi?;j50;:94?6|,:<:6>>4H2;7?M50?2c:<44?::k24d<722c:N4?>1b==750;9j55g=831d=om50;9~f61329086=4?{%155?4e3A9286F<769j55?=831b==o50;9l5ge=831vn>9::181>5<7s-9==7?>7:J0=1=O;>=0e<>7:188k4dd2900qo=86;297?6=8r.8:<4>bg9K7<2<@:=<7d??9;29?l77i3:17b?mc;29?xu>03:1;vP68:?02c099>73e=99201>9::02;?xu68;0;6iuQ1108960520?01>8i:878964b20?01>8j:878966f20?01>>m:878966d20?01>>k:878966b20?01>>i:878967720?01>?>:878yv7e03:19v3<948243=:;?81m;5237d9e3=:;;o1m;5237g9e3=z{:::6=4={_135>;4;;0:<45rs221>5<1s49;m7?jb:?0570`9>74?=99201>=;:02;?851<3;;46s|31194?3|5::i67;<153?7702wx?=;50;7x966c28oi70=>4;`7?856n3;;563<36824==:;?21==64}r132?6==r78

ec9>743=j=16???511;8965?28:370=9a;33<>{t;9=1<78t=22e>4ce349::7l;;<117?7712788>4>089>76?=99201>86:02;?xu4810;6;u230295`d<5:;<6o:4=206>46>349?=7??a:?07d<68116?;l511:8yv5713:18v3<1082ag=:;821n952353955?<5:9i6<>7;|q05d<72:q6?<=5b29>74d=9ki01>?k:02b?xu49j0;6>u23069f6=:;8n1=om4=23e>46f3ty8=h4?:2y>743=j:16?6}:;8<1n>5233395ge<5:886<>n;|q067<72:q6?<95b29>775=9ki01><::02b?xu4:=0;6?u230:9f6=:;;?1=om4}r112?6=:r78<8:181857j3kn70=>2;171>{t;;21<7dc<5:;86>::;|q06<<72;q6?=j5ad9>742=;=?0q~==a;296~;48l0ji63<148000=z{:8i6=4={<13b?gb349::7=;5:p77e=838p1>??:`g896702:>>7p}<2e83>7}:;8;1mh5230:971353z?06`<6mk16?>h511:8962528:37p}<2g83>7}:;;o1mh5232295ge52z?06`<>1278??4>bb9~w654290>w0=82;33=>;4;;0:<5:9h6:50;0x965328hh70={t;:?1<74dd3498o7??a:p760=838p1>=9:0``?854k3;;n6s|32594?4|5:9<6650;0x965?28hh70={t;:31<74dd3498o7??e:p76g=838p1>=n:0``?854k3;;j6s|32`94?4|5:9i6k50;0xZ65b3498j7?mc:p716=838pR>:?;<170?7ek2wx?9?50;0x962628hh70=;4;33=>{t;=81<74dd349??7??a:p715=838p1>:<:0``?853<3;;m6s|37094?4|V:<970=92;3ff>{t;?91<767}:;?<1=om4=24g>46f3ty8::4?:3y>731=9ki01>8k:02a?xu4>10;6?u237:95ge<5:l;|q02<<72;q6?;751ca8960c28:n7p}<6`83>7}:;?k1=om4=24g>46c3ty8:o4?:3y>73d=9ki01>8k:02e?xu4>j0;6?u237a95ge<5:<>6<>n;|q02a<72;q6?;j51ca8960228:27p}<6d83>7}Y;?o01>8j:0ga?xu4>o0;6?u237d95`d<5:=?6<>n;|q035<72;q6?;h5989>724=9ki0q~=81;296~;4?80:nn52360955g52z?02`<>1278;;4>bb9~w6132909w0=84;3ag>;4??0:<45rs256>5<5s49<97?mc:?033<68h1vqo7j:181>5<7s-9==7?>7:J0=1=O;>=0e<>7:188k4dd2900qo?m7;296?6=8r.8:<4>169K7<2<@:=<7d??8;29?j7ek3:17pl7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm1dc94?4=83:p(>8>:034?M5><2B8;:5f11:94?=h9ki1<75rb2;g>5<5290;w)=91;323>N41=1C?:94i02;>5<N41=1C?:94i02:>5<5<8>:034?M5><2B8;:5f11:94?=h9ki1<75rbc;94?5=83:p(>8>:3`8L6?33A9<;6g>0883>>o68h0;66a>bb83>>{ejh0;6>4?:1y'737=:k1C?4:4H254?l7713:17d??a;29?j7ek3:17pl=9`83>7<729q/?;?51058L6?33A9<;6g>0983>>i6jj0;66sm28`94?4=83:p(>8>:034?M5><2B8;:5f11:94?=h9ki1<75rb3;`>5<3290;w)=91;0`?M5><2B8;:5f11;94?=n99k1<75f11`94?=h9ki1<75rb3;e>5<5290;w)=91;323>N41=1C?:94i02;>5<0D>98;h335;|`0f<<72;0;6=u+3739541<@:3?7E=87:k24=<722e:nn4?::a7dd=8381<7>t$242>4703A9286F<769j55>=831d=om50;9~f6gd29096=4?{%155?76?2B8595G3658m46?2900c50z&024<5j2B8595G3658m46>2900e<>n:188k4dd2900qo=n5;296?6=8r.8:<4>169K7<2<@:=<7d??8;29?j7ek3:17pl6<729q/?;?51cd8L6?33A9<;6g>0883>>o68h0;66a>bb83>>{ei<0;6?4?:1y'737=98?0D>7;;I143>o6810;66a>bb83>>{e;k>1<7<50;2x 60628;>7E=64:J032=n9921<75`1ca94?=zj=9:6=4=:183!5193;:96F<959K7215<52;294~"4>80:=85G3868L6103`;;47>5;n3ag?6=3th?>54?:383>5}#;?;1=<;4H2;7?M50?2c:<54?::m2ff<722wi?il50;094?6|,:<:6N4?>1b==650;9l5ge=831vn>h>:181>5<7s-9==7?>5:J0=1=O;>=0e<>7:188k4dd2900qo::e;296?6=8r.8:<4>149K7<2<@:=<7d??8;29?j7ek3:17pl7<729q/?;?51078L6?33A9<;6g>0983>>i6jj0;66sma083>7<729q/?;?51078L6?33A9<;6g>0983>>i6jj0;66sm3bf94?4=83:p(>8>:036?M5><2B8;:5f11:94?=h9ki1<75rb`194?4=83:p(>8>:036?M5><2B8;:5f11:94?=h9ki1<75rbgf94?4=83:p(>8>:036?M5><2B8;:5f11:94?=h9ki1<75rb542>5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<5<5290;w)=91;321>N41=1C?:94i02;>5<0D>98;h335;|`014<72;0;6=u+3739543<@:3?7E=87:k24=<722e:nn4?::a7a?=8381<7>t$242>4dc3A9286F<769j55>=831d=om50;9~f<`=8381<7>t$242>4dc3A9286F<769j55>=831d=om50;9~f13d29096=4?{%155?7el2B8595G3658m46?2900c7>50z&024<6jm1C?4:4H254?l7703:17b?mc;29?xd3:?0;6?4?:1y'737=9kn0D>7;;I143>o6810;66a>bb83>>{e<8n1<7<50;2x 60628ho7E=64:J032=n9921<75`1ca94?=zj:n?6=4=:183!5193;ih6F<959K7215<52;294~"4>80:ni5G3868L6103`;;47>5;n3ag?6=3th8n;4?:283>5}#;?;1=ok4H2;7?M50?2c:<54?::kaf?6=3f;io7>5;|`0g1<72;0;6=u+37395gb<@:3?7E=87:k24=<722e:nn4?::a=f<72;0;6=u+37395gb<@:3?7E=87:k24=<722e:nn4?::a04`=8381<7>t$242>4dc3A9286F<769j55>=831d=om50;9~f15429086=4?{%155?7em2B8595G3658m46?2900eol50;9l5ge=831vn9>j:181>5<7s-9==7?md:J0=1=O;>=0e<>7:188k4dd2900qo=ne;296?6=8r.8:<4>be9K7<2<@:=<7d??8;29?j7ek3:17pl7<729q/?;?51cf8L6?33A9<;6g>0983>>i6jj0;66sm43`94?4=83:p(>8>:0`g?M5><2B8;:5f11:94?=h9ki1<75rb2g3>5<5290;w)=91;3a`>N41=1C?:94i02;>5<0D>98;h335<?>7>53;294~"4>80:nh5G3868L6103`;;47>5;h`a>5<0D>98;h335;|`0a<<72;0;6=u+37395gb<@:3?7E=87:k24=<722e:nn4?::a7f>=8381<7>t$242>4dc3A9286F<769j55>=831d=om50;9~f73c29096=4?{%155?7el2B8595G3658m46?2900c7>50z&024<6jm1C?4:4H254?l7703:17b?mc;29?xd5190;6?4?:1y'737=9kn0D>7;;I143>o6810;66a>bb83>>{e:121<7<50;2x 60628ho7E=64:J032=n9921<75`1ca94?=zj;296=4=:183!5193;ih6F<959K7215<52;294~"4>80:ni5G3868L6103`;;47>5;n3ag?6=3th9;;4?:383>5}#;?;1=oj4H2;7?M50?2c:<54?::m2ff<722wi>:>50;094?6|,:<:6N4?>1b==650;9l5ge=831vn?8n:181>5<7s-9==7?md:J0=1=O;>=0e<>7:188k4dd2900qo<94;296?6=8r.8:<4>be9K7<2<@:=<7d??8;29?j7ek3:17pl=5d83>7<729q/?;?51cf8L6?33A9<;6g>0983>>i6jj0;66sm24494?4=83:p(>8>:0`g?M5><2B8;:5f11:94?=h9ki1<75rs3294?4|5:k<646?3ty9=7>52z?0e=<6n278mn4>099~w7>=838p1>o7:3:896d728:37p}<7;296~X4?27?j7??8:p7=<72;qU?55251824==z{:31<77;|q0f?6=:rT8n63:3;33<>{t;j0;6?uQ3b9>11<6811v>j50;0xZ6b<55<5sW9n70;9:02;?xu4n3:1>vP46?3ty??7>52z\77>;2j3;;46s|4583>7}Y<=169n4>099~w10=838pR984=4f955><6=4={_64?83b28:37p};8;296~X3027>j7??8:p0<<72;qU845261824==z{=k1<77;|q7g?6=:rT?o6393;33<>{t21<6811v9k50;0xZ1c<5??1==64}r6e>5<4s49j=784=541>3=:;283;io6s|5083>6}:;h;1463;638;?83628hh7p}:2;297~;4i80270:92;;8904=9ki0q~;<:18085f93k0198=:`9>16<6jj1v8:50;1x96g62k168;<5b:?60?7ek2wx984?:2y>7d7=k27?:?4l;<76>4dd3ty>:7>53z?0e4=>7j4=4495gec=:{t=00;6?u2470955=:=00:nn5rs4c94?5|5:k:647<55<4s49j=7?<;<656?7434?i64:?6g?7ek2wx9i4?:3y>7d7=9?169i4>bb9~w0c=838p198=:05890c=9ki0q~;i:181821:3;370;i:0``?xu183:1>v3;6382=>;183;io6s|6083>7}:4d<5?81=om4}r40>5<5s4>=>7?l;<40>4dd3ty=87>53z?0e4<6l27?:?4>d:?50?7ek2wx:84?:2y>7d7=9l168;<51d9>20<6jj1v;850;7x96g62?<0198=:74896g?2?<01>o=:74896g72020q~96:18185f03j3:1>vP6b:?:g?7ek2wx5n4?:2y>=`<68116?8?51ca89;5i802963>ab8:1>;6io02963m5;;6?84>033>70=n1;;b?821:33j70=n8;;b?85f:3;;>6301l;511:8yvg22909w0=n7;;4?8g228hh7p}m5;297~;e=3;nn63;6mh0:<55rsc494?4|5k?15452b`82ff=z{k=1<75<5s4h3646f3tyi57>52z?a=?7ek27im7??a:pbf<72;qUjn52fe824==z{on1<7gd<5on1=om4}r325?6=:r78m<4>109>7d4=98;0q~?>8;296~;4i10:=5523`5954>52z?0e=<6:l16?l9513g8yv7383:1>v34}r373?6=:r78m54>469>7d1=9<;0q~?:2;296~;4i80:9?523`:9504;7>52z?0e=<6=>16?l951458yv71<3:1>v3a19>7d1=9hk0q~?nc;297~;6ij0:io521`d9e`=:j>0:5<5s4;jo7oj;<3ba?7ek2wx=lh50;0x94ga28oi70l6:02:?xu6j?0;6?u23`395g0<58h<6465a79~w4d>2908w0=66;3``>;e=3kn70<68;cf?xu6jh0;6?u23c595ge<5:k<6>lm;|q2a<<72;q6?l951d;894cf28hh7p}>eb83>7}Y9li01?;9:0``?xu6mm0;6?uQ1df8973d28hh7p}>eg83>7}Y9ll01?;k:0``?xu6n90;6?uQ1g28973b28hh7p}>f083>7}Y9o;01?8;:0``?xu6n;0;6?uQ1g08970f28hh7p}>f283>7}Y9o901?9?:0``?xu6n=0;6?uQ1g68971128hh7p}>f483>7}Y9o?01?9l:0``?xu6n?0;6?uQ1g4897>528hh7p}>f683>7}Y9o=01?67:0``?xu6n10;6?uQ1g:897?728hh7p}>f`83>7}:;h;1=ko4=541>4`f3ty99;4?:3y>034=9li01?;9:02;?xu5=j0;6?u247095`b<5;?h6<>7;|q11a<72;q68;<51dd8973c28:37p}=5d83>7}:4=37f>46?3ty9:94?:3y>034=9o;01?8;:02;?xu5>h0;6?u247095c4<5;7;|q135<72;q68;<51g18971728:37p}=7783>7}:46?3ty9;n4?:3y>034=9o?01?9l:02;?xu50;0;6?u247095c0<5;296<>7;|q1<=<72;q68;<51g5897>?28:37p}=9183>7}:46?3ty9554?:3y>6<>=9lh01o6511:8yv4>13:1>v3=998:=>;51j0:nn5rs3;b>5<5s482m7?mc:?1=f<6801v?7m:18184>j3;io63=9b824g=z{;3o6=4;{<0:`?7bj279m=4ne:?a3?77i2795o4>099~w7?b2909w0<6d;cf?84>n3;io6s|2`294?2|5;k;66;<0:e?7702wx>l?50;1x97g628oi70l8:02a?84>k3;;m6s|2`494?4|5:k:6?o9;<656?4f>2wx>kl50;0x96g?2;o270=n2;0fb>{t:oi1<77c>349j>7=j;<1b4?54m2wx?9>50;0x91052:>;70=n0;174>{t;=<1<76213492h7??8:p71b=838pR>:k;<165?7702wx?9k50;0xZ62b349>>7??8:p704=838p1;<;<1b1?7702wx?;<50;0x96g72:<970=n6;33=>{t;?o1<760b3492i7??9:p7o=:7`896?b28hh7p}<9e83>7}:;0n1=om4=2;f>46f3ty8m>4?:3y>7d4=:o>01>o9:0``?xu4i=0;6?u23`795ge<5:k=6<>n;|q0e<<72;qU?l74=2cf>4dd3ty8ml4?:3y>7dd=9ki01>ok:02:?xu4ik0;6?u23`a95ge<5:ko6<>n;|q0e`<72;q6?lj51ca896gb28:37p}7}Y;hl01>l>:0``?xu4j80;6?u23c295ge<5:h:6<>7;|q0f6<72;qU?o=4=2`7>46?3ty8n94?:5y>034=;k801>o7:2`1?85f:39i>636=4={_1a1>;4j?0:nn5rs2`;>5<1s49i;7lm;<1a=?7ek278n;4mb:?776;4j?0:<55rs2a1>5<5sW9h>63099>7f2=9920q~=l7;296~X4k>16?n651ca8yv5d03:1>v352z?0e2<4k<16?no51ca8yv5dk3:1>vP7fb=9920q~=ld;296~;4i>08oo523bf95ge52z\0gc=:;m:1=om4}r1g4?6=:r78m:47a6=9920q~=k1;296~X4l816?i:51ca8yv5c<3:1>v3;6380`4=:;m>1==64}r1g52z?0e7<4l>16?i7511:8yv5ci3:1>vP7ad=9920q~=kb;296~;4i>08h:523e`95ge52z\0`c=:;l:1=om4}r1f4?6=:r78m:47`6=9920q~=j3;296~X4m:16?h951ca8yv5b?3:1>v352z?0e2<4m116?h7511:8yv5a83:1>vP7c7=9920q~=i1;296~;6il0:<5523g395ge52z\0b6=:;o>1==64}r1e0?6=;r7?:?47d>=;o801>h;:0``?xu4n<0;6?uQ3g7896`128hh7p}7}:;h=1?k<4=2d5>46?3ty?7}Y<8i019?k:0``?xu39m0;6?u24709052<5=;o6<>7;|q75`<72;qU84dd3ty?=k4?:3y>034=<:3019?i:02;?xu3:90;6?uQ4328914128hh7p};2783>7}:4=505>46?3ty?>:4?:3y]071<5=836<>7;|q76=<72=q6?l?5435896g02=8<70<6f;33<>;3:10:nn5rs50:>5<5sW>9563;2c82ff=z{=8i6=4={<656?25127?>o4>099~w14d2909wS:=c:?76`<6jj1v99o63;2d824==z{=9;6=4={_604>;3;80:<55rs512>5<4s4>=>7:=f:?0e=<3:o168>?51ca8yv24:3:1>vP;339>065=9ki0q~:<4;296~;4i>0?>k52421955>?=7>52z\704=:<=81=om4}r677?6=:r78m:4;419>014=9920q~::b;296~X3=k1688m51ca8yv22k3:1>v3>i7>52z?0e2<3=11688k51ca8yv2183:1>vP;619>037=9920q~:91;290~;4i80?9k52470900`<5:k369;i;<655?7ek2wvbk8i:181M50?2wej:>50;0xL6103tdm;<4?:3yK7217>51zJ032=zfo=86=4>{I143>{in>>1<7?tH254?xha?<0;63:1=vF<769~jc10290:wE=87:mb2>=83;pD>98;|le3<<728qC?:94}od4e?6=9rB8;:5rng5a>5<6sA9<;6saf6a94?7|@:=<7p`i7e83>4}O;>=0qch8e;295~N4?>1vbk9i:182M50?2wej5>50;3xL6103tdm4<4?:0yK7217>51zJ032=zfo286=4>{I143>{in1>1<7?tH254?xha0<0;63:1=vF<769~jc>0290:wE=87:mb=>=83;pD>98;|le<<<72:qC?:94}od;e?6=;rB8;:5rng:a>5<4sA9<;6saf9a94?5|@:=<7p`i8e83>6}O;>=0qch7e;297~N4?>1vbk6i:180M50?2wej4>50;1xL6103tdm5<4?:2yK7217>54zJ032=zfo386=4={I143>{in0>1<7=tH254?xha1<0;69uG3658yk`>>3:1>vF<769~jc?02909wE=87:mb<>=838pD>98;|le=<<72;qC?:94}od:e?6=:rB8;:5rng;a>5<5sA9<;6saf8a94?4|@:=<7p`i9e83>7}O;>=0qch6e;297~N4?>1vbk7i:180M50?2wejl>50;4xL6103tdmm<4?:2yK7217>51zJ032=zfok86=4>{I143>{inh>1<7?tH254?xhai<0;63:1=vF<769~jcg02909wE=87:mbd>=83;pD>98;|lee<<72?qC?:94}odbe?6=9rB8;:5rngca>5<5sA9<;6saf`a94?4|@:=<7p`iae83>4}O;>=0qchne;295~N4?>1vbkoi:182M50?2wejo>50;3xL6103tdmn<4?:0yK7217>52zJ032=zfoh86=4<{I143>{ink>1<7=tH254?xhaj<0;6>uG3658yk`e>3:1?vF<769~jcd02908wE=87:mbg>=839pD>98;|lef<<72:qC?:94}odae?6=;rB8;:5rng`a>5<4sA9<;6safca94?7|@:=<7p`ibe83>4}O;>=0qchme;295~N4?>1vbkli:181M50?2wejn>50;3xL6103tdmo<4?:0yK7217>52zJ032=zfoi86=4<{I143>{inj>1<7?tH254?xhak<0;63:1=vF<769~jce0290:wE=87:mbf>=83;pD>98;|leg<<728qC?:94}od`e?6=9rB8;:5rngaa>5<6sA9<;6safba94?7|@:=<7p`ice83>4}O;>=0qchle;295~N4?>1vbkmi:182M50?2weji>50;1xL6103tdmh<4?:3yK72152zJ032=zfon>6=4={I143>{inm<1<70;6?uG3658yk`c03:1>vF<769~jcb>2909wE=87:mbag=838pD>98;|le`g<72;qC?:94}odgg?6=:rB8;:5rngfg>5<6sA9<;6safeg94?7|@:=<7p`idg83>4}O;>=0qchj0;295~N4?>1vbkk>:182M50?2wejh<50;3xL6103tdmi>4?:0yK72151zJ032=zfoo>6=4<{I143>{inl<1<7=tH254?xham>0;6>uG3658yk`b03:1?vF<769~jcc>2908wE=87:mb`g=839pD>98;|leag<72;qC?:94}odfg?6=9rB8;:5rnggg>5<6sA9<;6safdg94?7|@:=<7p`ieg83>7}O;>=0qchi0;296~N4?>1vbkh>:181M50?2wejk<50;0xL6103tdmj>4?:0yK72152zJ032=zfol>6=4>{I143>{ino<1<7?tH254?xhan>0;6290:wE=87:mbcg=83;pD>98;|lebg<728qC?:94}odeg?6=9rB8;:5rngdg>5<3sA9<;6safgg94?7|@:=<7p`ifg83>4}O;>=0qc??0183>7}O;>=0qc??0083>4}O;>=0qc??0383>7}O;>=0qc??0283>4}O;>=0qc??0583>7}O;>=0qc??0483>4}O;>=0qc??0783>7}O;>=0qc??0683>4}O;>=0qc??0983>7}O;>=0qc??0883>4}O;>=0qc??0c83>4}O;>=0qc??0b83>7}O;>=0qc??0e83>4}O;>=0qc??0d83>7}O;>=0qc??0g83>4}O;>=0qc??1183>7}O;>=0qc??1083>4}O;>=0qc??1383>7}O;>=0qc??1283>4}O;>=0qc??1583>7}O;>=0qc??1483>4}O;>=0qc??1783>7}O;>=0qc??1683>4}O;>=0qc??1983>4}O;>=0qc??1883>4}O;>=0qc??1`83>6}O;>=0qc??1c83>4}O;>=0qc??1b83>7}O;>=0qc??1e83>4}O;>=0qc??1d83>7}O;>=0qc??1g83>4}O;>=0qc??2183>7}O;>=0qc??2083>4}O;>=0qc??2383>7}O;>=0qc??2283>4}O;>=0qc??2583>7}O;>=0qc??2483>4}O;>=0qc??2783>6}O;>=0qc??2683>4}O;>=0qc??2983>7}O;>=0qc??2883>4}O;>=0qc??2`83>7}O;>=0qc??2c83>4}O;>=0qc??2b83>6}O;>=0qc??2e83>4}O;>=0qc??2d83>7}O;>=0qc??2g83>4}O;>=0qc??3183>7}O;>=0qc??3083>4}O;>=0qc??3383>7}O;>=0qc??3283>4}O;>=0qc??3583>7}O;>=0qc??3483>4}O;>=0qc??3783>1}O;>=0qc?l9;295~N4?>1vb51zJ032=zf8im6=4>{I143>{i9m:1<7?tH254?xh6l;0;698;|l2`2<728qC?:94}o3g=?6=9rB8;:5rn0fb>5<6sA9<;6sa1e`94?7|@:=<7p`>db83>4}O;>=0qc?kd;295~N4?>1vb7>51zJ032=zf8o86=4>{I143>{i9l>1<7?tH254?xh6m<0;63:1=vF<769~j4c0290:wE=87:m5`>=83;pD>98;|l2a<<728qC?:94}o3fe?6=9rB8;:5rn0ga>5<6sA9<;6sa1da94?7|@:=<7p`>ee83>4}O;>=0qc?jf;295~N4?>1vb51zJ032=zf8l>6=4>{I143>{i9o<1<7?tH254?xh6n>0;6290:wE=87:m5cg=83;pD>98;|l2bg<728qC?:94}o3eg?6=9rB8;:5rn0dg>5<6sA9<;6sa1gg94?7|@:=<7p`>fg83>4}O;>=0qc1vb?>>:182M50?2we>=<50;3xL6103td9<>4?:0yK72151zJ032=zf;:>6=4>{I143>{i:9<1<7?tH254?xh58>0;6290:wE=87:m65g=83;pD>98;|l14g<728qC?:94}o03g?6=9rB8;:5rn32g>5<6sA9<;6sa21g94?7|@:=<7p`=0g83>4}O;>=0qc<>0;295~N4?>1vb8;=:182M50?2we98:50;3xL6103td>984?:0yK721:7>51zJ032=zf{I143>{i=<21<7?tH254?xh2=00;698;|l62d<728qC?:94}o75f?6=9rB8;:5rn44`>5<6sA9<;6sa57f94?7|@:=<7p`:6d83>4}O;>=0qc;9f;295~N4?>1vb89?:182M50?2we9:?50;3xL6103td>;?4?:0yK72151zJ032=zf<=?6=4>{I143>{i=>?1<7?tH254?xh2??0;698;|l63d<728qC?:94}o74f?6=9rB8;:5rn45`>5<6sA9<;6sa56f94?7|@:=<7p`:7d83>4}O;>=0qc;8f;295~N4?>1vb86?:182M50?2we95?50;3xL6103td>4?4?:0yK72151zJ032=zf<2?6=4>{I143>{i=1?1<7?tH254?xh20?0;6?290:wE=87:m1=?=83;pD>98;|l65<6sA9<;6sa59f94?7|@:=<7p`:8d83>4}O;>=0qc;7f;295~N4?>1vb87?:182M50?2we94?50;3xL6103td>5?4?:0yK72151zJ032=zf<3?6=4>{I143>{i=0?1<7?tH254?xh21?0;6?3:1=vF<769~j0??290:wE=87:m198;|l6=d<728qC?:94}o7:f?6=9rB8;:5rn4;`>5<6sA9<;6sa58f94?7|@:=<7p`:9d83>4}O;>=0qc;6f;295~N4?>1vb8o?:182M50?2we9l?50;3xL6103td>m?4?:0yK72151zJ032=zf{I143>{i=h?1<7?tH254?xh2i?0;698;|l6ed<728qC?:94}o7bf?6=9rB8;:5rn4c`>5<6sA9<;6sa5`f94?7|@:=<7p`:ad83>4}O;>=0qc;nf;295~N4?>1vb8l?:182M50?2we9o?50;3xL6103td>n?4?:0yK72151zJ032=zf{I143>{i=k?1<7?tH254?xh2j?0;698;|l6fd<728qC?:94}o7af?6=9rB8;:5rn4``>5<6sA9<;6sa5cf94?7|@:=<7p`:bd83>4}O;>=0qc;mf;295~N4?>1vb8m?:182M50?2we9n?50;3xL6103td>o?4?:0yK72151zJ032=zf{I143>{i=j?1<7?tH254?xh2k?0;698;|l6gd<728qC?:94}o7`f?6=9rB8;:5rn4a`>5<6sA9<;6sa5bf94?7|@:=<7p`:cd83>4}O;>=0qc;lf;295~N4?>1vb8j?:182M50?2we9i?50;3xL6103td>h?4?:0yK72151zJ032=zf{I143>{i=m?1<7?tH254?xh2l?0;6290:wE=87:m1ag=83;pD>98;|l6`g<728qC?:94}o7gg?6=9rB8;:5rn4fg>5<6sA9<;6sa5eg94?7|@:=<7p`:dg83>4}O;>=0qc;j0;295~N4?>1vb8k>:182M50?2we9h<50;3xL6103td>i>4?:0yK72151zJ032=zf6=4>{I143>{i=l<1<7?tH254?xh2m>0;6290:wE=87:m1`g=83;pD>98;|l6ag<728qC?:94}o7fg?6=9rB8;:5rn4gg>5<6sA9<;6sa5dg94?7|@:=<7p`:eg83>4}O;>=0qc;i0;295~N4?>1vb8h>:182M50?2we9k<50;3xL6103td>j>4?:0yK72151zJ032=zf6=4>{I143>{i=o<1<7?tH254?xh2n>0;6290:wE=87:m1cg=83;pD>98;|l6bg<728qC?:94}o7eg?6=9rB8;:5rn4dg>5<6sA9<;6sa5gg94?7|@:=<7p`:fg83>4}O;>=0qc8?0;295~N4?>1vb;>>:182M50?2we:=<50;3xL6103td=<>4?:0yK72151zJ032=zf?:>6=4>{I143>{i>9<1<7?tH254?xh1810;698;|l556<728qC?:94}o420?6=9rB8;:5rn736>5<6sA9<;6sa60494?7|@:=<7p`91983>4}O;>=0qc8>a;295~N4?>1vb;?m:182M50?2we:7>51zJ032=zf?886=4>{I143>{i>;>1<7?tH254?xh1:<0;698;|l4g`<728qC?:94}o5`b?6=9rB8;:5rn6f3>5<6sA9<;6sa7e394?7|@:=<7p`8d383>4}O;>=0qc9k4;295~N4?>1vb:j::182M50?2we;i950;3xL6103td51zJ032=zf>no6=4>{I143>{i?mo1<7?tH254?xh0lo0;698;|l4a0<728qC?:94}o5f2?6=9rB8;:5rn6g4>5<6sA9<;6sa7d:94?7|@:=<7p`8e883>4}O;>=0qc9ja;295~N4?>1vb:km:182M50?2we;hm50;3xL6103td51zJ032=zf>l;6=4>{I143>{i?o;1<7?tH254?xh0n;0;698;|l4b3<728qC?:94}o5e3?6=9rB8;:5rn6d;>5<6sA9<;6sa7g;94?7|@:=<7p`8f`83>4}O;>=0qc9ib;295~N4?>1vb:hl:182M50?2we;kj50;3xL6103td51zJ032=zf1:;6=4>{I143>{i09;1<7?tH254?xh?8;0;67<3:1=vF<769~j=62290:wE=87:m<50=83;pD>98;|l;42<728qC?:94}o:35<6sA9<;6sa81c94?7|@:=<7p`70c83>4}O;>=0qc6?c;295~N4?>1vb5>k:182M50?2we4=k50;3xL6103td351zJ032=zf1;:6=4>{I143>{i0881<7?tH254?xh?9:0;66<3:1=vF<769~j=72290:wE=87:m<40=83;pD>98;|l;52<728qC?:94}o:25<6sA9<;6sa80c94?7|@:=<7p`71c83>4}O;>=0qc6>c;295~N4?>1vb5?k:182M50?2we451zJ032=zf18:6=4>{I143>{i0;81<7?tH254?xh?::0;65<3:1=vF<769~j=42290:wE=87:m<70=83;pD>98;|l;62<728qC?:94}o:15<6sA9<;6sa83c94?7|@:=<7p`72b83>4}O;>=0qc6=d;295~N4?>1vb5?50;3xL6103td3??4?:0yK7217>51zJ032=zf1l86=4>{I143>{i0o>1<7?tH254?xh?n?0;6a?3:1=vF<769~j=`>290:wE=87:m98;|l;bg<728qC?:94}o:e`?6=9rB8;:5rn9df>5<6sA9<;6sa8gd94?7|@:=<7p`60183>4}O;>=0qc7?1;295~N4?>1vb4>=:182M50?2we5==50;3xL6103td2<:4?:0yK72151zJ032=zf0:j6=4>{I143>{i19h1<7?tH254?xh>8m0;698;|l:57<728qC?:94}o;27?6=9rB8;:5rn837>5<6sA9<;6sa90794?7|@:=<7p`61783>4}O;>=0qc7>7;295~N4?>1vb4?7:182M50?2we5<750;3xL6103td2=l4?:0yK72151zJ032=zf0;h6=4>{I143>{i18n1<7?tH254?xh>9l0;698;|l:63<728qC?:94}oa16?6=9rB8;:5rnb00>5<6sA9<;6sac3694?7|@:=<7p`l2483>4}O;>=0qcm=6;295~N4?>1vbn<8:182M50?2weo?650;3xL6103tdh>44?:0yK72151zJ032=zfj8i6=4>{I143>{ik;i1<7?tH254?xhd:m0;698;|l`74<728qC?:94}oa06?6=9rB8;:5rnb16>5<6sA9<;6sac2494?7|@:=<7p`l3683>4}O;>=0qcm<9;296~N4?>1vbn=n:182M50?2weo>l50;3xL6103tdh?n4?:0yK72151zJ032=zfj9n6=4>{I143>{ik:l1<7?tH254?xhd<90;6?uG3658yke393:1=vF<769~jf25290:wE=87:mg15=838pD>98;|l`01<728qC?:94}oa71?6=9rB8;:5rnb65>5<5sA9<;6sac5594?7|@:=<7p`l4983>4}O;>=0qcm;9;296~N4?>1vbn:n:182M50?2weo9l50;3xL6103tdh8n4?:3yK72152zJ032=zfj>n6=4={I143>{ik=l1<7?tH254?xhd=90;698;|l`11<728qC?:94}oa61?6=9rB8;:5rnb75>5<6sA9<;6sac4594?7|@:=<7p`l5983>4}O;>=0qcm:9;295~N4?>1vbn;n:182M50?2weo8l50;0xL6103tdh9n4?:3yK721h7>52zJ032=zfj?n6=4={I143>{ik90;698;|l`21<728qC?:94}oa51?6=9rB8;:5rnb45>5<6sA9<;6sac7594?7|@:=<7p`l6983>4}O;>=0qcm9a;295~N4?>1vbn8m:182M50?2weo;m50;3xL6103tdh:i4?:0yK72151zJ032=zfj{I143>{ik>:1<7?tH254?xhd?80;698;|l`30<728qC?:94}oa42?6=9rB8;:5rnb54>5<6sA9<;6sac6:94?7|@:=<7p`l7883>4}O;>=0qcm8a;295~N4?>1vbn9m:182M50?2weo:j50;3xL6103tdh;h4?:0yK72151zJ032=zfj2;6=4>{I143>{ik1;1<7?tH254?xhd0;0;63290:wE=87:mg=3=83;pD>98;|l`<3<728qC?:94}oa;3?6=9rB8;:5rnb:;>5<6sA9<;6sac9;94?7|@:=<7p`l8`83>4}O;>=0qcm7d;295~N4?>1vbn6j:182M50?2weo5h50;3xL6103tdh5=4?:0yK72151zJ032=zfj396=4>{I143>{ik091<7?tH254?xhd1=0;6=3:1=vF<769~jf?1290:wE=87:mg<1=83;pD>98;|l`==<728qC?:94}oa:=?6=9rB8;:5rnb;b>5<6sA9<;6sac8`94?7|@:=<7p`l9b83>4}O;>=0qcm6d;295~N4?>1vbn7j:182M50?2weo4h50;3xL6103tdhm=4?:0yK72151zJ032=zfjk96=4>{I143>{ikh91<7?tH254?xhdi=0;698;|l`e=<728qC?:94}oab=?6=9rB8;:5rnbcb>5<6sA9<;6sac``94?7|@:=<7p`lab83>4}O;>=0qcmnf;295~N4?>1vbnl?:182M50?2weoo?50;3xL6103tdhn?4?:0yK72151zJ032=zfjh?6=4>{I143>{ikk?1<7?tH254?xhdj?0;698;|l`ff<728qC?:94}oa`7?6=9rB8;:5rnba6>5<6sA9<;6sacb494?7|@:=<7p`lc683>4}O;>=0qcml8;295~N4?>1vbnm6:182M50?2weonl50;3xL6103tdhon4?:0yK72151zJ032=zfjin6=4>{I143>{ikjl1<7?tH254?xhdl90;698;|l``3<728qC?:94}oag3?6=9rB8;:5rnbf:>5<6sA9<;6sacec94?7|@:=<7p`ldc83>4}O;>=0qcmkc;295~N4?>1vbnjk:182M50?2weoik50;3xL6103tdhhk4?:0yK72151zJ032=zfjo:6=4>{I143>{ikl81<7?tH254?xhdm:0;698;|l`a2<728qC?:94}oaf5<6sA9<;6sae1;94?7|@:=<7p`j0c83>7}O;>=0qck?c;296~N4?>1vbh>k:181M50?2wei=k50;0xL6103tdn52zJ032=zfl;:6=4={I143>{im881<7vF<769~j`722909wE=87:ma40=838pD>98;|lf52<72;qC?:94}og25<6sA9<;6sae0c94?4|@:=<7p`j1c83>7}O;>=0qck>c;296~N4?>1vbh?k:181M50?2wei52zJ032=zfl8:6=4>{I143>{im;81<7?tH254?xhb::0;698;|lf62<728qC?:94}og15<6sA9<;6sae3c94?7|@:=<7p`j2c83>4}O;>=0qck=c;295~N4?>1vbh>50;3xL6103tdn?<4?:0yK72151zJ032=zfl9?6=4>{I143>{im:?1<7?tH254?xhb;?0;698;|lf7d<728qC?:94}og0f?6=9rB8;:5rnd1`>5<6sA9<;6sae2g94?7|@:=<7p`j3g83>4}O;>=0qck;0;295~N4?>1vbh:>:182M50?2wei9<50;3xL6103tdn8>4?:0yK721=e>?;k:4pNOBz2~DEV|uIJ \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE.ngd b/cpld/XC95144XL/MXSE.ngd deleted file mode 100644 index 6132511..0000000 --- a/cpld/XC95144XL/MXSE.ngd +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$5d55=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4000857<4FNQWW>D:593;5=95=3;KMTPR=IVLXN1<<:0G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:0=F48=596O319<6?D:617>0M1?15:C?65803H69=7?15:C?64823H69>394A=00>=823H69?3:4A=0=0>G;;7>0M1:14:C?1;29<2K753:4AMG31>GKM9G>7LBJ0Ra8EIC7Wds<=>?d:COA5Yj}q:;<=?k;@NF4Zkrp9:;GXNZH7=906;@]EWG:6=730MRH\B=35:<=FWOYI0<919:C\BVD;91427LQISC>2=;>15;?c9B[CUE4;91=374A^DPF944611JSK]M<3<;?DYA[K68255N_GQA818?3HUM_O2:>99B[CUE4?437LQISC>4:==FWOYI0507;@]EWG:>6m1JSK]M_02\MGSAl2KTJ^LP10]JFP@c3HUM_OQ>2^KAQCbGXNZHT=8QFBTDg?DYA[KU::RGMUGf8EZ@TJV;SDLZFb9B[CUEW?UBNXHl;@]EWGY0W@H^Jn5N_GQA[=YNJ\Lh7LQISC]:[LDRN=1IMNZ:;CC@P4385MABVq0>DBZ[?0NH\]079AAWT7zl1II_\?r^]lv5678o1II_\?r^]lv56788l0NH\]0s]\kw67898>7OK]R048F@TU9{o0NH\]1s]\kw6789l0NH\]1s]\kw6789;m7OK]R0p\[jt789:996LJRS06?GCUZ:?0NH\]449AAWT212HN^_QFOCQg?GCUZVUjbi>?01g8F@TUWVkeh=>?00a8F@TUWVey<=>?d:@FVWYXg{:;<=?k;CGQVZYhz9:;?1g9AAWTuzVddx=>?1008FBg?01c8FBYXg{:;<Pnnv34576<2HL~<=4BTD7?GSAM8:0NXGCD^VBVBCSI[IC46LZS^KMBJ7EFK]80OH=4CMI4?FJL:9OEo6MCK32FJZIE]O=0OAE=5DL;?FJL:EKZ:1HBY74CNONMQRBL>1H^HO[EE08GV5Ekmhx:Sl`k012354=Cm2NYOR]ZCBGPWCSK?2N_MNE}f69GPDELz{l0HYOLKsp\jjr789;:<6J[ABIqvZhh|9:;=<94D`vb85803Mkm1?17:Fjwddkmo1Oe~omld]bja6789;;7Ig|acnf[dhc89:;=<>4DhqbficXign;<=>=119GmvgedlUjbi>?01124>Bn{hhgiRoad12341715:GP84823LY7>3;4ER>0:0=B[5>586K\_1f8AVY7WVkeh=>?0d9FWZ6XWhdo<=>?1d9FWZ6XWhdo<=>?2d9FWZ6XWhdo<=>?3d9FWZ6XWhdo<=>?4d9FWZ6XWhdo<=>?5d9FWZ6XWhdo<=>?659FWZ7c3LYT=RQnne2345c?e:GP[6YXign;<=>>e:GP[6YXign;<=>=4:GP[1bTSl`k01235`=B[V>TSl`k012364`?_^cm`56788k0I^QBaef\[dbc89:;SRoad123447>3LYTAljk_^cg`5678VUjbi>?003b?@UXEhnoSRokd1234ZYffm:;<9:GP[HgclVUjhi>?01]\ekb7898:m6K\_Lcg`ZYflm:;<=QPaof34546l2OXS\Q>_np3456b3LYT]R?Pos23457a3LYT]R?Pos234577n2OXS\Q>_np345669o1N_R_P1^mq45679;o0I^Q^_0]lv5678;o0I^Q^_0]lv5678:o0I^Q^_0]lv5678=o0I^Q^_0]lv5678o0I^Q^_0]lv56781o0I^Q^_0]lv56780o0I^QPaef3456;97o0I^QPaef3456;:7o0I^QPaef3456;;7o0I^QPaef3456;<7?0IYOLKe9FPDELWhdo<=>?e:GWEFMXign;<=>>e:GWEFMXign;<=>=e:GWEFMXign;<=>;e:GWEFMXign;<=>:e:GWEFMXign;<=>98:G[TDHCMM;:7HV_AOFF@ZDDLVLB@H?;;DZSEKBBLVIDB^Z]AHLWW476K}3:Gq6g=BzVIggRGAV078AwYI8=1MOAE=;GF7?CBDM=1MHN^=;GD;?CTHEV_HJ>5ISC38C6=@FM;0E?5F039J57=N:;1B??5F439J17=N>;1B;95FABQ6?LGD[8?0ELM\249JEFU4<2CIYK<4IO:8MK@BZ[OO56GAIUQWEQC43@D]i6GA_OEG[DHCWKIO96G@ABVg?LIFK]Ujbi>?00g8MJGD\Vkeh=>?10d8MJGD\Vkeh=>?102f?LIFK]Ujbi>?000f?LIFK]Ujbi>?001f?LIFK]Ujbi>?006f?LIFK]Ujbi>?007f?LIFK]Ujbi>?004f?LIFK]Ujbi>?005f?LIFK]Ujbi>?00:f?LIFK]Ujbi>?00;g?LIFK]Ufyu>?01g8MJGD\Vg~t=>?00g8MJGD\Vg~t=>?03g8MJGD\Vg~t=>?02g8MJGD\Vg~t=>?05g8MJGD\Vg~t=>?04g8MJGD\Vg~t=>?07g8MJGD\Vg~t=>?06g8MJGD\Vg~t=>?0948MJGD\{<0EBLJRSg8MJDBZ[Ufyu>?01d8MJDBZ[Ufyu>?01324>OHJLXYS`{w012355773@EII_\Pmtz3456698:0EBLJRS]nq}6789;9==5FOCGQVZkrp9:;<<=>0:KLF@TUWds<=>?15d8MJDBZ[Ufyu>?010e?LIEM[XTaxv?0120b>OHJLXYS`{w01230c=NGKOY^Rczx12340`0EBLB4:KLFV0OHKZ;>7DALS378MJET;<1BCN];5:KLGV323@EH_;:4INN3g>OHD9Ufyu>?01f8MJJ7Wds<=>?1e9JKI6Xe|r;<=>=d:KLH5Yj}q:;<==k;HMO4Zkrp9:;<9j4INN3[hs89:;995FOM3`?LIK9Vddx=>?1e9JKI7Xff~;<=?>5:KLHAU13@E[[N]8;HMSSFU6?2CD\ZM\269JKUQD[:=0EB^XCR66?LIUMXn0EB\JQ^ov|5678l1BC_K^_lw{45679o1BC_K^_lw{456799o0EB\JQ^ov|5678;o0EB\JQ^ov|5678:o0EB\JQ^ov|5678=o0EB\JQ^ov|5678o0EB\JQ^ov|56781o0EB\JQ^ov|56780<0EB\JQs78MJTP8m1BC_Y?_lw{4567m2CD^Z>Pmtz34566m2CD^Z>Pmtz34565m2CD^Z>Pmtz34564m2CD^Z>Pmtz34563l2CD^Z>Pnnv3457b3@EY[=Qaou23447b3@EY[=Qaou23444b3@EY[=Qaou23445b3@EY[=Qaou23442b3@EY[=Qaou23443b3@EY[=Qaou23440b3@EY[=Qaou2344123@EY[h5FOSU2[dhc89:;?h5FOSU2[dhc89:;8h5FOSU2[dhc89:;9h5FOSU2[dhc89:;::5FOSgb`|773@EYiljv_^cm`56788;0EB\jae{\[dhc89:;=?2b9JKVYA[DUMJi?i;HMP[CUJWOLo= Ga119JKVYA[DUMJi?"Io324>OH[VLXARHId0/Jj7773@EXSK]B_GDg5(Oi;8:0EB]PFRO\BCb6%@d?o6G@S^DPIZ@Al;l0EB]PFRO\BCb5%@d:<6G@S^DPIZ@Al;'Bb;HMP[CUJWOLo> Ga1132?LITWOYFSKHk2,Km54763@EXSK]B_GDg6(Oi9;;:7DA\_GQN[C@c:$Ce=>?>;HMP[CUJWOLo> Ga1532?LITWOYFSKHk2,Km50773@EXSK]B_GDg6(Oi:8:0EB]PFRO\BCb5%@d8==5FOR]EWHYANm8&Ec:>0:KLWZ@TEVLMh?#Fn433?LITWOYFSKHk2,Km2464INQ\BVKXNOn8!D`>119JKVYA[DUMJi="Io024>OH[VLXARHId2/Jj6773@EXSK]B_GDg7(Oi<8:0EB]PFRO\BCb4%@d>==5FOR]EWHYANm9&Ec8>0:KLWZ@TEVLMh>#Fn633?LITWOYFSKHk3,Km<46>2CDXT^J4:KLQ5eOH]9Ufyu>?013g?LIR8Vg~t=>?03f8MJS7Wds<=>?3e9JKP6Xe|r;<=>;d:KLQ5Yj}q:;<=;;;HMV5f=NG\;Tbbz?013g?LIR9Vddx=>?1078MJSC[=1BCZK9;HRO6w6b3@ZG>>Pnnv3457a3@ZG>>Pnnv34576>2C[@?|>e:IFAUYOALXJ^NFV7:NJFWGUQ?1GCLJJD29OKF17Aand0g8Hjgc9VUjbi>?0168I]UB981FT^KPTHOFWUCDW\IMo6CnjnpUawunggi0Ad``rWgqwlii991FcyfjrcmbvaTHELD:=6C`tigqfjgul[EFIC?=;O21?K753G8<7CK[WNPH2>HHKEC[=6A;;N@VB0=HJ\LN?6AAE39LV6=HZ;90C_=<;NP77>IR\=1Dbnkl;Nl`aZYhz9:;Vd3Y$9<<=>001\H6=W9990\V6;:1[=9=4P070?U71;2Z:;>5_1918T4?53Y887]V5?:1[>5=4P3;1?U543Y9;?6^<229S76587]=:3:R026=W;>80\9=4P520?U26;2Z?>>5_4218T1043Y?;?6^:229S16587];:3:R626=W=190\87=;Q40?U07;2Z==>5_6218T3243Y<>?6^9629S22490\:><;Q517>V0;:1[;9=4P640?U10;2Z<4>5_7808T=53:R;66=W0<90\58<;Q:47>V?1;1[5>5_9118T<743Y39?6^6329S=150\L\[369SAWOHL)(H@F=>?01"NL&OANB+9= ?01234567(,9>7]K]INF#&FJLWOYI.-<:.123456789*FDw.GIFJ#15(789:;<=>? $17?UCUAFN+.NBD_HMA&%1)0:9=<=>?0!OK~%NNOA*><#>?0123456'-;?0\H\FOE8@HN4_W@EI6<;!6751>HN}2ACLD7;?%0g8T@TNGM0H@FQISC811?KOr3BBKE4:0$01?UCUAFN1OAEPIN@93+>4;?0FDw4GIFJ915#f3YCESO[\IEZa?UOIWK_XBLCJ3:RQAg=W[VLXARHId0g8TVYA[DUMJi?"Iod8TVYA[DUMJi?"Io3e?UUXNZGTJKj>-Hl1f>VTWOYFSKHk2d9SWZ@TEVLMh?#Fng9SWZ@TEVLMh?#Fn033?UUXNZGTJKj=-Hl2446h4PR]EWHYANm8&Ec Ga4g9SWZ@TEVLMh?#Fn4d8TVYA[DUMJi<"Io4e?UUXNZGTJKj=-Hl4b>VTWOYFSKHk2,KmVfzb;:7\;4R@>3:3=UI5;;255]A=32>5813[K7=<0:;SC?5;3TF4=4>7_O35?78VD:16<1YM1915:PB8=823[K753=4R@O6?WGJKZ<0^LCLS048VDKD[;<0^LCLS248VDKD[=<0^LCLS448VDKD[?<0^LCLS648VDKD[1<0^LCKIR58VDKCAZ;m7_OBDHQ2[hs89:;==5]ALFJW4Yj}q:;<=?>1:PBIAOT9Vg~t=>?00225>TFEMCX=Rczx123447692XJAIG\1^ov|567888:=6\NMEKP5Zkrp9:;<<=>1:PBIAOT9Vg~t=>?00625>TFEMCX=Rczx123443692XJAIG\1^ov|56788<:=6\NMEKP5Zkrp9:;<<9>1:PBIAOT9Vg~t=>?00:25>TFEMCX=Rczx12344?682XJAIG\1^ov|5678;;;7_OBDHQ2[hs89:;?<>4R@OGMV7Xe|r;<=>;119QEHBN[8Ufyu>?01724>TFEMCX=Rczx12343773[KFHD]>_lw{4567?8:0^LCKIR3\ip~789:3==5]ALFJW4Yj}q:;<=78;SCN@LU5n2XJAIG\2^]lv56788:0^LCKIR0\[jt789::=<5]ALFJW7YXg{:;<=??119QEHBN[;UTc>?01024>TFEMCX>RQ`r12346773[KFHD]=_^mq4567<8:0^LCKIR0\[jt789:>==5]ALFJW7YXg{:;<=8>0:PBIAOT:VUd~=>?0633?WGJL@Y9SRa}0123<46?2XJAIG\369QEHBN[==0^LCKIR7;?WGJZlkou<>4R@OQadb~Wds<=>?109QEHTbimsTaxv?012257=UIDXnmiwPmtz345668880^LC]e`fz[hs89:;=?00026>TFE[ojhtQbuy2345749;1YM@\jae{\ip~789::8<<4R@OQadb~Wds<=>?1431?WGJZlkouRczx123440692XJA_kndx]nq}67898:=6\NMSgb`|Yj}q:;<==>1:PBIWcflpUfyu>?01625>TFE[ojhtQbuy23453692XJA_kndx]nq}6789<:=6\NMSgb`|Yj}q:;<=9>1:PBIWcflpUfyu>?01:25>TFE[ojhtQbuy2345?23[KXIAj4R@QFHZkrp9:;>3g9QEVCKWds<=>?15d8VDUBDVg~t=>?007e?WGTMEUfyu>?0135b>TF[LFTaxv?01223c=UIZOGS`{w01235=`;k4R@QFHZkrp9:;<>k4R@QFHZkrp9:;<9k4R@QFHZkrp9:;<8k4R@QFHZkrp9:;<;k4R@QFHZkrp9:;<:k4R@QFHZkrp9:;<5k4R@QFHZkrp9:;<474R@]3[JDRNj1YMR>Pmtz3456c3[KTTFW9Ufyu>?016b?WGX99UDNXHn;SC\54YHJ\L27_OP1^MAQCeTFW8Ufyu>?013g?WGX9Vg~t=>?03f8VDY6Wds<=>?3e9QEZ7Xe|r;<=>;9:PB[7YHJ\Lh7_OP2^ov|5678m1YMRRczx12346bTaxv?012g?WGX?00f8VDY3Wds<=>?2e9QEZ2Xe|r;<=>S`{w01237a=UIV?Taxv?0127=>TFW?UDNXHl;SC\2Zkrp9:;TFW>Ufyu>?01f8VDY0Wds<=>?1e9QEZ1Xe|r;<=>=d:PB[2Yj}q:;<==k;SC\3Zkrp9:;<974R@];[JDRNj1YMR6Pmtz3456c3[KT4Rczx12344bTFW1Ufyu>?016g?WGX0Vg~t=>?04f8VDY?Wds<=>?689QEZ?XGK_Mo6\N_8]nq}6789n0^LQ6_lw{45679m1YMR7Pmtz34565l2XJS4Qbuy23455c3[KT5Rczx12341eTB[=1YI^?8;SGP@jhbn2XN_Iaae^cm`56788:0^H]Koog\ekb789::==5]ERFlj`Yffm:;<=<>0:PFWAiimVkeh=>?0278V@Uu8m1YI^|?_omw4566m2XN_>Pnnv34576=2XN_?:;SGPv73TB[{xTbbz?013f?WCTz{Uecy>?0036?WIJKZ<0^BCLS058VJKD[8:<7_ABCR323>THEJY:>:5]OLAP56113[EFO^7<;SQWf>TTWOYFSKHk1d9QWZ@TEVLMh<#Fng9QWZ@TEVLMh<#Fn033?WUXNZGTJKj>-Hl2446??;SQ\BVKXNOn:!D`>4g9QWZ@TEVLMh<#Fn3d8VVYA[DUMJi?"Io1e?WUXNZGTJKj>-Hl7b>TTWOYFSKHk1,Km1c=U[VLXARHId0/Jj3`4RR]EWHYANm8&Ec?=119QWZ@TEVLMh?#Fn0124>TTWOYFSKHk2,Km51773[YTJ^CPFGf1)Lh6=8:0^^QISL]EBa4*Ag;===5]S^DPIZ@Al;'Bb<9>0:PP[CUJWOLo> Ga1933?WUXNZGTJKj=-Hl2=c=U[VLXARHId3/Jj7`#Fn4d8VVYA[DUMJi="Io4e?WUXNZGTJKj<-Hl4b>TTWOYFSKHk3,Km}_^mq4567991Yiljv0s]\kw6789;:<6\jae{3vZYhz9:;?119Qadb~9{UTc>?01324>Tbims:~RQ`r123470?10f8V`gcqVey<=>>2e9Qadb~Wfx;<=?<6:PfbDel12XnjN`{<1<:?WcaKg~7=374Rdd@jq:5601YikMat=1==>TbnJd0906;SgeGkr;=730^hhLnu>5:<=UmoIex1918:PfbFhsW920^hhLnu]2<>TbnJdS?64Rdd@jqY402XnjN`{_5:8V``Df}U>46\jfBlw[3>>5]egAmpZKflmUTmij?012\I}iuW[oxyaz33?00?WcaKg~TAljk_^cg`5678VGscQ]erwop929::1YikMat^Ob`aYXimn;<=>PMymq[Wct}e~793<<;SgeGkrXEhnoSRokd1234ZKg{UYi~{ct=4=66=UmoIexRCnde]\eab789:TAua}_Sgpqir;?78=7_kiCov\IdbcWVkoh=>?0^PfwpjsW9Uecy>?0132`>TbnJdS@okd^]b`a6789UTmcj?0122a>TbnJdS@okd^]b`a6789UTmcj?01225a=UmoIexRCnde]\eab789:TSl`k01225`=UmoIexRCnde]\eab789:TSl`k012254bP_`lg45629l1YikMat^Ob`aYXimn;<=>P_`lg45629880^hhLnu]\eab789:7<3?=;SgeGkrXWhno<=>?<0<26>TbnJdSRokd12349499;1YikMat^]b`a6789682<<4Rdd@jqYXimn;<=>34?31?WcaKg~TSljk01238086:2XnjN`{_^cg`56785<5=?5]egAmpZYflm:;<=28>69QacBhfl;;7_kiDnlf[Zgil9:;<?1g9QacBhflUTc>?0133?WcaLfdnSRa}0123544>129QacBhflUha}Qjq12347743[omHb`j_bos[`w789:8=>5]egFlj`YdeyUn}=>?0530?WcaLfdnSnc_ds345629:1YikJ`nd]`iuYby9:;<;?<;Sge@jhbWjg{Sh?012456=UmoNdbhQlmq]fu56781;87_kiDnlf[fkwWl{;<=>66:PfbWGT>2Xnj_k~7:PfbWcv901Yik[}gdlwe>Tbn\xlicz>a:PfbPt`mg~9m6\jfTpdakr4i2XnjX|heov72>Tb{|f:6]LSHAP3>UD[@IX=:5\CRK@W71<[JYBO^=8;RAPMFU3?2YH_DM\529PAQ3<[^6;285\W=3=3>UP4;0;285\W=0=e>UP4;4TEO[I9:QT[5YNJ\L27^YP1^KAQCg<[gnYM@M\WS`8WkbUIDIX[_?l;RlgVDKD[^X:Uil[KFO^Y]909W<>RBZDCEMA64THOFWUCD?2^EAR@JT29WVL><\[CXXLZJ4:VQQ@e<\ZUH@FRTWJF@SK]Ma:VP[FJLW@EIn6Z\_UKNAVVBK?1__RZAM59W]UC?3]cfib{{A028Plkbg|~JSRa}012354=SadodyyOP_np345669;1_e`k`uuC\[jt789::<?2038Plkbg|~JSRa}0123747<\`gncxzN_^mq4567<8;0XdcjotvB[Ziu89:;9?6038Plkbg|~JSRa}0123347<\`gncxzN_^mq456708;0XdcjotvB[Ziu89:;555[ilglqqDe3]cfib{{BQpf56=SadodyyL_rd]\kw6789;?7YgbenwwFUtbWVey<=>?1068Plkbg|~I\kP_np34565991_e`k`uu@\[jt789::=6ZfmdmvpGYXg{:;<=?>1:Vji`ir|KUTc>?01025>Rnele~xOQPos2345543\IM:6[AURGGe>STM[UJ@DO\c:WPAWYQAZCI@H=4VBA:?SOB_V^R\H=4VQC`?SVFWVkeh=>?0e9UTDYXign;<=>>b:TSEZYhz9:;?017`?SVFWVey<=>?6b9UTDYXg{:;<=9:;WRBvc3<^YKy~i5YP@pq[kis89::i6X_Asp\jjr789;:h6XfdSCNGVQU>=an7[gkR@O@WRT1n7[gkR@O@WRT1Yffm:;<=74_`lg4567901Tmcj?0121<>Yj}q:;<==4cov1?fu43oyin6h|b^llp5678j1moQaou23457c3oyiSca{012354bhF[VLXNRGMUG58jDUXAFHo7cO\_HMA[JDRNL;=7cO\_HMA[kGTW@EIcxzP0^32e>hF[VCDNR`NS^KLFjssWge<=>?1c9mEVYNGKUeM^QFOCmvpZhh|9:;<c:lBkprHMVEIYK;4nCGQV3=iJLXY=45aBDPQ[CUEm2dII_\PFR@\KGSA12dII_\PIN@f?kDBZ[UBCOQFBTD0?kD@?2dIKRG@Bb9mFBYNGKUBNXH<;o@Q3>hEZVCDNn5aBS]JKGYHJ\L?7cMNSe9mGDUXKeaTECX>7:l@EVYI801eOL]POCWEg>hDIZUecy>?00f8jFGTWge<=>>179m@QGDCh1eHYOLK^DPFc=iL]KHGRH\B^MAQCghC\HI@SRa}012363=iL`dGIo5aDhlOAZIE]On0bIgaLD]lv5678l1eHd`CE^mq45679?1eHd`@E69m@lhHM8=0bIgaOD04?kBnfFO8n6`KioMF[JDRN>1eHb{{ODa8jAir|FOTCO[If:lGkprHMVddx=>?1028jAir|FOTbbz?013255=iLfCHQaou23444682dOcxz@E^llp5679:;;7cJ`uuMF[kis89::8<>4nEmvpJCXff~;<=?:5:lJTI4f3gC[@?QFBTD7?kJC[11e@I]PFR@g?kJC[VLXNRGMUG:8jIBTW@EIi6`CDR]JKGYHJ\LNn6`CDR]JKGir|j1e@I]Paof3457c3gFO_Road12354ehKLZUecy>?003a?kJC[Vey<=>>c:lO@VYhz9:;=hKLZUd~=>?1668jIQB=2dG[H?l;oNTAZgil9:;hHM;20bBKPOCWE=>hH~lxgmt>>3:lLr`tkip:TMnb}_HLU5f=iGoy`lw?_O225>hH~lxgmt>Paof34566:2dDzh|cax2\ekb789::=?5aOwgqhd7Whdo<=>?2008jJpbzekr:>6`@vdpoe|6Xign;<=>:139mKscudhs;Sl`k01232<=iGoy`lw>159mKscudhs:SNbdEo]JJS7d3gE}ibny0]M42=iZHGG[Hm4nSCNHRCXGK_M;6`]ALWTAf=iZHG^[HQ@BTD7?kTF[<1e^L]>5:lQEV423gXJ_>;4nSCP00=iZHY>56`]AR]LFP@33gXN_45aRDQ\MGSA>2dYC@M\7:lQKHET9k1e^BCLS^MAQC0hRLZ20bXJ\_GQA`>hRLZUM_OQFBTD;?kSC[VCDNh5aUEQ\MJDXGK_MIo5aUEQ\MJDh}}i0bXJ\_`lg4567l2d^H^Qnne23457d3g_O_R``t1235a=i]MYTbbz?01320>hR_L?0bXYJ1b9mQRCXign;<=>k;oWTAZgil9:;<i5aUVG\ekb789:8h6`ZWD]bja6789>?7cXBA99mRHGXAFHn7cXBA^KLFZIE]OOi7cXBA^KLFjssl2d]ALQPaof3456b3g\FMRQnne23457d3g\FMRQ`r1234a=i^DKTSb|?0122`>hQEHUTc>?010g?kPJIVUd~=>?02f8jSKFWVey<=>?4e9mRHGXWfx;<=>:d:lUIDYXg{:;<=8k;oTNEZYhz9:;<:j4nWOB[Ziu89:;4i5aVLC\[jt789:2o6`YM@]bja6789n0b[CN_`lg45679m1eZ@OPaof34565l2d]ALQnne23455c3g\FMRoad12341bhQEHUjbi>?0157?kPWI<1eZ]O>5:lUTD4?3g\[MRH\Be9mRUGXNZHTCO[I8:lUTDYNGKn0b[^N_HMA[LDRN:1e[H94nVG\BVDd3g]NSK]M_H@VB==iomh~eaj<;scn<>~d1<:;ta?>;ya:1423pe~z==>"11:8|ljnfqe=55wloz\eprhW9Usho!lsu,{ffbzHIz:oom4@Az2gc4ca289?44o8:3061c}i<;?1=6`;2785?!2583>:;6s\b0876=<6mo0:?966a68160?c3Z;h57:i0;19562?1h=1>?;m1:Qa5?2a8391=>:79`59673el2n:ok4?:082Vd52=836:=5+9b87b7=Oj01}X<>8:182>4<4k9qXn?4;2982ac<6;=22m:4=2443?!5am3;hn6X;2281p7c93;0y7)??9;31g>d6ko0;69l5be8`e~N4nj1/?h=51bd8^5;n652?6=3`>?=7>5;nc5>5<5<5<5<5<6=44i54;>5<86=44o573>5<1<75f46g94?=h>o3=h0;66gnb;29?j2e?3:17d??b;29?j21l3:17b:73;29?j2dn3:17b:81;29?j20>3:17d:je;29?j22=3:17b<93;29 7232;<97c<;3;28?j4193:1(?:;:341?k43;3;07b<9c;29 7232;<97c<;3;08?j41j3:1(?:;:341?k43;3907b<9a;29 7232;<97c<;3;68?j4113:1(?:;:341?k43;3?07b<98;29 7232;<97c<;3;48?j41?3:1(?:;:341?k43;3=07b<96;29 7232;<97c<;3;:8?j41=3:1(?:;:341?k43;3307b<94;29 7232;<97c<;3;c8?j4183:1(?:;:341?k43;3h07d:k:18'612=9=50:9j0g<72-8?87:l;o077?7<3`>j6=4+25690f=i:=91>65f4883>!43<3>h7c<;3;18?l20290/>9:54b9m615=<21b8;4?:%070?2d3g8??7;4;h66>5<#:=>18n5a25192>=n<=0;6)<;4;6`?k43;3=07d:<:18'612=9=58:9j07<72-8?87:l;o077??<3`>:6=4+25690f=i:=91m65f4183>!43<3>h7c<;3;`8?l5a290/>9:54b9m615=k21b?h4?:%070?2d3g8??7j4;h76>5<#:=>18n5a2519a>=n==0;6)<;4;6`?k43;3l07d;<:18'612=9=51198m04=83.9894;c:l106<6921b9<4?:%070?2d3g8??7?=;:k64?6=,;>?69m4n360>45<3`>m6=4+25690f=i:=91=954i5g94?"5<=0?o6`=42821>=n<10;6)<;4;6`?k43;3;=76g!43<39h96`=4283?>o4k=0;6)<;4;1`1>h5<:0:76g!43<39h96`=4281?>d39k0;6<4?:1y'7`5=9830D9?n;I1eg>"3:;0?>45+1b:90c25}#;l91?kj4H53b?M5ak2.?>?4>de9'5f>=0c6<52=q/?h=51008L17f3A9mo6*>2`85?!7d03>m86Tk<:037?!7d03>m86Tj7>5;h071?6=3`h36=44i`d94?=n1l0;66l>1583>3<729q/8?<51078^6c528qjn7sf13:94?"3:;0:>554i`094?"3:;0j>65fa283>!25:3k876g!25:39h>65f33c94?"3:;08>l54o0g1>5<#<;81=h<4;|`75a<7280;6=u+43095ab52z\a<>;69=0:>55rs8g94?4|V0o019;50;0xZ72234;:87=l2:p60`=838pR?;i;<320?7b:2wx?kj50;0x94732h8019?k:0gf?x{e93:1=7>50z&0bg<33-9n?7?>9:&767<3:01/=n654g68k47?290/8?<51d08?xu5=o0;6?uQ24d8973a2;?m7p}m8;296~Xe02799k4m8:p=`<72;qU5h5224d9=`=z{;0;6>u224d9613<5;?m6lh4=0825==#;oh196srb236>5<42;0?w)=j3;326>N39h1C?km4$00b>3=#9j218k:4Z2g1>4}fj3wb5h4?::kaj7>5;c06b?6==381:v*4}fj3wd>8h50;9j613=831bn54?::kbb?6=3`3n6=44b037>5<1290;w):=2;321>\4m;0:wll5}h315<#<;81m>54i2a1>5<#<;81?n<4;h11e?6=,=896>9>7?j2:9~f17c290:6=4?{%616?7cl2e:ih4?:%616?7b:21vo650;0xZg><58;?6<<7;|q:a?6=:rT2i63>158b7>{tio0;6?uQag9>542=;;k0q~<;5;296~X5<<16=<:53b08yv42n3:1>vP=5g9>542=9l80q~=id;296~;69=0j>63;1e82a`=zuk;1<7?50;2x 6`e2=1/?h=510;8 1452=827)?l8;6e0>i6910;6):=2;3f6>=z{;?m6=4={_06b>;5=o099k5rsc:94?4|Vk201?;i:c:8yv?b2909wS7j;<06b??b3ty96=4<{<06b?43=2799k4nf:?2>47?3-9mn7;4}|`053<72:0969u+3d19544<@=;j7E=ic:&26d<13-;h47:i4:X0a7<6shh1qd7j:188mg>=831d>8h50;9a60`=83?1>78t$2g0>4733-;h47:i4:X0a7<6shh1qb<:f;29?l43=3:17dl7:188md`=831b5h4?::`251<72?0;6=u+4309543=83.?>?4>2998md4=83.?>?4n2:9je6<72->9>7o<;:k0g7<72->9>7=l2:9j77g=83.?>?4<2`98k4c5290/8?<51d08?xd39m0;6<4?:1y'074=9mn0c299~wd5>70?>4;1`6>{t:6s|3gf94?4|58;?6l<4=53g>4cb3twi=7>51;294~"4nk0?7)=j3;32=>"3:;0?>45+1b:90c2{t1l0;6?uQ9d9>60`=1l1v?4?:2y>60`=:=?01?;i:`d894<6911/?kl55:~f4e429086?4;{%1f7?76:2B?=l5G3ga8 44f2?1/=n654g68^6c528qjn7sf9d83>>oe03:17b<:f;29?g42n3:197<56z&0a6<69=1/=n654g68^6c528qjn7s`24d94?=n:=?1<75fb983>>ofn3:17d7j:188f473290=6=4?{%616?76=2P8i?4>{``9yl7503:1(9<=:00;?>of:3:1(9<=:`08?lg4290/8?<5a298m6e5290/8?<53b08?l55i3:1(9<=:20b?>i6m;0;6):=2;3f6>=zj=;o6=4>:183!25:3;oh6a>ed83>!25:3;n>65rsc:94?4|Vk201m3:1>vP6e:?2511??o4}r071?6=:rT9885210697f4j7>52z\11c=:98>1=h<4}r1e`?6=:r7:=94n2:?75a<6ml1vqo?50;394?6|,:li695+3d1954?<,=8969<6;%3`=838pRo64=37e>g>;628;37)=ib;78yxd6k?0;6>4=:5y'7`5=9880D9?n;I1eg>"6:h0=7)?l8;6e0>\4m;0:wll5}h;f>5<\4m;0:wll5}n06b?6=3`8?97>5;h`;>5<>d69=0;6;4?:1y'074=98?0V>k=:0ybf?{n9;21<7*;23826===ni:0;6):=2;c0?>o4k;0;6):=2;1`6>=n;;k1<7*;23806d=5}#<;81=ij4o0gf>5<#<;81=h<4;|qa15826==z{0o1<78h50;0xZ73a34;:87?j2:p7cb=838p1?4;289'5f>=0c7}Y:vPm8:?11c6}::9;4=37e>d`<580:=55+3g`91>{zjj:1<7;52;4x 6c428;87E:>a:J0bf=#9;k1:6*>c987b1=];l81=vom:|kb6?6=3`km6=44i8g94?=nj10;66a=5g83>>d5=o0;6;4?:1y'074=98?0V>k=:0ybf?{n9;21<7*;23826===ni:0;6):=2;c0?>o4k;0;6):=2;1`6>=n;;k1<7*;23806d=5}#<;818?74o0g1>5<#<;81=h<4;|q11c<72;qU>8h4=37e>4c53tyi47>52z\a<>;5=o0:>55rs`d94?4|Vhl01?;i:20b?xuf:3:1>vPn2:?11c5rs03:>5<5s48>j7=l2:?75c<6m;1vqo=?d;291?4=>r.8i>4>129K04g<@:lh7)?=a;48 4e?2=l?7W=j2;3xeg>o>m3:17dl7:188k73a2900n?;i:185>5<7s->9>7?>5:X0a7<6shh1qd?=8;29 145288376gn2;29 1452h807do<:18'074=i:10e>m=:18'074=;j807d==a;29 1452:8j76a>e383>!25:3;n>65rb53e>5<6290;w):=2;61=>i6m;0;6):=2;3f6>=z{;?m6=4={_06b>;5=o0:i?5rsc:94?4|Vk201?;i:00;?xufn3:1>vPnf:?11c<4:h1vl<50;0xZd4<5;?m6l<4}r;f>5<5sW3n70<:f;c0?xu6900;6?u224d97f4<5=;m6t$2g0>1>==mqC?km4$2g0>g5{``9ylg42900c<87:188k6dd2900c>mn:188m3b=831b8o;50;9l500=831b8hj50;9l63c=831b=i=50;9l74d=831d=?=50;9j5f<72-8?87?m;o077?6<3`;j6=4+25695g=i:=91=65f1883>!43<3;i7c<;3;08?l70290/>9:51c9m615=;21b=84?:%070?7e3g8??7:4;h37>5<#:=>1=o5a25191>=n9:0;6)<;4;3a?k43;3<07d?=:18'612=9k1e>9=57:9j54<72-8?87?m;o077?><3`;;6=4+25695g=i:=91565ff;29 72328h0b?:<:`98m`<72-8?87?m;o077?d<3`n1<7*=4582f>h5<:0h76gl:18'612=9k1e>9=5d:9j61<72-8?87?m;o077?c<3`886=4+25695g=i:=91j65m40`94?7=83:p(>k<:03:?M26i2B8jn5+430907?<,8i369h;;n32t$2g0>6`c3A>:m6F{e<0n1<7;52;4x 6c428;87E:>a:J0bf=#9;k1:6*>c987b1=];l81=vom:|kb6?6=3`km6=44i8g94?=nj10;66a=5g83>>d5=o0;6;4?:1y'074=98?0V>k=:0ybf?{n9;21<7*;23826===ni:0;6):=2;c0?>o4k;0;6):=2;1`6>=n;;k1<7*;23806d=5}#<;818?74o0g1>5<#<;81=h<4;|q11c<72;qU>8h4=37e>4c53tyi47>52z\a<>;5=o0:>55rs`d94?4|Vhl01?;i:20b?xuf:3:1>vPn2:?11c5rs03:>5<5s48>j7=l2:?75c<6m;1vqo:n7;291?4=>r.8i>4>129K04g<@:lh7)?=a;48 4e?2=l?7W=j2;3xeg>o>m3:17dl7:188k73a2900n?;i:185>5<7s->9>7?>5:X0a7<6shh1qd?=8;29 145288376gn2;29 1452h807do<:18'074=i:10e>m=:18'074=;j807d==a;29 1452:8j76a>e383>!25:3;n>65rb53e>5<6290;w):=2;61=>i6m;0;6):=2;3f6>=z{;?m6=4={_06b>;5=o0:i?5rsc:94?4|Vk201?;i:00;?xufn3:1>vPnf:?11c<4:h1vl<50;0xZd4<5;?m6l<4}r;f>5<5sW3n70<:f;c0?xu6900;6?u224d97f4<5=;m6t$2g0>44e3A>:m6F5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg75<3:157>50z&0a6<402B?=l5G3ga8 1452:;0(o69j0;6):=2;31<>=n98n1<7*;23826==<3`;:j7>5$501>44?32c:>=4?:%616?75021b=??50;&767<6:110e<<=:18'074=9;207b?ka;29 14528o976sm13794??=83:p(>k<:2:8L17f3A9mo6*;23805>"6k10?j95f10`94?"3:;0:>554i03`>5<#<;81=?64;h32`?6=,=896<<7;:k25`<72->9>7?=8:9j54`=83.?>?4>2998m447290/8?<513:8?l7593:1(9<=:00;?>o6:;0;6):=2;31<>=h9mk1<7*;2382a7=53;294~"4m:08>6F;1`9K7ce<,=896>?4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qo=md;297?6=8r.8i>4<2:J75d=O;oi0(9<=:238 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465`1ec94?"3:;0:i?54}c1aa?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th8nk4?:383>5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a7f6=8391<7>t$2g0>64<@=;j7E=ic:&767<492.:o54;f59j54d=83.?>?4>2998m47d290/8?<513:8?j7ci3:1(9<=:0g1?>{e;j;1<7=50;2x 6c42:80D9?n;I1eg>"3:;08=6*>c987b1=n98h1<7*;23826==<3f;om7>5$501>4c532wi=;750;094?6|,:o86<N4nj1/8?<59`9'5f>=0ei6lh0;6):=2;3f6>N3:810qo?9b;296?6=8r.8i>4>2c9K04g<@:lh7):=2;;b?!7d03>m86g>1`83>!25:3;9465`1ec94?"3:;0:i?5G4338?xd6>j0;694?:1y'7`5=;:1C8o69k0;6):=2;31<>=n98i1<7*;23826==<3f;om7>5$501>4c532wi8l?50;194?6|,:o86><4H53b?M5ak2.?>?4<1:&2g=<3n=1b=k<:00a?M26i2B8jn5+4309=d=#9j218k:4i03b>5<#<;81=?64;n3ge?6=,=896=zj=k86=4=:183!5b;3;9n6F;1`9K7ce<,=8964o4$0a;>1`33`;:m7>5$501>44?32e:hl4?:%616?7b:2B?><54}c6b0?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th?m84?:283>5}#;l91??5G40c8L6`d3->9>7=>;%3`1<729q/?h=5329K04g<@:lh7):=2;12?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554i03g>5<#<;81=?64;n3ge?6=,=896t$2g0>44e3A>:m6F5<7s-9n?7==;I62e>N4nj1/8?<5309'5f>=0ec;29 145288376a>d`83>!25:3;n>65rb352>5<5290;w)=j3;31f>N39h1C?km4$501>9>7?j2:J764=7>52;294~"4m:0:>o5G40c8L6`d3->9>77n;%3`hl;%616?563-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998k4bf290/8?<51d08?xd5?=0;6>4?:1y'7`5=;;1C8o69k0;6):=2;31<>=n98i1<7*;23826==5}#;l91=ih4H53b?M5ak2.?>?4>dd9'5f>=0ec;29 145288376a>d`83>!25:3;n>65rb355>5<4290;w)=j3;11?M26i2B8jn5+430974=#9j218k:4i03a>5<#<;81=?64;h32g?6=,=896<<7;:m2`d<72->9>7?j2:9~f67d29086=4?{%1f7?553A>:m6Fi6lh0;6):=2;3f6>=zj:896=4=:183!5b;3;9n6F;1`9K7ce<,=8964o4$0a;>1`33`;:m7>5$501>44?32e:hl4?:%616?7b:2B?><54}c117?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th8>94?:383>5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a773=8381<7>t$2g0>44e3A>:m6F<9:181>5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg55?3:1>7>50z&0a6<6:k1C8o69h0;6):=2;31<>=h9mk1<7*;2382a7=O<;;07pl<2983>7<729q/?h=513`8L17f3A9mo6*;238:e>"6k10?j95f10c94?"3:;0:>554o0fb>5<#<;81=h<4H502?>{e;;31<7=50;2x 6c42:80D9?n;I1eg>"3:;08=6*>c987b1=n98h1<7*;23826==<3f;om7>5$501>4c532wi?=4H53b?M5ak2.?>?4<1:&2g=<3n=1b=d;29 145288376a>d`83>!25:3;n>65rb23f>5<4290;w)=j3;3gb>N39h1C?km4$501>4bb3-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998k4bf290/8?<51d08?xd49o0;6>4?:1y'7`5=;;1C8o69k0;6):=2;31<>=n98i1<7*;23826===4?:283>5}#;l91=ih4H53b?M5ak2.?>?4>dd9'5f>=0ec;29 145288376a>d`83>!25:3;n>65rb202>5<3290;w)=j3;10?M26i2B8jn5+430974=#9j218k:4i03a>5<#<;81=?64;h32g?6=,=896<<7;:k25a<72->9>7?=8:9l5ag=83.?>?4>e398yg27n3:197>50z&0a6<4<2B?=l5G3ga8 1452:;0(o69j0;6):=2;31<>=n98n1<7*;23826==<3f;om7>5$501>4c532wi8<>50;194?6|,:o86><4H53b?M5ak2.?>?4<1:&2g=<3n=1b=k<:53:?M26i2B8jn5+430904><,8i369h;;h32f?6=,=896<<7;:k25f<72->9>7?=8:9l5ag=83.?>?4>e398yg26:3:1>7>50z&0a6<6:k1C8o69h0;6):=2;31<>=h9mk1<7*;2382a7=O<;;07pl;1283>7<729q/?h=513`8L17f3A9mo6*;238:e>"6k10?j95f10c94?"3:;0:>554o0fb>5<#<;81=h<4H502?>{e<8>1<7=50;2x 6c42:80D9?n;I1eg>"3:;08=6*>c987b1=n98h1<7*;23826==<3f;om7>5$501>4c532wi8<;50;194?6|,:o86><4H53b?M5ak2.?>?4<1:&2g=<3n=1b=k<:218L17f3A9mo6*;23805>"6k10?j95f10`94?"3:;0:>554i03`>5<#<;81=?64;h32`?6=,=896<<7;:m2`d<72->9>7?j2:9~f6ee29086=4?{%1f7?553A>:m6Fi6lh0;6):=2;3f6>=zj:im6=4<:183!5b;3;oj6F;1`9K7ce<,=8960<729q/?h=5359K04g<@:lh7):=2;12?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554i03g>5<#<;81=?64;h32a?6=,=896<<7;:m2`d<72->9>7?j2:9~f6b629096=4?{%1f7?75j2B?=l5G3ga8 14520k0(i6lh0;6):=2;3f6>N3:810qo=k2;296?6=8r.8i>4>2c9K04g<@:lh7):=2;;b?!7d03>m86g>1`83>!25:3;9465`1ec94?"3:;0:i?5G4338?xd4l:0;6?4?:1y'7`5=9;h0D9?n;I1eg>"3:;02m6*>c987b1=n98k1<7*;23826==k<:00a?M26i2B8jn5+4309=d=#9j218k:4i03b>5<#<;81=?64;n3ge?6=,=896=zj:n>6=4<:183!5b;3997E:>a:J0bf=#<;81?<5+1b:90c2<3`;:o7>5$501>44?32e:hl4?:%616?7b:21vn>j9:186>5<7s-9n?7=;;I62e>N4nj1/8?<5309'5f>=0ec;29 145288376g>1e83>!25:3;9465f10g94?"3:;0:>554o0fb>5<#<;81=h<4;|`0gf<72:0;6=u+3d1977=O<8k0D>hl;%616?563-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998k4bf290/8?<51d08?xd4km0;6>4?:1y'7`5=9ml0D9?n;I1eg>"3:;0:hh5+1b:90c2<3`;:o7>5$501>44?32e:hl4?:%616?7b:21vn>mj:180>5<7s-9n?7==;I62e>N4nj1/8?<5309'5f>=0ec;29 145288376a>d`83>!25:3;n>65rb074>5<4290;w)=j3;3gb>N39h1C?km4$501>4bb3-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998k4bf290/8?<51d08?xd6=10;6>4?:1y'7`5=9ml0D9?n;I1eg>"3:;0:hh5+1b:90c2<3`;:o7>5$501>44?32e:hl4?:%616?7b:21vn<;6:181>5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg72i3:1?7>50z&0a6<4:2B?=l5G3ga8 1452:;0(o69j0;6):=2;31<>=h9mk1<7*;2382a7=n7>54;294~"4m:08?6F;1`9K7ce<,=896>?4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021b=5b83>1<729q/?h=51d28L17f3A9mo6*;2382``=#9j218k:4i03a>5<#<;81=?64;h32g?6=,=896<<7;:k25a<72->9>7?=8:9l5ag=83.?>?4>e398yve=83>pRn52136954b<5:n;654z\g?875<3;:i63{tn3:18vPi;<310?758278oo4>1b9>501=98h0q~??:186[7734;987?=1:?0`5<69l16?i:510c8943028;h7p}>1;297~X6927:>94>239>7fd=98h0q~?=:180[7534;997?>b:?74c<69j1v<=50;1xZ45<588>647c3ty:97>53z\21>;6:<0:=h5241d954c47f34>:<7?>b:?21=<69k1v<750;:xZ4?<588>6<4>1`9>626=98i01><8:03b?82683;:o63>58825d=z{8k1<7lt^0c89442288:70=md;32g>;6>00:=l524`3954e<5;94>1`9>74b=98i019?>:03`?872j3;:o6s|1b83>g}Y9j16=?;5130896da28;j70?9b;32e>;3i=0:=l52260954g<5:;h684>1`9>044=98k01<;l:03`?xu5;3:1?vP=3:?261<69k16?nh510`8yv432908wS<;;<310?76k278ok4>1b9~w3b=839pR;j4=5c;>47f34>j=7?>b:pe6<72:qUm>5248f9=`=:5<5sW;9?63>2782`d=z{88?6=4={<310?7ci27:>;4>1c9~w4422909w0?=5;3ge>;6:?0:=n5rs075>5<5sW;>:63>5b82`d=z{8?<6=4={<363?7ci27:9l4>1b9~w43?2909w0?:8;3ge>;6=k0:=o5rs07:>5<5s4;>57?ka:?21g<69m1v<;n:181872i3;om63>5b825g=z{8?i6=4={<36f?7ci27:9n4>1e9~w40?2909wS?98:?22f<6lh1v<86:18187113;om63>6b825f=z{81c9~w40e2909w0?9b;3ge>;6>j0:=i5rs0f0>5<3sW;o?63<1b825f=:;;<1=47d3ty:h84?:3y>04d=982019o8:`d8yv7c>3:1>v3;1b82a`=:<0n1n55rs34f>5<5sW8=i63=7782`d=z{;4>1b9~w7172909w0<80;3ge>;5?=0:=o5rs352>5<5s48<=7?ka:?131<69j1v?9=:181840:3;om63=77825f=z{;=86=4={<047?7ci279;84>1c9~w7132909w0<84;3ge>;5?<0:=n5rs356>5<5s48<97?ka:?133<69k1v>?m:181[56j278><4>d`9~w67d2909w0=>c;3ge>;49l0:=o5rs23g>5<5s49:h7?ka:?065<69j1v>?j:181856m3;om63<1g825g=z{:;m6=4={<12b?7ci278>=4>1c9~w6472909w0==0;3ge>;4:80:=n5rs201>5<5s499>7?ka:?06<<69k1v><<:181855;3;om63<28825f=z{:8?6=4={<110?7ci278=k4>1b9~w6422909w0==5;3ge>;49m0:=o5rs205>5<5s499:7?ka:?05a<69m1v><8:181855?3;om63<20825g=z{:836=4={<11<4>1e9~w64>2909w0==9;3ge>;49l0:=n5rs2``>5<5sW9io631c9~w6db2909w0=me;3ge>;4k90:=o5rs2`e>5<5s49ij7?ka:?0g5<69j1v>m?:18185d83;om63;4kl0:hl5rs2aa>5<5s49hn7?ka:?0gf<69k1v>ml:18185dk3;om631b9~w6ea2909w0=lf;3ge>;4l<0:=n5rs2f3>5<5s49o<7?ka:?0ga<69k1v>j>:18185c93;om631b9~w6b42909w0=k3;3ge>;4l?0:=i5rs2f7>5<5s49o87?ka:?0`3<69l1v>j::18185c=3;om631b9~w16b2908w0:>6;3ge>;4l<0:=o5214c954d;j7>52z?74c<6lh168<8510a8yv2683:1>v3;1182`d=:<8?1=d`9>042=98h0q~:>2;296~;39;0:hl52406954e:?7>52z?756<6lh168<8510f8yv26<3:1>v3;1582`d=:<8?1=d`9>040=98h0q~:6d;296~;31m099k524`59f==z{=3m6=4={<6:`?ga34>2i7?ka:p0d6=838p197k:`0891g128nj7p};a083>7}:47d3ty?m?4?:3y>0d4=9mk019o::03a?xu3i:0;6?u24`195ag<5=k>67}:47e3ty?m:4?:4y>0d1=::03b?840;3;:n63<22825d=:<8;1=1`9~w1cc2908wS:jd:?22d<69h168<=510c8yxd3n80;6>:5c`8f=~N4nj1/?h=527f8^5;n6:=?6=3`>3<7>5;h6f`?6=3`>ih7>5;h05a?6=3f>i;7>5;h12f?6=3f9>j7>5;n6:6?6=3f8=?7>5$367>7053g8??7>4;n055?6=,;>?6?8=;o077?7<3f8=o7>5$367>7053g8??7<4;n05f?6=,;>?6?8=;o077?5<3f8=m7>5$367>7053g8??7:4;n05=?6=,;>?6?8=;o077?3<3f8=47>5$367>7053g8??784;n053?6=,;>?6?8=;o077?1<3f8=:7>5$367>7053g8??764;n051?6=,;>?6?8=;o077??<3f8=87>5$367>7053g8??7o4;n054?6=,;>?6?8=;o077?d<3`;26=4+25695==i:=91<65f1683>!43<3;37c<;3;38?l72290/>9:5199m615=:21b=94?:%070?7?3g8??7=4;h30>5<#:=>1=55a25190>=n9;0;6)<;4;3;?k43;3?07d?>:18'612=911e>9=56:9j55<72-8?87?7;o077?1<3`l1<7*=4582<>h5<:0376gj:18'612=911e>9=59:9j`?6=,;>?6<64n360>d=9=5c:9j66<72-8?87?7;o077?b<3`896=4+25695==i:=91i65f2083>!43<3;37c<;3;d8?l47290/>9:5199m615=9910e4>1:9j5`<72-8?87?7;o077?7532c:h7>5$367>4>86<=4;h35>5<#:=>1=55a251951=:183!5b;3;:56F;1`9K7ce<,=8969<6;%3`7<1s-9n?7?>3:J75d=O;oi0(<=0V>k=:0ybf?{ni;0;66gnf;29?l?b2900eo650;9l60`=831i>8h50;494?6|,=896{t:6s|b983>7}Yj116>8h513:8yvga2909wSoi;<06b?55i2wxm?4?:3y]e7=::v3=5g80g7=:<8l1=h<4}|`2a6<72<096;u+3d19545<@=;j7E=ic:&26d<13-;h47:i4:X0a7<6shh1qdo=:188md`=831b5h4?::kaj7>5;c06b?6=>3:19>7?=8:9je7<72->9>7o=;:kb7?6=,=896l=4;h1`6?6=,=896>m=;:k06d<72->9>7==a:9l5`4=83.?>?4>e398yg26n3:1=7>50z&767<3:01d=h<50;&767<6m;10q~<:f;296~X5=o16>8h51d08yvd?2909wSl7;<06b?7502wxmk4?:3y]ec=::5<5sWk970<:f;c1?xu>m3:1>vP6e:?11cf;3f6>{zj>:1<7=52;6x 6c428;97E:>a:J0bf=#9j218k:4Z2g1>4}fj3wb5h4?::kaj7>5;c06b?6==381:v*4}fj3wd>8h50;9j613=831bn54?::kbb?6=3`3n6=44b037>5<1290;w):=2;321>\4m;0:wll5}h315<#<;81m>54i2a1>5<#<;81?n<4;h11e?6=,=896>9>7?j2:9~f17c290:6=4?{%616?7cl2e:ih4?:%616?7b:21vo650;0xZg><58;?6<<7;|q:a?6=:rT2i63>158b7>{tio0;6?uQag9>542=;;k0q~<;5;296~X5<<16=<:53b08yv42n3:1>vP=5g9>542=9l80q~=id;296~;69=0j>63;1e82a`=zuk;1<7?50;2x 6`e2=1/?h=510;8 1452=827)?l8;6e0>i6910;6):=2;3f6>=z{;?m6=4={_06b>;5=o099k5rsc:94?4|Vk201?;i:c:8yv?b2909wS7j;<06b??b3ty96=4<{<06b?43=2799k4nf:?2>47?3-9mn7;4}|`1=f<72:0969u+3d19544<@=;j7E=ic:&26d<13-;h47:i4:X0a7<6shh1qd7j:188mg>=831d>8h50;9a60`=83?1>78t$2g0>4733-;h47:i4:X0a7<6shh1qb<:f;29?l43=3:17dl7:188md`=831b5h4?::`251<72?0;6=u+4309543=83.?>?4>2998md4=83.?>?4n2:9je6<72->9>7o<;:k0g7<72->9>7=l2:9j77g=83.?>?4<2`98k4c5290/8?<51d08?xd39m0;6<4?:1y'074=9mn0c299~wd5>70?>4;1`6>{t:6s|3gf94?4|58;?6l<4=53g>4cb3twi=7>51;294~"4nk0?7)=j3;32=>"3:;0?>45+1b:90c2{t1l0;6?uQ9d9>60`=1l1v?4?:2y>60`=:=?01?;i:`d894<6911/?kl55:~f7gb29086?4;{%1f7?76:2B?=l5G3ga8 44f2?1/=n654g68^6c528qjn7sf9d83>>oe03:17b<:f;29?g42n3:197<56z&0a6<69=1/=n654g68^6c528qjn7s`24d94?=n:=?1<75fb983>>ofn3:17d7j:188f473290=6=4?{%616?76=2P8i?4>{``9yl7503:1(9<=:00;?>of:3:1(9<=:`08?lg4290/8?<5a298m6e5290/8?<53b08?l55i3:1(9<=:20b?>i6m;0;6):=2;3f6>=zj=;o6=4>:183!25:3;oh6a>ed83>!25:3;n>65rsc:94?4|Vk201m3:1>vP6e:?2511??o4}r071?6=:rT9885210697f4j7>52z\11c=:98>1=h<4}r1e`?6=:r7:=94n2:?75a<6ml1vqo?50;394?6|,:li695+3d1954?<,=8969<6;%3`=838pRo64=37e>g>;628;37)=ib;78yxd5?10;6>4=:5y'7`5=9880D9?n;I1eg>"6:h0=7)?l8;6e0>\4m;0:wll5}h;f>5<\4m;0:wll5}n06b?6=3`8?97>5;h`;>5<>d69=0;6;4?:1y'074=98?0V>k=:0ybf?{n9;21<7*;23826===ni:0;6):=2;c0?>o4k;0;6):=2;1`6>=n;;k1<7*;23806d=5}#<;81=ij4o0gf>5<#<;81=h<4;|qa15826==z{0o1<78h50;0xZ73a34;:87?j2:p7cb=838p1?4;289'5f>=0c7}Y:vPm8:?11c6}::9;4=37e>d`<580:=55+3g`91>{zj:h86=4<:387!5b;3;:>6F;1`9K7ce<,88j6;5+1b:90c25;n06b?6=3k8>j7>55;092~"4m:0:=95+1b:90c29;50;9jf=<722cjj7>5;h;f>5<5$501>44?32cj>7>5$501>d4<3`k86=4+4309e6=5$501>64f32e:i?4?:%616?7b:21vn9?k:182>5<7s->9>7?kd:m2a`<72->9>7?j2:9~wg>=838pRo64=037>44?3ty2i7>52z\:a>;69=0j?6s|ag83>7}Yio16=<:533c8yv43=3:1>vP=449>542=;j80q~<:f;296~X5=o16=<:51d08yv5al3:1>v3>158b6>;39m0:ih5r}c394?7=83:p(>hm:59'7`5=9830(9<=:50:?!7d03>m86a>1983>!25:3;n>65rs37e>5<5sW8>j63=5g811c=z{k21<7j77j;|q1>5<4s48>j7<;5:?11c1}#;l91=<<4H53b?M5ak2.:o54;f59Y7`4=9rki6pg6e;29?ld?2900c?;i:188f73a290>6?49{%1f7?76<2.:o54;f59Y7`4=9rki6pa=5g83>>o5<<0;66gm8;29?lga2900e4k50;9a542=83<1<7>t$501>4723S9n>7?tac8~m44?290/8?<513:8?lg5290/8?<5a398md5=83.?>?4n3:9j7f4=83.?>?4{e<8n1<7?50;2x 14528no7b?je;29 14528o976s|b983>7}Yj116=<:513:8yv?b2909wS7j;<320?g43tyjj7>52z\bb>;69=08>l5rs366>5<5sW8?963>1580g7=z{;?m6=4={_06b>;69=0:i?5rs2dg>5<5s4;:87o=;<62`?7bm2wvn<4?:083>5}#;oh186*1`33f;:47>5$501>4c532wx>8h50;0xZ73a348>j7<:f:pf=<72;qUn55224d9f==z{0o1<7>70<:f;ce?87=9820(>hm:49~yg55j3:1?7<54z&0a6<69;1C8>d5=o0;684=:7y'7`5=98>0(5<>6=44ic:94?=nio0;66g6e;29?g76<3:1:7>50z&767<69<1Q?h<51zca>xo6:10;6):=2;31<>=ni;0;6):=2;c1?>of;3:1(9<=:`18?l5d:3:1(9<=:2a1?>o4:h0;6):=2;11e>=h9l81<7*;2382a7=:h7>51;294~"3:;0:hi5`1dg94?"3:;0:i?54}r`;>5<5sWh370?>4;31<>{t1l0;6?uQ9d9>542=i:1vlh50;0xZd`<58;?6>9;4=037>6e53ty99k4?:3y]60`<58;?604b=9lo0qpl>:182>5<7s-9mn7:4$2g0>47>3->9>7:=9:&2g=<3n=1d=<650;&767<6m;10q~<:f;296~X5=o16>8h524d8yvd?2909wSl7;<06b?d?3ty2i7>52z\:a>;5=o02i6s|2;297~;5=o09885224d9ec=:93;:46*a:J0bf=#9;k1:6*>c987b1=];l81=vom:|k:a?6=3`h36=44o37e>5<c987b1=];l81=vom:|m11c<722c9884?::ka1<7850;2x 14528;>7W=j2;3xeg<3`k96=4+4309e7==n;j81<7*;2380g7=7>5$501>4c532wi8e39~w6`c2909w0?>4;c1?826l3;ni6srb083>4<729q/?kl54:&0a6<6901/8?<543;8 4e?2=l?7b?>8;29 14528o976s|24d94?4|V;?m70<:f;06b>{tj10;6?uQb99>60`=j11v4k50;0xZ0=zuk9><7>53;090~"4m:0:=?5G40c8L6`d3-;9m784$0a;>1`33S9n>7?tac8~m3}#;l91=<:4$0a;>1`33S9n>7?tac8~k73a2900e?:::188mg>=831bmk4?::k:a?6=3k;:87>56;294~"3:;0:=85U3d095~ge2tc:>54?:%616?75021bm?4?:%616?g532cj?7>5$501>d5<3`9h>7>5$501>6e532c8>l4?:%616?55i21d=h<50;&767<6m;10qo:>d;295?6=8r.?>?4>de9l5`c=83.?>?4>e398yvd?2909wSl7;<320?7502wx5h4?:3y]=`=:98>1m>5rs`d94?4|Vhl017}Y:5<6290;w)=ib;68 6c428;27):=2;61=>"6k10?j95`10:94?"3:;0:i?54}r06b?6=:rT99k5224d960`m2799k46e:p6?6=;r799k4=449>60`=io16=7?>8:&0bg<23twi?;;50;094?6|,:o86<N4nj1/8?<59`9'5f>=0eo69k0;6):=2;31<>=n98i1<7*;23826==5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a0<5=8381<7>t$2g0>44e3A>:m6F5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg4>13:1>7>50z&0a6<6:k1C8o69h0;6):=2;31<>=h9mk1<7*;2382a7=O<;;07pl=9`83>7<729q/?h=513`8L17f3A9mo6*;238:e>"6k10?j95f10c94?"3:;0:>554o0fb>5<#<;81=h<4H502?>{e:0h1<7=50;2x 6c42:80D9?n;I1eg>"3:;08=6*>c987b1=n98h1<7*;23826==<3f;om7>5$501>4c532wi8;:50;094?6|,:o86<N4nj1/8?<59`9'5f>=0ei6lh0;6):=2;3f6>N3:810qo=:e;297?6=8r.8i>4<2:J75d=O;oi0(9<=:238 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465`1ec94?"3:;0:i?54}c654?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th?no4?:283>5}#;l91=ih4H53b?M5ak2.?>?4>dd9'5f>=0ec;29 145288376a>d`83>!25:3;n>65rb5;b>5<5290;w)=j3;31f>N39h1C?km4$501>9>7?j2:J764=2n7>53;294~"4m:0:hk5G40c8L6`d3->9>7?ke:&2g=<3n=1b=k<:00a?M26i2B8jn5+4309=d=#9j218k:4i03b>5<#<;81=?64;n3ge?6=,=896=zj=ii6=4=:183!5b;3;9n6F;1`9K7ce<,=8964o4$0a;>1`33`;:m7>5$501>44?32e:hl4?:%616?7b:2B?><54}c6`g?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th?oi4?:483>5}#;l91?95G40c8L6`d3->9>7=>;%3`e;29 145288376a>d`83>!25:3;n>65rb5;6>5<5290;w)=j3;31f>N39h1C?km4$501>9>7?j2:J764=2:7>52;294~"4m:0:>o5G40c8L6`d3->9>77n;%3`i2.:o54;f59j54g=83.?>?4>2998k4bf290/8?<51d08L14632wi84650;794?6|,:o86>:4H53b?M5ak2.?>?4<1:&2g=<3n=1b=d;29 145288376g>1d83>!25:3;9465`1ec94?"3:;0:i?54}c0`g?6=;3:1N39h1C?km4$501>67<,8i369h;;h32f?6=,=896<<7;:k25f<72->9>7?=8:9l5ag=83.?>?4>e398yg4dl3:1>7>50z&0a6<6:k1C8o69h0;6):=2;31<>=h9mk1<7*;2382a7=O<;;07pl=cd83>6<729q/?h=5339K04g<@:lh7):=2;12?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554o0fb>5<#<;81=h<4;|`1gc<72:0;6=u+3d195a`<@=;j7E=ic:&767<6ll1/=n654g68m47e290/8?<513:8?l76k3:1(9<=:00;?>i6lh0;6):=2;3f6>=zj;n?6=4<:183!5b;3997E:>a:J0bf=#<;81?<5+1b:90c2<3`;:o7>5$501>44?32e:hl4?:%616?7b:21vn?j::181>5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg4c>3:1?7>50z&0a6<4:2B?=l5G3ga8 1452:;0(o69j0;6):=2;31<>=h9mk1<7*;2382a7=53;294~"4m:0:hk5G40c8L6`d3->9>7?ke:&2g=<3n=1b=k<:208L17f3A9mo6*;23805>"6k10?j95f10`94?"3:;0:>554i03`>5<#<;81=?64;n3ge?6=,=896t$2g0>44e3A>:m6F5<7s-9n?7==;I62e>N4nj1/8?<5309'5f>=0ec;29 145288376a>d`83>!25:3;n>65rb3fg>5<4290;w)=j3;3gb>N39h1C?km4$501>4bb3-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998k4bf290/8?<51d08?xd5m90;6>4?:1y'7`5=;;1C8o69k0;6):=2;31<>=n98i1<7*;23826==5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a6`4=8391<7>t$2g0>64<@=;j7E=ic:&767<492.:o54;f59j54d=83.?>?4>2998m47d290/8?<513:8?j7ci3:1(9<=:0g1?>{e:l91<7=50;2x 6c428nm7E:>a:J0bf=#<;81=ik4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qo4<2:J75d=O;oi0(9<=:238 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465`1ec94?"3:;0:i?54}c0f3?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th9i54?:283>5}#;l91??5G40c8L6`d3->9>7=>;%3`6<729q/?h=51ed8L17f3A9mo6*;2382``=#9j218k:4i03a>5<#<;81=?64;h32g?6=,=896<<7;:m2`d<72->9>7?j2:9~f7cd29086=4?{%1f7?553A>:m6Fi6lh0;6):=2;3f6>=zj;oo6=4=:183!5b;3;9n6F;1`9K7ce<,=8964o4$0a;>1`33`;:m7>5$501>44?32e:hl4?:%616?7b:2B?><54}c0fa?6=;3:1N39h1C?km4$501>67<,8i369h;;h32f?6=,=896<<7;:k25f<72->9>7?=8:9l5ag=83.?>?4>e398yg4bn3:1?7>50z&0a6<6lo1C8c987b1=n98h1<7*;23826==<3f;om7>5$501>4c532wi>k<50;194?6|,:o86><4H53b?M5ak2.?>?4<1:&2g=<3n=1b=k<:00a?M26i2B8jn5+4309=d=#9j218k:4i03b>5<#<;81=?64;n3ge?6=,=896=zj;l?6=4<:183!5b;3997E:>a:J0bf=#<;81?<5+1b:90c2<3`;:o7>5$501>44?32e:hl4?:%616?7b:21vn?h::180>5<7s-9n?7?kf:J75d=O;oi0(9<=:0ff?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554o0fb>5<#<;81=h<4;|`1b=<72:0;6=u+3d1977=O<8k0D>hl;%616?563-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998k4bf290/8?<51d08?xd5n00;6?4?:1y'7`5=9;h0D9?n;I1eg>"3:;02m6*>c987b1=n98k1<7*;23826==k<:208L17f3A9mo6*;23805>"6k10?j95f10`94?"3:;0:>554i03`>5<#<;81=?64;n3ge?6=,=896t$2g0>4ba3A>:m6Fo69j0;6):=2;31<>=h9mk1<7*;2382a7=53;294~"4m:08>6F;1`9K7ce<,=896>?4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qo=?7;296?6=8r.8i>4>2c9K04g<@:lh7):=2;;b?!7d03>m86g>1`83>!25:3;9465`1ec94?"3:;0:i?5G4338?xd4810;6>4?:1y'7`5=;;1C8o69k0;6):=2;31<>=n98i1<7*;23826==5}#;l91=ih4H53b?M5ak2.?>?4>dd9'5f>=0ec;29 145288376a>d`83>!25:3;n>65rb271>5<4290;w)=j3;3gb>N39h1C?km4$501>4bb3-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998k4bf290/8?<51d08?xd4==0;6>4?:1y'7`5=;;1C8o69k0;6):=2;31<>=n98i1<7*;23826==5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a700=8381<7>t$2g0>44e3A>:m6F;8:186>5<7s-9n?7=;;I62e>N4nj1/8?<5309'5f>=0ec;29 145288376g>1e83>!25:3;9465f10g94?"3:;0:>554o0fb>5<#<;81=h<4;|`01=<72:0;6=u+3d195a`<@=;j7E=ic:&767<6ll1/=n654g68m47e290/8?<513:8?l76k3:1(9<=:00;?>i6lh0;6):=2;3f6>=zj:?26=4<:183!5b;3997E:>a:J0bf=#<;81?<5+1b:90c2<3`;:o7>5$501>44?32e:hl4?:%616?7b:21vn>;n:180>5<7s-9n?7?kf:J75d=O;oi0(9<=:0ff?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554o0fb>5<#<;81=h<4;|`01g<72:0;6=u+3d1977=O<8k0D>hl;%616?563-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998k4bf290/8?<51d08?xd4=:0;6>4?:1y'7`5=9ml0D9?n;I1eg>"3:;0:hh5+1b:90c2<3`;:o7>5$501>44?32e:hl4?:%616?7b:21vn>=k:181>5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg5303:1?7>50z&0a6<6lo1C8c987b1=n98h1<7*;23826==<3f;om7>5$501>4c532wi?9750;094?6|,:o86<N4nj1/8?<59`9'5f>=0e:m6Fi6lh0;6):=2;3f6>=zj:>i6=4=:183!5b;3;9n6F;1`9K7ce<,=8964o4$0a;>1`33`;:m7>5$501>44?32e:hl4?:%616?7b:2B?><54}c17g?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th88i4?:383>5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a71c=8391<7>t$2g0>64<@=;j7E=ic:&767<492.:o54;f59j54d=83.?>?4>2998m47d290/8?<513:8?j7ci3:1(9<=:0g1?>{e;=l1<7<50;2x 6c4288i7E:>a:J0bf=#<;815l5+1b:90c2<3f;om7>5$501>4c53A>9=65rb21f>5<4290;w)=j3;11?M26i2B8jn5+430974=#9j218k:4i03a>5<#<;81=?64;h32g?6=,=896<<7;:m2`d<72->9>7?j2:9~f65a29086=4?{%1f7?553A>:m6Fi6lh0;6):=2;3f6>=zj:>;6=4<:183!5b;3997E:>a:J0bf=#<;81?<5+1b:90c2<3`;:o7>5$501>44?32e:hl4?:%616?7b:21vn>:>:180>5<7s-9n?7==;I62e>N4nj1/8?<5309'5f>=0ec;29 145288376a>d`83>!25:3;n>65rb261>5<4290;w)=j3;3gb>N39h1C?km4$501>4bb3-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998k4bf290/8?<51d08?xd4<:0;6>4?:1y'7`5=;;1C8o69k0;6):=2;31<>=n98i1<7*;23826==5}#;l91=ih4H53b?M5ak2.?>?4>dd9'5f>=0ec;29 145288376a>d`83>!25:3;n>65rb266>5<4290;w)=j3;3gb>N39h1C?km4$501>4bb3-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998k4bf290/8?<51d08?xd44?:1y'7`5=;;1C8o69k0;6):=2;31<>=n98i1<7*;23826==5}#;l91=h>4H53b?M5ak2.?>?4>dd9'5f>=0ec;29 145288376g>1e83>!25:3;9465`1ec94?"3:;0:i?54}c11`?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th8?>4?:383>5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a762=8391<7>t$2g0>64<@=;j7E=ic:&767<492.:o54;f59j54d=83.?>?4>2998m47d290/8?<513:8?j7ci3:1(9<=:0g1?>{e;:?1<7<50;2x 6c4288i7E:>a:J0bf=#<;815l5+1b:90c2<3f;om7>5$501>4c53A>9=65rb215>5<4290;w)=j3;11?M26i2B8jn5+430974=#9j218k:4i03a>5<#<;81=?64;h32g?6=,=896<<7;:m2`d<72->9>7?j2:9~f65029096=4?{%1f7?75j2B?=l5G3ga8 14520k0(i6lh0;6):=2;3f6>N3:810qo=<8;296?6=8r.8i>4>2c9K04g<@:lh7):=2;;b?!7d03>m86g>1`83>!25:3;9465`1ec94?"3:;0:i?5G4338?xd4;00;6?4?:1y'7`5=9;h0D9?n;I1eg>"3:;02m6*>c987b1=n98k1<7*;23826==k<:0fe?M26i2B8jn5+43095ac<,8i369h;;h32f?6=,=896<<7;:k25f<72->9>7?=8:9l5ag=83.?>?4>e398yg55m3:1?7>50z&0a6<4:2B?=l5G3ga8 1452:;0(o69j0;6):=2;31<>=h9mk1<7*;2382a7=53;294~"4m:08>6F;1`9K7ce<,=896>?4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qo=<0;297?6=8r.8i>4<2:J75d=O;oi0(9<=:238 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465`1ec94?"3:;0:i?54}c105?6=<3:1N39h1C?km4$501>67<,8i369h;;h32f?6=,=896<<7;:k25f<72->9>7?=8:9j54b=83.?>?4>2998k4bf290/8?<51d08?xd4;;0;694?:1y'7`5=9l:0D9?n;I1eg>"3:;0:hh5+1b:90c2<3`;:o7>5$501>44?32c:=i4?:%616?75021d=io50;&767<6m;10qo4>2c9K04g<@:lh7):=2;;b?!7d03>m86g>1`83>!25:3;9465`1ec94?"3:;0:i?5G4338?xd5no0;6?4?:1y'7`5=9;h0D9?n;I1eg>"3:;02m6*>c987b1=n98k1<7*;23826==k<:218L17f3A9mo6*;23805>"6k10?j95f10`94?"3:;0:>554i03`>5<#<;81=?64;h32`?6=,=896<<7;:m2`d<72->9>7?j2:9~f66629086=4?{%1f7?7cn2B?=l5G3ga8 14528nn7)?l8;6e0>o69k0;6):=2;31<>=n98i1<7*;23826==5}#;l91??5G40c8L6`d3->9>7=>;%3`6<729q/?h=51ed8L17f3A9mo6*;2382``=#9j218k:4i03a>5<#<;81=?64;h32g?6=,=896<<7;:m2`d<72->9>7?j2:9~f4c229096=4?{%1f7?75j2B?=l5G3ga8 14520k0(i6lh0;6):=2;3f6>N3:810qo?j6;296?6=8r.8i>4>2c9K04g<@:lh7):=2;;b?!7d03>m86g>1`83>!25:3;9465`1ec94?"3:;0:i?5G4338?xd6m>0;6?4?:1y'7`5=9;h0D9?n;I1eg>"3:;02m6*>c987b1=n98k1<7*;23826==k<:00a?M26i2B8jn5+4309=d=#9j218k:4i03b>5<#<;81=?64;n3ge?6=,=896=zj8o26=4::183!5b;39?7E:>a:J0bf=#<;81?<5+1b:90c2<3`;:o7>5$501>44?32c:=i4?:%616?75021b=e`83>6<729q/?h=51ed8L17f3A9mo6*;2382``=#9j218k:4i03a>5<#<;81=?64;h32g?6=,=896<<7;:m2`d<72->9>7?j2:9~f7d729086=4?{%1f7?553A>:m6Fi6lh0;6):=2;3f6>=zj;hi6=4=:183!5b;3;9n6F;1`9K7ce<,=8964o4$0a;>1`33`;:m7>5$501>44?32e:hl4?:%616?7b:2B?><54}c0`7?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th9o94?:383>5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a6f3=8381<7>t$2g0>44e3A>:m6F5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg4d?3:1>7>50z&0a6<6:k1C8o69h0;6):=2;31<>=h9mk1<7*;2382a7=O<;;07pl=c983>7<729q/?h=513`8L17f3A9mo6*;238:e>"6k10?j95f10c94?"3:;0:>554o0fb>5<#<;81=h<4H502?>{e:j31<7<50;2x 6c4288i7E:>a:J0bf=#<;815l5+1b:90c2<3f;om7>5$501>4c53A>9=65rb3`2>5<5290;w)=j3;31f>N39h1C?km4$501>9>7?j2:J764=7>52;294~"4m:0:>o5G40c8L6`d3->9>77n;%3`hl;%616?563-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998k4bf290/8?<51d08?xd5j=0;6>4?:1y'7`5=;;1C8o69k0;6):=2;31<>=n98i1<7*;23826==5}#;l91??5G40c8L6`d3->9>7=>;%3`1<729q/?h=5329K04g<@:lh7):=2;12?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554i03g>5<#<;81=?64;n3ge?6=,=896t$2g0>4ba3A>:m6Fo69j0;6):=2;31<>=h9mk1<7*;2382a7=53;294~"4m:0:hk5G40c8L6`d3->9>7?ke:&2g=<3n=1b=k<:0fe?M26i2B8jn5+43095ac<,8i369h;;h32f?6=,=896<<7;:k25f<72->9>7?=8:9l5ag=83.?>?4>e398yg4ei3:1?7>50z&0a6<4:2B?=l5G3ga8 1452:;0(o69j0;6):=2;31<>=h9mk1<7*;2382a7=53;294~"4m:08>6F;1`9K7ce<,=896>?4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qo4<2:J75d=O;oi0(9<=:238 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465`1ec94?"3:;0:i?54}c0aa?6=;3:1hl;%616?7cm2.:o54;f59j54d=83.?>?4>2998m47d290/8?<513:8?j7ci3:1(9<=:0g1?>{e:kl1<7=50;2x 6c42:80D9?n;I1eg>"3:;08=6*>c987b1=n98h1<7*;23826==<3f;om7>5$501>4c532wi>n>50;194?6|,:o86N4nj1/8?<51eg8 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465`1ec94?"3:;0:i?54}c0`5?6=;3:1N39h1C?km4$501>67<,8i369h;;h32f?6=,=896<<7;:k25f<72->9>7?=8:9l5ag=83.?>?4>e398yg4d:3:1?7>50z&0a6<6lo1C8c987b1=n98h1<7*;23826==<3f;om7>5$501>4c532wi>:o50;194?6|,:o86N4nj1/8?<51eg8 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465`1ec94?"3:;0:i?54}c0;1?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th94;4?:383>5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a6=1=8391<7>t$2g0>64<@=;j7E=ic:&767<492.:o54;f59j54d=83.?>?4>2998m47d290/8?<513:8?j7ci3:1(9<=:0g1?>{e:121<7<50;2x 6c4288i7E:>a:J0bf=#<;815l5+1b:90c2<3f;om7>5$501>4c53A>9=65rb3::>5<5290;w)=j3;31f>N39h1C?km4$501>9>7?j2:J764=52;294~"4m:0:>o5G40c8L6`d3->9>77n;%3`hl;%616?563-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998m47c290/8?<513:8?j7ci3:1(9<=:0g1?>{e:1i1<7<50;2x 6c4288i7E:>a:J0bf=#<;815l5+1b:90c2<3f;om7>5$501>4c53A>9=65rb35a>5<5290;w)=j3;31f>N39h1C?km4$501>9>7?j2:J764=54;294~"4m:0:i=5G40c8L6`d3->9>7?ke:&2g=<3n=1b=d;29 145288376a>d`83>!25:3;n>65rb35g>5<4290;w)=j3;11?M26i2B8jn5+430974=#9j218k:4i03a>5<#<;81=?64;h32g?6=,=896<<7;:m2`d<72->9>7?j2:9~f71b290?6=4?{%1f7?543A>:m6Fo69m0;6):=2;31<>=h9mk1<7*;2382a7=53;294~"4m:08>6F;1`9K7ce<,=896>?4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qo<70;297?6=8r.8i>4<2:J75d=O;oi0(9<=:238 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465`1ec94?"3:;0:i?54}c0;5?6=;3:1hl;%616?7cm2.:o54;f59j54d=83.?>?4>2998m47d290/8?<513:8?j7ci3:1(9<=:0g1?>{e:181<7=50;2x 6c428nm7E:>a:J0bf=#<;81=ik4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qo<73;297?6=8r.8i>4<2:J75d=O;oi0(9<=:238 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465`1ec94?"3:;0:i?54}c0;0?6=<3:1hl;%616?7cm2.:o54;f59j54d=83.?>?4>2998m47d290/8?<513:8?l76l3:1(9<=:00;?>i6lh0;6):=2;3f6>=zj=km6=4=:183!5b;3;9n6F;1`9K7ce<,=8964o4$0a;>1`33`;:m7>5$501>44?32e:hl4?:%616?7b:2B?><54}c6a4?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th?n<4?:383>5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a0g4=83>1<7>t$2g0>4c73A>:m6Fo69j0;6):=2;31<>=n98n1<7*;23826==4?:283>5}#;l91??5G40c8L6`d3->9>7=>;%3`6<729q/?h=5339K04g<@:lh7):=2;12?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554o0fb>5<#<;81=h<4;|`1=4<72=0;6=u+3d1976=O<8k0D>hl;%616?563-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998m47c290/8?<513:8?j7ci3:1(9<=:0g1?>{e:081<7<50;2x 6c4288i7E:>a:J0bf=#<;815l5+1b:90c2<3f;om7>5$501>4c53A>9=65rb3;0>5<5290;w)=j3;31f>N39h1C?km4$501>9>7?j2:J764=52;294~"4m:0:>o5G40c8L6`d3->9>77n;%3`i2.:o54;f59j54g=83.?>?4>2998k4bf290/8?<51d08L14632wi>4850;794?6|,:o86>:4H53b?M5ak2.?>?4<1:&2g=<3n=1b=d;29 145288376g>1d83>!25:3;9465`1ec94?"3:;0:i?54}c0:3?6=;3:1hl;%616?7cm2.:o54;f59j54d=83.?>?4>2998m47d290/8?<513:8?j7ci3:1(9<=:0g1?>{e:021<7=50;2x 6c42:80D9?n;I1eg>"3:;08=6*>c987b1=n98h1<7*;23826==<3f;om7>5$501>4c532wi>4>50;194?6|,:o86N4nj1/8?<51eg8 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465`1ec94?"3:;0:i?54}c0:a?6=<3:1N39h1C?km4$501>67<,8i369h;;h32f?6=,=896<<7;:k25f<72->9>7?=8:9j54b=83.?>?4>2998k4bf290/8?<51d08?xd5i?0;6>4?:1y'7`5=;;1C8o69k0;6):=2;31<>=n98i1<7*;23826==5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a6d>=8381<7>t$2g0>44e3A>:m6F5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg4fi3:1>7>50z&0a6<6:k1C8o69h0;6):=2;31<>=h9mk1<7*;2382a7=O<;;07pl=ac83>7<729q/?h=513`8L17f3A9mo6*;238:e>"6k10?j95f10c94?"3:;0:>554o0fb>5<#<;81=h<4H502?>{e:hi1<7<50;2x 6c4288i7E:>a:J0bf=#<;815l5+1b:90c2<3f;om7>5$501>4c53A>9=65rb3cg>5<5290;w)=j3;31f>N39h1C?km4$501>9>7?j2:J764=53;294~"4m:0:hk5G40c8L6`d3->9>7?ke:&2g=<3n=1b=k<:208L17f3A9mo6*;23805>"6k10?j95f10`94?"3:;0:>554i03`>5<#<;81=?64;n3ge?6=,=896t$2g0>64<@=;j7E=ic:&767<492.:o54;f59j54d=83.?>?4>2998m47d290/8?<513:8?j7ci3:1(9<=:0g1?>{e:h81<7:50;2x 6c428o;7E:>a:J0bf=#<;81=ik4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021b=1<729q/?h=5329K04g<@:lh7):=2;12?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554i03g>5<#<;81=?64;n3ge?6=,=896t$2g0>4ba3A>:m6Fo69j0;6):=2;31<>=h9mk1<7*;2382a7=53;294~"4m:08>6F;1`9K7ce<,=896>?4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10q~m50;0xZf=::jo1=i8510`8yvc=838pRh522ea954d6`4=98h0q~??:181[77348n47?>b:p54<72;qU=<522dg954d7}Y9:16>ko510`8yv732909wS?;;<136?76j2wx=;4?:3y]53=::ji1=5<5sW;<70=?6;32g>{t9m0;6?uQ1e9>6a2=98i0q~?j:181[7b348om7?>c:p5c<72;qU=k522d2954e3;:o6s|2083>7}Y:816>hm510a8yv452909wS<=;<0e6?76k2wx>>4?:3y]66=::o21=5<5sW8?70=?0;32f>{t>m0;6<5j5b99>5`5=j116?8:510a8963028;i70=;9;32e>;41`9>6f>=98k01?lk:03a?84?=3;:m63=86825g=::0?1=47f3ty<<7>55z?44?42n2788o4>1`9>6f1=98k01?67:03b?84>n3;:n6s|a283>f}Yi:16>5j59d9>5`5=1l16;=46e:?1=f<>m279mh46e:?13=<>m278n>46e:?06g<>m278?o46e:?015<>m27?:=4>1`9~w4b22908w0:>b;32<>;50m0jj63>e28bb>{t9l91<79t=0g0>73a349>97?>a:?072<69h16>n;510c8971d28;h70<7f;32g>;5i?0:=o5rs0g7>5<5s4;n?7o=;<3fe?7ci2wx=h;50;0x94c228nj70?j9;32g>{t9l<1<74bf34;n57?>d:p5`1=838p128nj70?ja;32f>{t:?:1<7;|q126<72:qU=85Q2718966?28;i7p}=6583>7}Y:?>01?j8:0fb?xu5><0;6?uQ277897bc28nj7p}=6783>7}Y:?<01?k<:0fb?xu5>>0;6?uQ275897c>28nj7p}=6983>7}Y:?201?ki:0fb?xu5>00;6?uQ27;897`228nj7p}=6`83>7}Y:?k01?hm:0fb?xu5>k0;6?uQ27`8966428nj7p}=6b83>7}Y:?i01>>6:0fb?xu5>l0;647e348mi7?>a:?045<69j16=h7510`897e128;j70;5j<0:=o52294954g<5;2261c9>6d0=98i01?o7:03b?xu5?>0;69u228`95ag<5=io61`9~w71?2909w0<88;06b>;51h0:=l5rs35:>5<5s48<47l7;<0;0?7ci2wx>:o50;0x971f28nj70<72;32f>{t:>h1<74bf348d:p62e=838p1?9l:0fb?840n3;:o6s|26f94?4|5;=o6:k50;0x971b28nj70<74;32`>{t:>l1<74bf3483=7?>b:p6=6=838p1?6?:0fb?84?93;:o6s|29394?4|5;2:65<50;0x97>528nj70<73;32f>{t:191<74bf348387?>c:p6=3=838p1?6::0fb?840k3;:n6s|29494?4|5;2=65950;0x97>028nj70<70;32f>{t:121<74bf348b:p6=?=838p1?66:0fb?840l3;:o6s|29c94?4|5;2j65l50;0x97>e28nj70<74;32f>{t:1i1<74bf348b:p6=b=838p1?6k:37e?84>13;:m6s|29g94?4|5;2o6l<4=3;3>4bf3ty94k4?:3y>6=`=9mk01?77:03a?xu5180;6?u228395ag<5;3<64<51ec897?128;h7p}=9283>7}::091=io4=3;5>47c3ty9594?:3y>6<2=9mk01?79:03f?xu51<0;6?u228795ag<5;3;64851ec897?028;h7p}=9683>7}::0=1=io4=3;;>47d3ty9554?:3y>6<>=9mk01?7?:03a?xu5100;6?u228;95ag<5;3i64o51ec897?e28;h7p}=9b83>7}::0i1>8h4=24b>47e3ty95i4?:3y>6l;51ec8yv4>m3:1>v3=9d82`d=::h>1=d`9>6d7=98h0q~52z?1e4<6lh16>l<510`8yv4f:3:1>v3=a382`d=::h91=4>d`9>6d2=98i0q~52z?1e3<6lh16>l<510a8yv4f?3:1>v3=a682`d=::0l1=d`9>6d7=98i0q~52z?1ed<6lh16>l=510`8yv4fj3:1>v3=ac82`d=::h:1=d`9>6d6=98i0q~517y>6dc=:1=47f348om7?>b:?1`g<69h16>h>510`897c628;j70;5m>0:=l522da954d<5;oo64>1`9>6c>=98h01?h6:03b?857>3;:n63<06825d=::ol1=47c3ty9mk4?:3y>6dc=j116>n<51ec8yv4e83:1>v3=b182`d=::k91=d`9>6g`=98i0q~52z?1f6<6lh16>o6510`8yv4e<3:1>v3=b582`d=::j:1=d`9>6g?=98h0q~52z?1f2<6lh16>oo510a8yv4e03:1>v3=b982`d=::ki1=d`9>6gb=98i0q~52z?1fg<6lh16>o=510a8yv4ek3:1>v3=bb82`d=::j81=d`9>6gc=98h0q~52z?1fc<6lh16>n>510a8yv4d83:1>v3=c182`d=::j;1=d`9>6f4=98i0q~52z?1g1<6lh16>o:510a8yv4d=3:1>v3=c482`d=::k?1=d`9>6g0=98h0q~0:hl522c4954b52z?1g=<6lh16>o9510`8yv4d13:1>v3=c882`d=::k=1=d`9>6f`=98h0q~52z?1g`<6lh16>nh510a8yv4c;3:1>v3=d582`d=::m=1=d`9>6a0=98i0q~52z?1`d<6lh16>ij510`8yv4ci3:1>v3=dc82`d=::mi1=d`9>6ab=98i0q~52z?1a4<6lh16>h<510a8yv4b93:1>v3=e382`d=::l91=d`9>6`?=98h0q~0:hl522d:954e52z?1a=<6lh16>h7510a8yv4bj3:1>v3=eb82`d=::ll1=d`9>6`c=98i0q~52z?1b7<6lh16>k;510`8yv4a:3:1>v3=f282`d=::o>1=d`9>6c3=98i0q~52z?1b<<6lh16>ko510a8yv4a13:1>v3=f`82`d=::oh1=d`9>757=98h0q~52z?045<6lh16?==510a8yv5783:1>v3<0082`d=:;981=d`9>755=98h0q~=?5;296~;48?0:hl5231;954d52z?042<6lh16?=6510a8yv57?3:1>v3<0982`d=:;931=510y>77d=:;k:03b?852:3;:o63<56825a=:;=21=47d349897?>a:?075<69k16=h9510c897d728;h70;50h0:=l5226g954e<5;3:61c9>6dg=98k0q~==c;296~;4:k0i463<3382`d=z{:8o6=4={<11`?7ci278?l4>1c9~w64b2909w0==e;3ge>;4;;0:=o5rs20e>5<5s499j7?ka:?074<69k1v>=?:18185483;om63<33825f=z{:9:6=4={<105?7ci278??4>1e9~w6542909w0=<3;3ge>;4;h0:=n5rs217>5<5s49887?ka:?06`<69k1v>=::181854=3;om63<2d825f=z{:9=6=4={<102?7ci278?<4>1b9~w6502909w0=<7;3ge>;4:o0:=o5rs21;>5<5s49847?ka:?06c<69j1v>=6:18185413;om63<30825a=z{:9j6=4={<10e?7ci278?=4>1b9~w65e290:8v3<3c811c=:;47e349>;7?>e:?00a<69h16?>k510`8964c28;j70=<4;32f>;4;10:=l521d4954g<5;i861c9>62g=98h01?6m:03`?84?k3;:m63=90825f=::091=47d348jn7?>a:p76e=838p1>=m:c:8962028nj7p}<3e83>7}:;:n1=io4=21f>47d3ty8?h4?:3y>76c=9mk01>:8:03`?xu4;o0;6?u232d95ag<5:>?651ec8962528;h7p}<4083>7}:;=;1=io4=264>47c3ty88?4?:3y>714=9mk01>:<:03a?xu4<:0;6?u235195ag<5:>?67}:;=?1=io4=265>47e3ty88;4?:3y>710=9mk01>:8:03a?xu4<10;6?u235:95ag<5:>>67}:;=k1=io4=263>47e3ty88o4?:3y>71d=9mk01>:?:03`?xu4867}:;=o1=io4=262>47e3ty88k4?:3y>71`=9mk01>:>:03`?xu4=90;673a349>:7?>a:?07a<69h16?96510a8965428;j70=<4;32g>;4;00:=l521d7954g<5;i?61b9>6=d=98n01?9m:03b?84>93;:h63=95825d=::0o1=47f3ty89<4?:3y>706=j116?8=51ec8yv52:3:1>v3<5382`d=:;d`9>70?=98h0q~=:5;296~;4=<0:hl5234:954e:7>52z?013<6lh16?8l510a8yv52?3:1>v3<5682`d=:;<91=d`9>70?=98i0q~=:9;296~;4=00:hl5234c954em7>52z?01d<6lh16?8l510`8yv52j3:1>v3<5c82`d=:;<91=d`9>70c=98i0q~=:f;296~X4=o16?;o51ec8yv51=3:1>v3<6482`d=:;?k1=47e348i:7?>c:?1<2<69j16>l9510c8yv5e>3:1ovP701=98i01>;7:03a?853m3;:n63<3g825g=:;=81=47e348im7?>b:?1:h510`897>a28;i70{t<;n1<7g><5:?n64=5`a>47d34>2n7?>b:?7gd<69h1684;510c891d528;h7p};5g83>7}Y<80;6?u244d9=`=:1`9~w1?52909wS:62:?7=6<6lh1v97;:18182>;3;:m63;9982`d=z{=3>6=4={<6:1?7ci27?554>1b9~w1?12909w0:66;3ge>;3110:=i5rs5;4>5<5s4>2;7?ka:?7==<69l1v976:181[2>127?5o4>d`9~w1?f2909w0:6a;3ge>;31k0:=n5rs5cf>5<5sW>ji63;b282`d=z{=km6=4={<6bb?7ci27?n?4>1c9~w1d72909w0:m0;3ge>;3j;0:=i5rs5`2>5<5s4>i=7?ka:?7f6<69j1v9l=:18182e:3;om63;b2825g=z{=h<6=4={_6a3>;3j10:hl5rs5`b>5<5sW>im63;bc82`d=z{=ho6=4={_6a`>;3kj0:=l5rs5a4>5<5sW>h;63;c982`d=z{=i26=4={<6`d`9~w1ef2909w0:la;3ge>;3km0:=n5rs5aa>5<5s4>hn7?ka:?7ga<69m1v9ml:18182dk3;om63;ce825`=z{=oo6=4:{_6f`>;3jk0:=o5248c954g<5=ii6hl;%1f7?72<2.:o:450;9j500=831d=:o50;9j0`b=831b8oj50;9l50b=831d?8h50;9l027=831d=o950;9l60<722e9i7>5;c62f?6=93:1hl;%616?2512.:o54;f59l54>=83.?>?4>e398yg7>83:197<56z&0a6<69:1C8m86T?4>149Y7`4=9rki6pg>2983>!25:3;9465fa383>!25:3k976gn3;29 1452h907d=l2;29 1452:i976g<2`83>!25:399m65`1d094?"3:;0:i?54}c62b?6=93:1j7>52z\11c=::5<5sWh370<:f;31<>{tio0;6?uQag9>60`=;;k0q~o=:181[g5348>j7o=;|q:a?6=:rT2i63=5g8b7>{t9831<76e534>:j7?j2:~f27=83?1>78t$2g0>4743A>:m6F=0V>k=:0ybf?{ni;0;66gnf;29?l?b2900eo650;9l60`=831i>8h50;494?6|,=896{t:6s|b983>7}Yj116>8h513:8yvga2909wSoi;<06b?55i2wxm?4?:3y]e7=::v3=5g80g7=:<8l1=h<4}|`2a6<72<096;u+3d19545<@=;j7E=ic:&26d<13-;h47:i4:X0a7<6shh1qdo=:188md`=831b5h4?::kaj7>5;c06b?6=>3:19>7?=8:9je7<72->9>7o=;:kb7?6=,=896l=4;h1`6?6=,=896>m=;:k06d<72->9>7==a:9l5`4=83.?>?4>e398yg26n3:1=7>50z&767<3:01d=h<50;&767<6m;10q~<:f;296~X5=o16>8h51d08yvd?2909wSl7;<06b?7502wxmk4?:3y]ec=::5<5sWk970<:f;c1?xu>m3:1>vP6e:?11cf;3f6>{zj;o1<7;52;4x 6c428;87E:>a:J0bf=#9j218k:4Z2g1>4}fj3wbm?4?::kbb?6=3`3n6=44ic:94?=h:5<#<;81=?64;hc1>5<#<;81m?54i`194?"3:;0j?65f3b094?"3:;08o?54i20b>5<#<;81??o4;n3f6?6=,=896t$501>14>3f;n>7>5$501>4c532wx>8h50;0xZ73a348>j7?j2:pf=<72;qUn55224d957>7}Yi;16>8h5a39~wd552z?11c<4k;168m86Tk<:037?!7d03>m86Tj7>5;h071?6=3`h36=44i`d94?=n1l0;66l>1583>3<729q/8?<51078^6c528qjn7sf13:94?"3:;0:>554i`094?"3:;0j>65fa283>!25:3k876g!25:39h>65f33c94?"3:;08>l54o0g1>5<#<;81=h<4;|`75a<7280;6=u+43095ab52z\a<>;69=0:>55rs8g94?4|V0o019;50;0xZ72234;:87=l2:p60`=838pR?;i;<320?7b:2wx?kj50;0x94732h8019?k:0gf?x{e93:1=7>50z&0bg<33-9n?7?>9:&767<3:01/=n654g68k47?290/8?<51d08?xu5=o0;6?uQ24d8973a2;?m7p}m8;296~Xe02799k4m8:p=`<72;qU5h5224d9=`=z{;0;6>u224d9613<5;?m6lh4=0825==#;oh196srb0ag>5<42;0?w)=j3;326>N39h1C?km4$0a;>1`33S9n>7?tac8~m3}#;l91=<:4$0a;>1`33S9n>7?tac8~k73a2900e?:::188mg>=831bmk4?::k:a?6=3k;:87>56;294~"3:;0:=85U3d095~ge2tc:>54?:%616?75021bm?4?:%616?g532cj?7>5$501>d5<3`9h>7>5$501>6e532c8>l4?:%616?55i21d=h<50;&767<6m;10qo:>d;295?6=8r.?>?4>de9l5`c=83.?>?4>e398yvd?2909wSl7;<320?7502wx5h4?:3y]=`=:98>1m>5rs`d94?4|Vhl017}Y:5<6290;w)=ib;68 6c428;27):=2;61=>"6k10?j95`10:94?"3:;0:i?54}r06b?6=:rT99k5224d960`m2799k46e:p6?6=;r799k4=449>60`=io16=7?>8:&0bg<23twi>84?:281>1}#;l91=<<4H53b?M5ak2.:o54;f59Y7`4=9rki6pg6e;29?ld?2900c?;i:188f73a290>6?49{%1f7?76<2.:o54;f59Y7`4=9rki6pa=5g83>>o5<<0;66gm8;29?lga2900e4k50;9a542=83<1<7>t$501>4723S9n>7?tac8~m44?290/8?<513:8?lg5290/8?<5a398md5=83.?>?4n3:9j7f4=83.?>?4{e<8n1<7?50;2x 14528no7b?je;29 14528o976s|b983>7}Yj116=<:513:8yv?b2909wS7j;<320?g43tyjj7>52z\bb>;69=08>l5rs366>5<5sW8?963>1580g7=z{;?m6=4={_06b>;69=0:i?5rs2dg>5<5s4;:87o=;<62`?7bm2wvn<4?:083>5}#;oh186*1`33f;:47>5$501>4c532wx>8h50;0xZ73a348>j7<:f:pf=<72;qUn55224d9f==z{0o1<7>70<:f;ce?87=9820(>hm:49~yg71l3:1?7<54z&0a6<69;1C8m86Tk<:037?!7d03>m86Tj7>5;h071?6=3`h36=44i`d94?=n1l0;66l>1583>3<729q/8?<51078^6c528qjn7sf13:94?"3:;0:>554i`094?"3:;0j>65fa283>!25:3k876g!25:39h>65f33c94?"3:;08>l54o0g1>5<#<;81=h<4;|`75a<7280;6=u+43095ab52z\a<>;69=0:>55rs8g94?4|V0o019;50;0xZ72234;:87=l2:p60`=838pR?;i;<320?7b:2wx?kj50;0x94732h8019?k:0gf?x{e93:1=7>50z&0bg<33-9n?7?>9:&767<3:01/=n654g68k47?290/8?<51d08?xu5=o0;6?uQ24d8973a2;?m7p}m8;296~Xe02799k4m8:p=`<72;qU5h5224d9=`=z{;0;6>u224d9613<5;?m6lh4=0825==#;oh196srb061>5<42;0?w)=j3;326>N39h1C?km4$00b>3=#9j218k:4Z2g1>4}fj3wb5h4?::kaj7>5;c06b?6==381:v*4}fj3wd>8h50;9j613=831bn54?::kbb?6=3`3n6=44b037>5<1290;w):=2;321>\4m;0:wll5}h315<#<;81m>54i2a1>5<#<;81?n<4;h11e?6=,=896>9>7?j2:9~f17c290:6=4?{%616?7cl2e:ih4?:%616?7b:21vo650;0xZg><58;?6<<7;|q:a?6=:rT2i63>158b7>{tio0;6?uQag9>542=;;k0q~<;5;296~X5<<16=<:53b08yv42n3:1>vP=5g9>542=9l80q~=id;296~;69=0j>63;1e82a`=zuk;1<7?50;2x 6`e2=1/?h=510;8 1452=827)?l8;6e0>i6910;6):=2;3f6>=z{;?m6=4={_06b>;5=o099k5rsc:94?4|Vk201?;i:c:8yv?b2909wS7j;<06b??b3ty96=4<{<06b?43=2799k4nf:?2>47?3-9mn7;4}|`2f2<72<096;u+3d19545<@=;j7E=ic:&2g=<3n=1Q?h<51zca>xof:3:17doi:188m5}#<;81=<;4Z2g1>4}fj3wb=?650;&767<6:110el<50;&7674?:%616?g432c8o?4?:%616?5d:21b??o50;&767<4:h10c4<729q/8?<543;8k4c5290/8?<51d08?xu5=o0;6?uQ24d8973a28o97p}m8;296~Xe02799k4>299~wd`=838pRlh4=37e>64f3tyj>7>52z\b6>;5=o0j>6s|9d83>7}Y1l16>8h5a29~w47>2909w0<:f;1`6>;39o0:i?5r}c36`?6==381:v*hl;%3`{``9ylg52900elh50;9j=`<722ci47>5;n06b?6=3k8>j7>56;294~"3:;0:=85U3d095~ge2tc:>54?:%616?75021bm?4?:%616?g532cj?7>5$501>d5<3`9h>7>5$501>6e532c8>l4?:%616?55i21d=h<50;&767<6m;10qo:>f;295?6=8r.?>?4;289l5`4=83.?>?4>e398yv42n3:1>vP=5g9>60`=9l80q~l7:181[d?348>j7?=8:pec<72;qUmk5224d977gm2799k4n3:p54?=838p1?;i:2a1?826n3;n>6srb05b>5<22;0=w)=j3;327>N39h1C?km4$0a;>1`33S9n>7?tac8~md4=831bmk4?::k:a?6=3`h36=44o37e>5<5$501>44?32cj>7>5$501>d4<3`k86=4+4309e6=5$501>64f32e:i?4?:%616?7b:21vn9?i:182>5<7s->9>7:=9:m2a7<72->9>7?j2:9~w73a2909wS<:f:?11c<6m;1vo650;0xZg><5;?m6<<7;|qbb?6=:rTjj63=5g806d=z{h81<7j7o<;|q25<<72;q6>8h53b08917a28o97psm1cg94?3=:3k<:030?M26i2B8jn5+1b:90c25;h;f>5<7W=j2;3xeg<3`k96=4+4309e7==n;j81<7*;2380g7=7>5$501>4c532wi85<5sWkm70<:f;11e>{ti;0;6?uQa39>60`=i;1v4k50;0xZ04`=9l80qpl>6583>0<52?q/?h=51018L17f3A9mo6*>c987b1=];l81=vom:|kb6?6=3`km6=44i8g94?=nj10;66a=5g83>>d5=o0;6;4?:1y'074=98?0V>k=:0ybf?{n9;21<7*;23826===ni:0;6):=2;c0?>o4k;0;6):=2;1`6>=n;;k1<7*;23806d=5}#<;818?74o0g1>5<#<;81=h<4;|q11c<72;qU>8h4=37e>4c53tyi47>52z\a<>;5=o0:>55rs`d94?4|Vhl01?;i:20b?xuf:3:1>vPn2:?11c5rs03:>5<5s48>j7=l2:?75c<6m;1vqo?78;291?4=>r.8i>4>129K04g<@:lh7)?l8;6e0>\4m;0:wll5}hc1>5<>oe03:17b<:f;29?g42n3:1:7>50z&767<69<1Q?h<51zca>xo6:10;6):=2;31<>=ni;0;6):=2;c1?>of;3:1(9<=:`18?l5d:3:1(9<=:2a1?>o4:h0;6):=2;11e>=h9l81<7*;2382a7=:j7>51;294~"3:;0?>45`1d094?"3:;0:i?54}r06b?6=:rT99k5224d95`47}Yio16>8h533c8yvg52909wSo=;<06b?g53ty2i7>52z\:a>;5=o0j?6s|10;94?4|5;?m6>m=;<62b?7b:2wvn?:9:180>7<3s-9n?7?>2:J75d=O;oi0(<=0V>k=:0ybf?{n1l0;66gm8;29?j42n3:17o<:f;291?4=>r.8i>4>159'5f>=0V>k=:0ybf?{h:5<7s->9>7?>5:X0a7<6shh1qd?=8;29 145288376gn2;29 1452h807do<:18'074=i:10e>m=:18'074=;j807d==a;29 1452:8j76a>e383>!25:3;n>65rb53g>5<6290;w):=2;3g`>i6ml0;6):=2;3f6>=z{k21<7m27:=94n3:pec<72;qUmk52106977g52z\100=:98>1?n<4}r06b?6=:rT99k5210695`452z?251ed9~yg7=83;1<7>t$2da>1=#;l91=<74$501>14>3-;h47:i4:m25=<72->9>7?j2:9~w73a2909wS<:f:?11c<5=o1vo650;0xZg><5;?m6o64}r;f>5<5sW3n70<:f;;f?xu52908w0<:f;071>;5=o0jj63>:03;?!5aj3?0qpl=4`83>6<52=q/?h=51008L17f3A9mo6*>2`85?!7d03>m86Tk<:037?!7d03>m86Tj7>5;h071?6=3`h36=44i`d94?=n1l0;66l>1583>3<729q/8?<51078^6c528qjn7sf13:94?"3:;0:>554i`094?"3:;0j>65fa283>!25:3k876g!25:39h>65f33c94?"3:;08>l54o0g1>5<#<;81=h<4;|`75a<7280;6=u+43095ab52z\a<>;69=0:>55rs8g94?4|V0o019;50;0xZ72234;:87=l2:p60`=838pR?;i;<320?7b:2wx?kj50;0x94732h8019?k:0gf?x{e93:1=7>50z&0bg<33-9n?7?>9:&767<3:01/=n654g68k47?290/8?<51d08?xu5=o0;6?uQ24d8973a2;?m7p}m8;296~Xe02799k4m8:p=`<72;qU5h5224d9=`=z{;0;6>u224d9613<5;?m6lh4=0825==#;oh196srbc594?5=83:p(>k<:208L17f3A9mo6*;23805>"6k10?j95f10`94?"3:;0:>554i03`>5<#<;81=?64;n3ge?6=,=896t$2g0>44e3A>:m6F5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg>529086=4?{%1f7?553A>:m6Fi6lh0;6):=2;3f6>=zj8396=4=:183!5b;3;9n6F;1`9K7ce<,=8964o4$0a;>1`33`;:m7>5$501>44?32e:hl4?:%616?7b:2B?><54}c3:7?6=;3:1N39h1C?km4$501>67<,8i369h;;h32f?6=,=896<<7;:k25f<72->9>7?=8:9l5ag=83.?>?4>e398yg5729086=4?{%1f7?7cn2B?=l5G3ga8 14528nn7)?l8;6e0>o69k0;6):=2;31<>=n98i1<7*;23826==5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a60d=8391<7>t$2g0>64<@=;j7E=ic:&767<492.:o54;f59j54d=83.?>?4>2998m47d290/8?<513:8?j7ci3:1(9<=:0g1?>{e<>81<7=50;2x 6c42:80D9?n;I1eg>"3:;08=6*>c987b1=n98h1<7*;23826==<3f;om7>5$501>4c532wi8:=50;094?6|,:o86<N4nj1/8?<59`9'5f>=0e:m6Fi6lh0;6):=2;3f6>=zj8=h6=4<:183!5b;3997E:>a:J0bf=#<;81?<5+1b:90c2<3`;:o7>5$501>44?32e:hl4?:%616?7b:21vn<9k:181>5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg70m3:1?7>50z&0a6<4:2B?=l5G3ga8 1452:;0(o69j0;6):=2;31<>=h9mk1<7*;2382a7=53;294~"4m:0:hk5G40c8L6`d3->9>7?ke:&2g=<3n=1b=k<:208L17f3A9mo6*;23805>"6k10?j95f10`94?"3:;0:>554i03`>5<#<;81=?64;n3ge?6=,=896t$2g0>44e3A>:m6F:181>5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg71:3:1?7>50z&0a6<4:2B?=l5G3ga8 1452:;0(o69j0;6):=2;31<>=h9mk1<7*;2382a7=53;294~"4m:0:hk5G40c8L6`d3->9>7?ke:&2g=<3n=1b=k<:208L17f3A9mo6*;23805>"6k10?j95f10`94?"3:;0:>554i03`>5<#<;81=?64;n3ge?6=,=896t$2g0>44e3A>:m6F5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg7ek3:1?7>50z&0a6<4:2B?=l5G3ga8 1452:;0(o69j0;6):=2;31<>=h9mk1<7*;2382a7=53;294~"4m:0:hk5G40c8L6`d3->9>7?ke:&2g=<3n=1b=k<:00a?M26i2B8jn5+4309=d=#9j218k:4i03b>5<#<;81=?64;n3ge?6=,=896=zj;?:6=4<:183!5b;3;oj6F;1`9K7ce<,=8966<729q/?h=5339K04g<@:lh7):=2;12?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554o0fb>5<#<;81=h<4;|`116<72;0;6=u+3d1957d<@=;j7E=ic:&767<>i2.:o54;f59j54g=83.?>?4>2998k4bf290/8?<51d08L14632wi>8:50;094?6|,:o86<N4nj1/8?<59`9'5f>=0e:m6Fi6lh0;6):=2;3f6>=zj;?=6=4=:183!5b;3;9n6F;1`9K7ce<,=8964o4$0a;>1`33`;:m7>5$501>44?32e:hl4?:%616?7b:2B?><54}c063?6=;3:1N39h1C?km4$501>67<,8i369h;;h32f?6=,=896<<7;:k25f<72->9>7?=8:9l5ag=83.?>?4>e398yg4203:1?7>50z&0a6<4:2B?=l5G3ga8 1452:;0(o69j0;6):=2;31<>=h9mk1<7*;2382a7=53;294~"4m:08>6F;1`9K7ce<,=896>?4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qo<;e;297?6=8r.8i>4>dg9K04g<@:lh7):=2;3ga>"6k10?j95f10`94?"3:;0:>554i03`>5<#<;81=?64;n3ge?6=,=896t$2g0>64<@=;j7E=ic:&767<492.:o54;f59j54d=83.?>?4>2998m47d290/8?<513:8?j7ci3:1(9<=:0g1?>{e:<:1<7:50;2x 6c428o;7E:>a:J0bf=#<;81=ik4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021b=6<729q/?h=5339K04g<@:lh7):=2;12?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554o0fb>5<#<;81=h<4;|`10<<72:0;6=u+3d195a`<@=;j7E=ic:&767<6ll1/=n654g68m47e290/8?<513:8?l76k3:1(9<=:00;?>i6lh0;6):=2;3f6>=zj:<>6=4=:183!5b;3;9n6F;1`9K7ce<,=8964o4$0a;>1`33`;:m7>5$501>44?32e:hl4?:%616?7b:2B?><54}c15e?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th8:k4?:283>5}#;l91??5G40c8L6`d3->9>7=>;%3`1<729q/?h=51d28L17f3A9mo6*;2382``=#9j218k:4i03a>5<#<;81=?64;h32g?6=,=896<<7;:k25a<72->9>7?=8:9l5ag=83.?>?4>e398yg71n3:1>7>50z&0a6<6:k1C8o69h0;6):=2;31<>=h9mk1<7*;2382a7=O<;;07pl>7083>6<729q/?h=51ed8L17f3A9mo6*;2382``=#9j218k:4i03a>5<#<;81=?64;h32g?6=,=896<<7;:m2`d<72->9>7?j2:9~f41529086=4?{%1f7?553A>:m6Fi6lh0;6):=2;3f6>=zj8=86=4=:183!5b;3;9n6F;1`9K7ce<,=8964o4$0a;>1`33`;:m7>5$501>44?32e:hl4?:%616?7b:2B?><54}c340?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th:;84?:283>5}#;l91??5G40c8L6`d3->9>7=>;%3`7783>6<729q/?h=5339K04g<@:lh7):=2;12?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554o0fb>5<#<;81=h<4;|`232<72:0;6=u+3d195a`<@=;j7E=ic:&767<6ll1/=n654g68m47e290/8?<513:8?l76k3:1(9<=:00;?>i6lh0;6):=2;3f6>=zj8=36=4<:183!5b;3997E:>a:J0bf=#<;81?<5+1b:90c2<3`;:o7>5$501>44?32e:hl4?:%616?7b:21vn<9?:180>5<7s-9n?7?kf:J75d=O;oi0(9<=:0ff?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554o0fb>5<#<;81=h<4;|`2hl;%616?563-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998k4bf290/8?<51d08?xd60k0;6>4?:1y'7`5=9ml0D9?n;I1eg>"3:;0:hh5+1b:90c2<3`;:o7>5$501>44?32e:hl4?:%616?7b:21vn<6l:181>5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg7?l3:1>7>50z&0a6<6:k1C8o69h0;6):=2;31<>=h9mk1<7*;2382a7=O<;;07pl>8d83>6<729q/?h=5339K04g<@:lh7):=2;12?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554o0fb>5<#<;81=h<4;|`2hl;%616?563-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998m47c290/8?<513:8?j7ci3:1(9<=:0g1?>{e91;1<7=50;2x 6c42:80D9?n;I1eg>"3:;08=6*>c987b1=n98h1<7*;23826==<3f;om7>5$501>4c532wi=5<50;094?6|,:o86<N4nj1/8?<59`9'5f>=0e429096=4?{%1f7?75j2B?=l5G3ga8 14520k0(i6lh0;6):=2;3f6>N3:810qo?74;296?6=8r.8i>4>2c9K04g<@:lh7):=2;;b?!7d03>m86g>1`83>!25:3;9465`1ec94?"3:;0:i?5G4338?xd60<0;6>4?:1y'7`5=;;1C8o69k0;6):=2;31<>=n98i1<7*;23826==5}#;l91=ih4H53b?M5ak2.?>?4>dd9'5f>=0ec;29 145288376a>d`83>!25:3;n>65rb0:4>5<3290;w)=j3;10?M26i2B8jn5+430974=#9j218k:4i03a>5<#<;81=?64;h32g?6=,=896<<7;:k25a<72->9>7?=8:9l5ag=83.?>?4>e398yg>329096=4?{%1f7?75j2B?=l5G3ga8 14520k0(i6lh0;6):=2;3f6>N3:810qo6::181>5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg>129096=4?{%1f7?75j2B?=l5G3ga8 14520k0(i6lh0;6):=2;3f6>N3:810qo68:181>5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg>?290>6=4?{%1f7?533A>:m6Fo69m0;6):=2;31<>=n98o1<7*;23826==53;294~"4m:0:hk5G40c8L6`d3->9>7?ke:&2g=<3n=1b=k<:00a?M26i2B8jn5+4309=d=#9j218k:4i03b>5<#<;81=?64;n3ge?6=,=896=zj8o=6=4=:183!5b;3;9n6F;1`9K7ce<,=8964o4$0a;>1`33`;:m7>5$501>44?32e:hl4?:%616?7b:2B?><54}c3f3?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th:i54?:383>5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a5`?=8391<7>t$2g0>64<@=;j7E=ic:&767<492.:o54;f59j54d=83.?>?4>2998m47d290/8?<513:8?j7ci3:1(9<=:0g1?>{e9lk1<7=50;2x 6c42:80D9?n;I1eg>"3:;08=6*>c987b1=n98h1<7*;23826==<3f;om7>5$501>4c532wi=hl50;194?6|,:o86N4nj1/8?<51eg8 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465`1ec94?"3:;0:i?54}c3fg?6=;3:1N39h1C?km4$501>67<,8i369h;;h32f?6=,=896<<7;:k25f<72->9>7?=8:9l5ag=83.?>?4>e398yg7bl3:1?7>50z&0a6<6lo1C8c987b1=n98h1<7*;23826==<3f;om7>5$501>4c532wx>84?:3y]60=::<099k5rs3g94?77sW8n70;6?m0:=l5214d954d<58<;61`9>607=98i01>8::03b?87093;:o63>8b825d=:91<1=64bf3ty=h7>5az\5`>;6m:0i46372;32f>;61:0:=o52240954e<58=961b9><2<69h16=h6510c8yv162909wS9>;<52>73a3ty3=7>52z?45?d?34296<0<6lh16454>1e9~w=0=838p15851ec89=>=98o0q~68:1818>028nj7066:03`?xu?03:1>v378;3ge>;?13;:n6s|a283>44|Vh901<7?:8g8927=1l16=h=59d9>6`<>m27i977j;<3``??b348>64k4=04g>964k4=0`4>j64k4}r`6>5<5s4h>6?;i;<13>47e3tyi:7>52z?a1?d?34h<6g>7>56z?207<5=o16>9m510c8973228;h70<;8;32g>;6>o0:=l5284825d=z{8>86=4<{_377>;?:3;:o63>93825d=z{8?=6=47{_362>;3?;0:=o52240954d<5:1b9>5=7=98h015d83>7}:9j7>52z?21c<6lh16=;=510`8yv7183:1>v3>6182`d=:9?81=d`9>534=98i0q~?92;296~;6>;0:hl52171954e52z?221<5=o16=8h510a8yv71=3:1>v3>658a<>;6>?0:hl5rs04;>5<4sW;=463<6g825g=:9l31=52z?22ad`9~w40a2909w0?9f;3ge>;6?<0:=n5rs052>5<5s4;<=7?ka:?232<69k1v<9=:181870:3;om63>77825g=z{8=86=4={<347?7ci27:;;4>1b9~w4132909w0?84;3ge>;6?10:=n5rs056>5<5s4;<97?ka:?235<69k1v<99:181870>3;om63>76825f=z{8=<6=4={<343?7ci27:;54>1c9~w41?2909w0?88;3ge>;6?90:=n5rs05b>5<5sW;7`811c=z{8=i6=4={<34e?d?34;{t91:1<7d4<582<6228;i7p}>8383>7}:9181=io4=0:6>47d3ty:4>4?:3y>5=5=9mk01<68:03a?xu60=0;6?u219695ag<582<6128;h7p}>8783>7}:91<1=io4=0:4>47d3ty:454?:3y>5=>=:b28;i7p}>8c83>7}:91i1=io4=0:f>47d3ty:4n4?:3y>5=b=9mk01<6i:03g?xu60m0;6?u219g95ag<582m6524d8961728;h7p}>9083>7}:90:1n55218195ag7>52z?2=7<6lh16=4=510a8yv7e?3:1>vP>b69>5g1=:0i463>be82`d=z{8h26=4={<3a=?7ci27:ni4>1c9~w4df2909w0?ma;3ge>;6jj0:=o5rs0`a>5<5s4;in7?ka:?2ff<69j1vbe825f=z{8hn6=4={<3aa?42n27:n44>1b9~w4da2909w0?me;`;?87d83;om6s|1bf94?3|5;o1n5521bf960`<58hn6l<4=047>d4<5::1=d`9~w4b2290iw0:>b;32<>;6190jj6381;ce?87b;3km70e2811c=::<91=47f34;3h7?>a:?2<7<69h16454>1c9~w4c32909w0?j3;c1?87bl3;om6s|1d794?4|58o>6{t9l=1<74bf34;nm7?>c:p5`>=838p1{t9lh1<74bf34;no7?>c:p5`e=838p1=6?;i;<06e?76i279994>1`9>603=98h01?:7:03a?870<3;:m63>8c825g=:91>1=47f3ty98:4?:3y>610=j116>9751ec8yv4303:1>v3=4982`d=::=31=60d=98h01?;>:03a?842>3;:m63=56825g=::=31=47e34;<97?>b:?2d`9>601=98i0q~<;d;296~;552z?10`<6lh16>9h510`8yv43n3:1>v3=4g82`d=::<:1=d`9>61c=98h0q~<:2;296~;5=;0:hl5224:954d?7>52z?116<6lh16>86510a8yv42<3:1>v3=5582`d=::=l1=d`9>61b=98h0q~<:6;296~;5=?0:hl5225f954e;7>52z?112<6lh16>8>510a8yv4203:1>v3=5982`d=::=o1=d`9>60d=98i0q~<:c;291~;5=3h370?m7;c1?872l3k970l8:03a?842j3;om6s|34d94?4|V:?m70=80;3ge>{t;??1<74bf349=j7?>c:p73g=838p1>8n:0fb?85083;:h6s|37d94?4|5:50;0xZ12734>a:p027=838pR99>;<640?7ci2wx8:<50;0x911528nj70:84;32f>{t<>91<74bf34><87?>c:p0=6=839pR96?;<352?76i27::<4>1`9~w1dc2908wS:md:?2g5<69h16=ol510c8yv2bl3:18vP;ee9>5=>=j1168:<510a8941b28;i7psm42294?b=:j089vF5<6=44i563>5<i6910;6):=2;3f6>=zj=<>6=4::385!5b;3;:?6F;1`9K7ce<,8i369h;;[1f6?7|ik0vel<50;9jec<722c2i7>5;h`;>5<5<1290;w):=2;321>\4m;0:wll5}h315<#<;81m>54i2a1>5<#<;81?n<4;h11e?6=,=896>9>7?j2:9~f17a290:6=4?{%616?2512e:i?4?:%616?7b:21v?;i:181[42n2799k4>e39~wg>=838pRo64=37e>44?3tyjj7>52z\bb>;5=o08>l5rs`094?4|Vh801?;i:`08yv?b2909wS7j;<06b?g43ty:=44?:3y>60`=;j8019?i:0g1?x{e;?;1<7;52;4x 6c428;87E:>a:J0bf=#9j218k:4Z2g1>4}fj3wbm?4?::kbb?6=3`3n6=44ic:94?=h:5<#<;81=?64;hc1>5<#<;81m?54i`194?"3:;0j?65f3b094?"3:;08o?54i20b>5<#<;81??o4;n3f6?6=,=896t$501>14>3f;n>7>5$501>4c532wx>8h50;0xZ73a348>j7?j2:pf=<72;qUn55224d957>7}Yi;16>8h5a39~wd552z?11c<4k;168?0;684=:7y'7`5=9890D9?n;I1eg>"6k10?j95U3d095~ge2tcj>7>5;hce>5<>i5=o0;66l=5g83>3<729q/8?<51078^6c528qjn7sf13:94?"3:;0:>554i`094?"3:;0j>65fa283>!25:3k876g!25:39h>65f33c94?"3:;08>l54o0g1>5<#<;81=h<4;|`75c<7280;6=u+430907?e39~yg51j3:197<56z&0a6<69:1C8m86T?4>149Y7`4=9rki6pg>2983>!25:3;9465fa383>!25:3k976gn3;29 1452h907d=l2;29 1452:i976g<2`83>!25:399m65`1d094?"3:;0:i?54}c62b?6=93:1j7>52z\11c=::5<5sWh370<:f;31<>{tio0;6?uQag9>60`=;;k0q~o=:181[g5348>j7o=;|q:a?6=:rT2i63=5g8b7>{t9831<76e534>:j7?j2:~f25=83?1>78t$2g0>4743A>:m6F=0V>k=:0ybf?{ni;0;66gnf;29?l?b2900eo650;9l60`=831i>8h50;494?6|,=896{t:6s|b983>7}Yj116>8h513:8yvga2909wSoi;<06b?55i2wxm?4?:3y]e7=::v3=5g80g7=:<8l1=h<4}|`4hl;%3`{``9ylg52900elh50;9j=`<722ci47>5;n06b?6=3k8>j7>56;294~"3:;0:=85U3d095~ge2tc:>54?:%616?75021bm?4?:%616?g532cj?7>5$501>d5<3`9h>7>5$501>6e532c8>l4?:%616?55i21d=h<50;&767<6m;10qo:>f;295?6=8r.?>?4;289l5`4=83.?>?4>e398yv42n3:1>vP=5g9>60`=9l80q~l7:181[d?348>j7?=8:pec<72;qUmk5224d977gm2799k4n3:p54?=838p1?;i:2a1?826n3;n>6srb2de>5<22;0=w)=j3;327>N39h1C?km4$0a;>1`33S9n>7?tac8~md4=831bmk4?::k:a?6=3`h36=44o37e>5<5$501>44?32cj>7>5$501>d4<3`k86=4+4309e6=5$501>64f32e:i?4?:%616?7b:21vn9?i:182>5<7s->9>7:=9:m2a7<72->9>7?j2:9~w73a2909wS<:f:?11c<6m;1vo650;0xZg><5;?m6<<7;|qbb?6=:rTjj63=5g806d=z{h81<7j7o<;|q25<<72;q6>8h53b08917a28o97psm3883>6<52=q/?h=51008L17f3A9mo6*>2`85?!7d03>m86Tk<:037?!7d03>m86Tj7>5;h071?6=3`h36=44i`d94?=n1l0;66l>1583>3<729q/8?<51078^6c528qjn7sf13:94?"3:;0:>554i`094?"3:;0j>65fa283>!25:3k876g!25:39h>65f33c94?"3:;08>l54o0g1>5<#<;81=h<4;|`75a<7280;6=u+43095ab52z\a<>;69=0:>55rs8g94?4|V0o019;50;0xZ72234;:87=l2:p60`=838pR?;i;<320?7b:2wx?kj50;0x94732h8019?k:0gf?x{e93:1=7>50z&0bg<33-9n?7?>9:&767<3:01/=n654g68k47?290/8?<51d08?xu5=o0;6?uQ24d8973a2;?m7p}m8;296~Xe02799k4m8:p=`<72;qU5h5224d9=`=z{;0;6>u224d9613<5;?m6lh4=0825==#;oh196srb7g94?4=83:p(>k<:00a?M26i2B8jn5+4309=d=#9j218k:4i03b>5<#<;81=?64;n3ge?6=,=896=zj?l1<7=50;2x 6c428nm7E:>a:J0bf=#<;81=ik4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qo=84;296?6=8r.8i>4>2c9K04g<@:lh7):=2;;b?!7d03>m86g>1`83>!25:3;9465`1ec94?"3:;0:i?5G4338?xd4?<0;6?4?:1y'7`5=9;h0D9?n;I1eg>"3:;02m6*>c987b1=n98k1<7*;23826==k<:208L17f3A9mo6*;23805>"6k10?j95f10`94?"3:;0:>554i03`>5<#<;81=?64;n3ge?6=,=896i2.:o54;f59j54g=83.?>?4>2998k4bf290/8?<51d08L14632wi8;l50;094?6|,:o86<N4nj1/8?<59`9'5f>=0eo69k0;6):=2;31<>=n98i1<7*;23826==5}#;l91??5G40c8L6`d3->9>7=>;%3`4>2c9K04g<@:lh7):=2;;b?!7d03>m86g>1`83>!25:3;9465`1ec94?"3:;0:i?5G4338?xd0j3:1?7>50z&0a6<6lo1C8c987b1=n98h1<7*;23826==<3f;om7>5$501>4c532wi;84?:383>5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a33<72:0;6=u+3d195a`<@=;j7E=ic:&767<6ll1/=n654g68m47e290/8?<513:8?l76k3:1(9<=:00;?>i6lh0;6):=2;3f6>=zj:1`33`;:m7>5$501>44?32e:hl4?:%616?7b:2B?><54}c15a?6=;3:1hl;%616?7cm2.:o54;f59j54d=83.?>?4>2998m47d290/8?<513:8?j7ci3:1(9<=:0g1?>{e;?21<7<50;2x 6c4288i7E:>a:J0bf=#<;815l5+1b:90c2<3f;om7>5$501>4c53A>9=65rb24:>5<4290;w)=j3;3gb>N39h1C?km4$501>4bb3-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998k4bf290/8?<51d08?xd4>:0;6?4?:1y'7`5=9;h0D9?n;I1eg>"3:;02m6*>c987b1=n98k1<7*;23826==k<:0fe?M26i2B8jn5+43095ac<,8i369h;;h32f?6=,=896<<7;:k25f<72->9>7?=8:9l5ag=83.?>?4>e398yg5f29096=4?{%1f7?75j2B?=l5G3ga8 14520k0(i6lh0;6):=2;3f6>N3:810qo:j7;296?6=8r.8i>4>2c9K04g<@:lh7):=2;;b?!7d03>m86g>1`83>!25:3;9465`1ec94?"3:;0:i?5G4338?xd3m10;6>4?:1y'7`5=9ml0D9?n;I1eg>"3:;0:hh5+1b:90c2<3`;:o7>5$501>44?32e:hl4?:%616?7b:21vn9;;:180>5<7s-9n?7?kf:J75d=O;oi0(9<=:0ff?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554o0fb>5<#<;81=h<4;|`43?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th52;294~"4m:0:>o5G40c8L6`d3->9>77n;%3`hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th52;294~"4m:0:>o5G40c8L6`d3->9>77n;%3`N39h1C?km4$501>67<,8i369h;;h32f?6=,=896<<7;:k25f<72->9>7?=8:9j54b=83.?>?4>2998m47b290/8?<513:8?j7ci3:1(9<=:0g1?>{e<991<7=50;2x 6c428nm7E:>a:J0bf=#<;81=ik4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qo:?4;297?6=8r.8i>4>dg9K04g<@:lh7):=2;3ga>"6k10?j95f10`94?"3:;0:>554i03`>5<#<;81=?64;n3ge?6=,=896t$2g0>44e3A>:m6F9:181>5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg27?3:1>7>50z&0a6<6:k1C8o69h0;6):=2;31<>=h9mk1<7*;2382a7=O<;;07pl;0983>3<729q/?h=5349K04g<@:lh7):=2;12?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554i03g>5<#<;81=?64;h32a?6=,=896<<7;:k25c<72->9>7?=8:9l5ag=83.?>?4>e398yg2713:1?7>50z&0a6<6lo1C8c987b1=n98h1<7*;23826==<3f;om7>5$501>4c532wx?44?:3y>7<<5=o16:k4>1c9~w6d=838p1>759d9>7d<6lh1v>m50;0x96?=j116?i4>d`9~w3b=83lpR;j4=6:9f==:?:0i463<6c8a<>;4>?0i463<608a<>;1n3;om63;6c825d=:<9;1=?1=47f349=47?>a:?026<69h168=9510c8yv0b2909w08j:0fb?80a28;h7p}81;297~;3==0:=o527g82`d=:<921=5<4sW=97099:03a?81b28;j7p}83;296~;0;38>j6387;32e>{t?=0;6?u2728b6>;0>3;om6s|7483>7}:?<0:hl5277825f=z{>=1<7=t^65892d=98h01:m510c8yv1?2909w097:37e?81c28;j7p}89;296~;003k9709m:0fb?xu0i3:1>v38a;3ge>;0j3;:o6s|7b83>7}:?>0:hl527g825g=z{>n1<7l1=5<5s4=o647c3ty52z?4a?7ci27e:pg5<72;qUo=52417954g59z\254=:uQ1318910d28;i70:?1;32g>{t9m?1<76t=53a>47?34>=97oi;<155?ga349=:7oi;<15f?ga34=86lh4=6:9ec=:;ol1mk5rs243>5<4sW9=<63<65825g=:<9>1=052=98i0q~=92;296~;4>80j>63<6582`d=z{:<86=4={<157?7ci278:94>1b9~w6022908wS=95:?030<69h16?;7510`8yv51>3:1>v3<67811c=:;>>1=87:18185103;om63<68825f=z{:;4>l0:=o52411954d52z?02g<5=o168==510a8yv51k3:1>v3<6c8b6>;4>l0:hl5rs24g>5<5s49=h7?ka:?02`<69j1v>9<:181850>3;om63;07825d=z{:=?6=4={<140?7ci278;;4>1c9~w6122909w0=85;3ge>;4??0:=n5rs2de>5<5s49mj7<:f:?7a2<69h1v9>?:18185an3h370:?1;3ge>{t<981<7=t=2de>d4<5=<>6l<4=52:>4bf3ty?<>4?:3y>055=9mk019>7:03a?xu38=0;6?u241695ag<5=:367}:<9<1=io4=52;>47a3ty?<:4?:3y>051=9mk019>6:03`?xu3810;6?u241:95ag<5=:264=7g954g<5:n1=47e34>>87?>c:p005=838pR9;<;<660?7ci2wx8;;50;0xZ10234>=97<:f:p03g=838p198::c:8910d28nj7p};6c83>7}:47d3ty?i;4?:3y]0`0<5=o362ki1oluG3ga8 6c428?87)?l7;1g`>\4m;09wl75ae8~k4242900e9k9:188k12f2900e98::188m4e52900c<2900c9;l:188mf0=831b8o;50;9j53b=831d88>50;9je5<722c2j7>5;n6;4?6=3`;=;7>5;n6a`?6=3`>?57>5;h06`?6=3`;h=7>5;h667?6=3f>=h7>5;n642?6=3f8>6=44o5af>5<:183!5b;3;:56F;1`9K7ce<,=8969<6;%3`50;796?0|,:o86N4nj1/=?o56:&2g=<3n=1Q?h<51zc:>xof:3:17doi:188m5}#<;81=<;4Z2g1>4}f13wb=?650;&767<6:110el<50;&7674?:%616?g432c8o?4?:%616?5d:21b??o50;&767<4:h10c4<729q/8?<543;8k4c5290/8?<51d08?xu5=o0;6?uQ24d8973a28o97p}m8;296~Xe02799k4>299~wd`=838pRlh4=37e>64f3tyj>7>52z\b6>;5=o0j>6s|9d83>7}Y1l16>8h5a29~w47>2909w0<:f;1`6>;39o0:i?5r}c6`a?6==381:v*hl;%3`{`;9ylg52900elh50;9j=`<722ci47>5;n06b?6=3k8>j7>56;294~"3:;0:=85U3d095~g>2tc:>54?:%616?75021bm?4?:%616?g532cj?7>5$501>d5<3`9h>7>5$501>6e532c8>l4?:%616?55i21d=h<50;&767<6m;10qo:>f;295?6=8r.?>?4;289l5`4=83.?>?4>e398yv42n3:1>vP=5g9>60`=9l80q~l7:181[d?348>j7?=8:pec<72;qUmk5224d977gm2799k4n3:p54?=838p1?;i:2a1?826n3;n>6srbba94?5=:3>p(>k<:031?M26i2B8jn5+1b:90c25;n06b?6=3k8>j7>55;092~"4m:0:=95+1b:90c29;50;9jf=<722cjj7>5;h;f>5<5$501>44?32cj>7>5$501>d4<3`k86=4+4309e6=5$501>64f32e:i?4?:%616?7b:21vn9?k:182>5<7s->9>7?kd:m2a`<72->9>7?j2:9~wg>=838pRo64=037>44?3ty2i7>52z\:a>;69=0j?6s|ag83>7}Yio16=<:533c8yv43=3:1>vP=449>542=;j80q~<:f;296~X5=o16=<:51d08yv5al3:1>v3>158b6>;39m0:ih5r}c394?7=83:p(>hm:59'7`5=9830(9<=:50:?!7d03>m86a>1983>!25:3;n>65rs37e>5<5sW8>j63=5g811c=z{k21<7j77j;|q1>5<4s48>j7<;5:?11c53;090~"4m:0:=?5G40c8L6`d3-;h47:i4:X0a7<6sh31qd7j:188mg>=831d>8h50;9a60`=83?1>78t$2g0>4733-;h47:i4:X0a7<6sh31qb<:f;29?l43=3:17dl7:188md`=831b5h4?::`251<72?0;6=u+4309543=83.?>?4>2998md4=83.?>?4n2:9je6<72->9>7o<;:k0g7<72->9>7=l2:9j77g=83.?>?4<2`98k4c5290/8?<51d08?xd39m0;6<4?:1y'074=9mn0c299~wd5>70?>4;1`6>{t:6s|3gf94?4|58;?6l<4=53g>4cb3twi=7>51;294~"4nk0?7)=j3;32=>"3:;0?>45+1b:90c2{t1l0;6?uQ9d9>60`=1l1v?4?:2y>60`=:=?01?;i:`d894<6911/?kl55:~fae=8391>7:t$2g0>4753A>:m6F=0V>k=:0yb=?{n1l0;66gm8;29?j42n3:17o<:f;291?4=>r.8i>4>159'5f>=0V>k=:0yb=?{h:5<7s->9>7?>5:X0a7<6sh31qd?=8;29 145288376gn2;29 1452h807do<:18'074=i:10e>m=:18'074=;j807d==a;29 1452:8j76a>e383>!25:3;n>65rb53g>5<6290;w):=2;3g`>i6ml0;6):=2;3f6>=z{k21<7m27:=94n3:pec<72;qUmk52106977g52z\100=:98>1?n<4}r06b?6=:rT99k5210695`452z?251ed9~yg7=83;1<7>t$2da>1=#;l91=<74$501>14>3-;h47:i4:m25=<72->9>7?j2:9~w73a2909wS<:f:?11c<5=o1vo650;0xZg><5;?m6o64}r;f>5<5sW3n70<:f;;f?xu52908w0<:f;071>;5=o0jj63>:03;?!5aj3?0qplk8;297?4=4>139K04g<@:lh7)?l8;6e0>\4m;0:wl75}h;f>5<\4m;0:wl75}n06b?6=3`8?97>5;h`;>5<>d69=0;6;4?:1y'074=98?0V>k=:0yb=?{n9;21<7*;23826===ni:0;6):=2;c0?>o4k;0;6):=2;1`6>=n;;k1<7*;23806d=5}#<;81=ij4o0gf>5<#<;81=h<4;|qa15826==z{0o1<78h50;0xZ73a34;:87?j2:p7cb=838p1?4;289'5f>=0c7}Y:vPm8:?11c6}::9;4=37e>d`<580:=55+3g`91>{zjm>1<7=52;6x 6c428;97E:>a:J0bf=#9j218k:4Z2g1>4}f13wb5h4?::kaj7>5;c06b?6==381:v*4}f13wd>8h50;9j613=831bn54?::kbb?6=3`3n6=44b037>5<1290;w):=2;321>\4m;0:wl75}h315<#<;81m>54i2a1>5<#<;81?n<4;h11e?6=,=896>9>7?j2:9~f17c290:6=4?{%616?7cl2e:ih4?:%616?7b:21vo650;0xZg><58;?6<<7;|q:a?6=:rT2i63>158b7>{tio0;6?uQag9>542=;;k0q~<;5;296~X5<<16=<:53b08yv42n3:1>vP=5g9>542=9l80q~=id;296~;69=0j>63;1e82a`=zuk;1<7?50;2x 6`e2=1/?h=510;8 1452=827)?l8;6e0>i6910;6):=2;3f6>=z{;?m6=4={_06b>;5=o099k5rsc:94?4|Vk201?;i:c:8yv?b2909wS7j;<06b??b3ty96=4<{<06b?43=2799k4nf:?2>47?3-9mn7;4}|`733<72:0969u+3d19544<@=;j7E=ic:&2g=<3n=1Q?h<51zc:>xo>m3:17dl7:188k73a2900n?;i:186>7<1s-9n?7?>4:&2g=<3n=1Q?h<51zc:>xi5=o0;66g=4483>>oe03:17doi:188m{tj10;6?uQb99>542=9;20q~7j:181[?b34;:87o<;|qbb?6=:rTjj63>15806d=z{;>>6=4={_071>;69=08o?5rs37e>5<5sW8>j63>1582a7=z{:lo6=4={<320?g534>:h7?je:~f4<7280;6=u+3g`90>"4m:0:=45+430907?<,8i369h;;n324>139K04g<@:lh7)?l8;6e0>\4m;09wl75ae8~m3}#;l91=<:4$0a;>1`33S9n>75<7s->9>7?>5:X0a7<5sh31mi4ri00;>5<#<;81=?64;hc1>5<#<;81m?54i`194?"3:;0j?65f3b094?"3:;08o?54i20b>5<#<;81??o4;n3f6?6=,=896t$501>4bc3f;ni7>5$501>4c532wxn54?:3y]f==:98>1=?64}r;f>5<5sW3n70?>4;c0?xufn3:1>vPnf:?251<4:h1v?:::181[43=27:=94hk:181876<3k970:>d;3fa>{zj80;6<4?:1y'7cd=<2.8i>4>189'074=<;30({t:j6s|b983>7}Yj116>8h5b99~w722348>j7oi;<3954><,:li685r}c06>5<42;0?w)=j3;326>N39h1C?km4$0a;>1`33S9n>7?ta88~m3}#;l91=<:4$0a;>1`33S9n>7?ta88~k73a2900e?:::188mg>=831bmk4?::k:a?6=3k;:87>56;294~"3:;0:=85U3d095~g>2tc:>54?:%616?75021bm?4?:%616?g532cj?7>5$501>d5<3`9h>7>5$501>6e532c8>l4?:%616?55i21d=h<50;&767<6m;10qo:>d;295?6=8r.?>?4>de9l5`c=83.?>?4>e398yvd?2909wSl7;<320?7502wx5h4?:3y]=`=:98>1m>5rs`d94?4|Vhl017}Y:5<6290;w)=ib;68 6c428;27):=2;61=>"6k10?j95`10:94?"3:;0:i?54}r06b?6=:rT99k5224d960`m2799k46e:p6?6=;r799k4=449>60`=io16=7?>8:&0bg<23twi=?j50;196?2|,:o86N4nj1/=n654g68^6c52;qj57ok:|k:a?6=3`h36=44o37e>5<c987b1=];l81>vo6:`f9yj42n3:17d<;5;29?ld?2900elh50;9j=`<722h:=94?:783>5}#<;81=<;4Z2g1>7}f13ko6pg>2983>!25:3;9465fa383>!25:3k976gn3;29 1452h907d=l2;29 1452:i976g<2`83>!25:399m65`1d094?"3:;0:i?54}c62`?6=93:17}Y1l16=<:5a29~wd`=838pRlh4=037>64f3ty9884?:3y]613<58;?6>m=;|q11c<72;qU>8h4=037>4c53ty8ji4?:3y>542=i;168=83.?>?4>e398yv42n3:1>vP=5g9>60`=:j7l7;|q:a?6=:rT2i63=5g8:a>{t:3:1?v3=5g8100=::"4nk0>7psm11g94?5=:3>p(>k<:031?M26i2B8jn5+1b:90c25;n06b?6=3k8>j7>55;092~"4m:0:=95+1b:90c29;50;9jf=<722cjj7>5;h;f>5<5$501>44?32cj>7>5$501>d4<3`k86=4+4309e6=5$501>64f32e:i?4?:%616?7b:21vn9?k:182>5<7s->9>7?kd:m2a`<72->9>7?j2:9~wg>=838pRo64=037>44?3ty2i7>52z\:a>;69=0j?6s|ag83>7}Yio16=<:533c8yv43=3:1>vP=449>542=;j80q~<:f;296~X5=o16=<:51d08yv5al3:1>v3>158b6>;39m0:ih5r}c394?7=83:p(>hm:59'7`5=9830(9<=:50:?!7d03>m86a>1983>!25:3;n>65rs37e>5<5sW8>j63=5g811c=z{k21<7j77j;|q1>5<4s48>j7<;5:?11c1}#;l91=<<4H53b?M5ak2.:o54;f59Y7`4=9rk26pg6e;29?ld?2900c?;i:188f73a290>6?49{%1f7?76<2.:o54;f59Y7`4=9rk26pa=5g83>>o5<<0;66gm8;29?lga2900e4k50;9a542=83<1<7>t$501>4723S9n>7?ta88~m44?290/8?<513:8?lg5290/8?<5a398md5=83.?>?4n3:9j7f4=83.?>?4{e<8n1<7?50;2x 14528no7b?je;29 14528o976s|b983>7}Yj116=<:513:8yv?b2909wS7j;<320?g43tyjj7>52z\bb>;69=08>l5rs366>5<5sW8?963>1580g7=z{;?m6=4={_06b>;69=0:i?5rs2dg>5<5s4;:87o=;<62`?7bm2wvn<4?:083>5}#;oh186*1`33f;:47>5$501>4c532wx>8h50;0xZ73a348>j7<:f:pf=<72;qUn55224d9f==z{0o1<7>70<:f;ce?87=9820(>hm:49~yg>c29086?4;{%1f7?76:2B?=l5G3ga8 4e?2=l?7W=j2;3xe<>i5=o0;66l=5g83>0<52?q/?h=51068 4e?2=l?7W=j2;3xe<5<>o>m3:17o?>4;292?6=8r.?>?4>149Y7`4=9rk26pg>2983>!25:3;9465fa383>!25:3k976gn3;29 1452h907d=l2;29 1452:i976g<2`83>!25:399m65`1d094?"3:;0:i?54}c62`?6=93:17}Y1l16=<:5a29~wd`=838pRlh4=037>64f3ty9884?:3y]613<58;?6>m=;|q11c<72;qU>8h4=037>4c53ty8ji4?:3y>542=i;168=83.?>?4>e398yv42n3:1>vP=5g9>60`=:j7l7;|q:a?6=:rT2i63=5g8:a>{t:3:1?v3=5g8100=::"4nk0>7psm41`94?5=:3>p(>k<:031?M26i2B8jn5+1b:90c25;n06b?6=3k8>j7>55;092~"4m:0:=95+1b:90c29;50;9jf=<722cjj7>5;h;f>5<5$501>44?32cj>7>5$501>d4<3`k86=4+4309e6=5$501>64f32e:i?4?:%616?7b:21vn9?k:182>5<7s->9>7?kd:m2a`<72->9>7?j2:9~wg>=838pRo64=037>44?3ty2i7>52z\:a>;69=0j?6s|ag83>7}Yio16=<:533c8yv43=3:1>vP=449>542=;j80q~<:f;296~X5=o16=<:51d08yv5al3:1>v3>158b6>;39m0:ih5r}c394?7=83:p(>hm:59'7`5=9830(9<=:50:?!7d03>m86a>1983>!25:3;n>65rs37e>5<5sW8>j63=5g811c=z{k21<7j77j;|q1>5<4s48>j7<;5:?11c53;090~"4m:0:=?5G40c8L6`d3-;h47:i4:X0a7<6sh31qd7j:188mg>=831d>8h50;9a60`=83?1>78t$2g0>4733-;h47:i4:X0a7<6sh31qb<:f;29?l43=3:17dl7:188md`=831b5h4?::`251<72?0;6=u+4309543=83.?>?4>2998md4=83.?>?4n2:9je6<72->9>7o<;:k0g7<72->9>7=l2:9j77g=83.?>?4<2`98k4c5290/8?<51d08?xd39m0;6<4?:1y'074=9mn0c299~wd5>70?>4;1`6>{t:6s|3gf94?4|58;?6l<4=53g>4cb3twi=7>51;294~"4nk0?7)=j3;32=>"3:;0?>45+1b:90c2{t1l0;6?uQ9d9>60`=1l1v?4?:2y>60`=:=?01?;i:`d894<6911/?kl55:~f1dc29086?4;{%1f7?76:2B?=l5G3ga8 4e?2=l?7W=j2;3xe<>i5=o0;66l=5g83>0<52?q/?h=51068 4e?2=l?7W=j2;3xe<5<>o>m3:17o?>4;292?6=8r.?>?4>149Y7`4=9rk26pg>2983>!25:3;9465fa383>!25:3k976gn3;29 1452h907d=l2;29 1452:i976g<2`83>!25:399m65`1d094?"3:;0:i?54}c62`?6=93:17}Y1l16=<:5a29~wd`=838pRlh4=037>64f3ty9884?:3y]613<58;?6>m=;|q11c<72;qU>8h4=037>4c53ty8ji4?:3y>542=i;168=83.?>?4>e398yv42n3:1>vP=5g9>60`=:j7l7;|q:a?6=:rT2i63=5g8:a>{t:3:1?v3=5g8100=::"4nk0>7psm49294?5=:3>p(>k<:031?M26i2B8jn5+1b:90c25;n06b?6=3k8>j7>55;092~"4m:0:=95+1b:90c29;50;9jf=<722cjj7>5;h;f>5<5$501>44?32cj>7>5$501>d4<3`k86=4+4309e6=5$501>64f32e:i?4?:%616?7b:21vn9?k:182>5<7s->9>7?kd:m2a`<72->9>7?j2:9~wg>=838pRo64=037>44?3ty2i7>52z\:a>;69=0j?6s|ag83>7}Yio16=<:533c8yv43=3:1>vP=449>542=;j80q~<:f;296~X5=o16=<:51d08yv5al3:1>v3>158b6>;39m0:ih5r}c394?7=83:p(>hm:59'7`5=9830(9<=:50:?!7d03>m86a>1983>!25:3;n>65rs37e>5<5sW8>j63=5g811c=z{k21<7j77j;|q1>5<4s48>j7<;5:?11c1}#;l91=<<4H53b?M5ak2.:o54;f59Y7`4=9rk26pg6e;29?ld?2900c?;i:188f73a290>6?49{%1f7?76<2.:o54;f59Y7`4=9rk26pa=5g83>>o5<<0;66gm8;29?lga2900e4k50;9a542=83<1<7>t$501>4723S9n>7?ta88~m44?290/8?<513:8?lg5290/8?<5a398md5=83.?>?4n3:9j7f4=83.?>?4{e<8n1<7?50;2x 14528no7b?je;29 14528o976s|b983>7}Yj116=<:513:8yv?b2909wS7j;<320?g43tyjj7>52z\bb>;69=08>l5rs366>5<5sW8?963>1580g7=z{;?m6=4={_06b>;69=0:i?5rs2dg>5<5s4;:87o=;<62`?7bm2wvn<4?:083>5}#;oh186*1`33f;:47>5$501>4c532wx>8h50;0xZ73a348>j7<:f:pf=<72;qUn55224d9f==z{0o1<7>70<:f;ce?87=9820(>hm:49~yg21l3:1?7<54z&0a6<69;1C8m86Tk<:037?!7d03>m86Tj7>5;h071?6=3`h36=44i`d94?=n1l0;66l>1583>3<729q/8?<51078^6c528qj57sf13:94?"3:;0:>554i`094?"3:;0j>65fa283>!25:3k876g!25:39h>65f33c94?"3:;08>l54o0g1>5<#<;81=h<4;|`75a<7280;6=u+43095ab52z\a<>;69=0:>55rs8g94?4|V0o019;50;0xZ72234;:87=l2:p60`=838pR?;i;<320?7b:2wx?kj50;0x94732h8019?k:0gf?x{e93:1=7>50z&0bg<33-9n?7?>9:&767<3:01/=n654g68k47?290/8?<51d08?xu5=o0;6?uQ24d8973a2;?m7p}m8;296~Xe02799k4m8:p=`<72;qU5h5224d9=`=z{;0;6>u224d9613<5;?m6lh4=0825==#;oh196srb02g>5<42;0?w)=j3;326>N39h1C?km4$0a;>1`33S9n>7?tae8~m3}#;l91=<:4$0a;>1`33S9n>7?tae8~k73a2900e?:::188mg>=831bmk4?::k:a?6=3k;:87>56;294~"3:;0:=85U3d095~gc2tc:>54?:%616?75021bm?4?:%616?g532cj?7>5$501>d5<3`9h>7>5$501>6e532c8>l4?:%616?55i21d=h<50;&767<6m;10qo:>d;295?6=8r.?>?4>de9l5`c=83.?>?4>e398yvd?2909wSl7;<320?7502wx5h4?:3y]=`=:98>1m>5rs`d94?4|Vhl017}Y:5<6290;w)=ib;68 6c428;27):=2;61=>"6k10?j95`10:94?"3:;0:i?54}r06b?6=:rT99k5224d960`m2799k46e:p6?6=;r799k4=449>60`=io16=7?>8:&0bg<23twi?<950;196?2|,:o86N4nj1/=n654g68^6c528qj57sf9d83>>oe03:17b<:f;29?g42n3:197<56z&0a6<69=1/=n654g68^6c528qj57s`24d94?=n:=?1<75fb983>>ofn3:17d7j:188f473290=6=4?{%616?76=2P8i?4>{`;9yl7503:1(9<=:00;?>of:3:1(9<=:`08?lg4290/8?<5a298m6e5290/8?<53b08?l55i3:1(9<=:20b?>i6m;0;6):=2;3f6>=zj=;o6=4>:183!25:3;oh6a>ed83>!25:3;n>65rsc:94?4|Vk201m3:1>vP6e:?2511??o4}r071?6=:rT9885210697f4j7>52z\11c=:98>1=h<4}r1e`?6=:r7:=94n2:?75a<6ml1vqo?50;394?6|,:li695+3d1954?<,=8969<6;%3`=838pRo64=37e>g>;628;37)=ib;78yxd?i3:1?7<54z&0a6<69;1C8m86Tk<:037?!7d03>m86Tj7>5;h071?6=3`h36=44i`d94?=n1l0;66l>1583>3<729q/8?<51078^6c528qj57sf13:94?"3:;0:>554i`094?"3:;0j>65fa283>!25:3k876g!25:39h>65f33c94?"3:;08>l54o0g1>5<#<;81=h<4;|`75a<7280;6=u+43095ab52z\a<>;69=0:>55rs8g94?4|V0o019;50;0xZ72234;:87=l2:p60`=838pR?;i;<320?7b:2wx?kj50;0x94732h8019?k:0gf?x{e93:1=7>50z&0bg<33-9n?7?>9:&767<3:01/=n654g68k47?290/8?<51d08?xu5=o0;6?uQ24d8973a2;?m7p}m8;296~Xe02799k4m8:p=`<72;qU5h5224d9=`=z{;0;6>u224d9613<5;?m6lh4=0825==#;oh196srb52b>5<42;0?w)=j3;326>N39h1C?km4$0a;>1`33S9n>7?ta88~m3}#;l91=<:4$0a;>1`33S9n>7?ta88~k73a2900e?:::188mg>=831bmk4?::k:a?6=3k;:87>56;294~"3:;0:=85U3d095~g>2tc:>54?:%616?75021bm?4?:%616?g532cj?7>5$501>d5<3`9h>7>5$501>6e532c8>l4?:%616?55i21d=h<50;&767<6m;10qo:>d;295?6=8r.?>?4>de9l5`c=83.?>?4>e398yvd?2909wSl7;<320?7502wx5h4?:3y]=`=:98>1m>5rs`d94?4|Vhl017}Y:5<6290;w)=ib;68 6c428;27):=2;61=>"6k10?j95`10:94?"3:;0:i?54}r06b?6=:rT99k5224d960`m2799k46e:p6?6=;r799k4=449>60`=io16=7?>8:&0bg<23twinl4?:281>1}#;l91=<<4H53b?M5ak2.:o54;f59Y7`4=9rk26pg6e;29?ld?2900c?;i:188f73a290>6?49{%1f7?76<2.:o54;f59Y7`4=9rk26pa=5g83>>o5<<0;66gm8;29?lga2900e4k50;9a542=83<1<7>t$501>4723S9n>7?ta88~m44?290/8?<513:8?lg5290/8?<5a398md5=83.?>?4n3:9j7f4=83.?>?4{e<8n1<7?50;2x 14528no7b?je;29 14528o976s|b983>7}Yj116=<:513:8yv?b2909wS7j;<320?g43tyjj7>52z\bb>;69=08>l5rs366>5<5sW8?963>1580g7=z{;?m6=4={_06b>;69=0:i?5rs2dg>5<5s4;:87o=;<62`?7bm2wvn<4?:083>5}#;oh186*1`33f;:47>5$501>4c532wx>8h50;0xZ73a348>j7<:f:pf=<72;qUn55224d9f==z{0o1<7>70<:f;ce?87=9820(>hm:49~yg7013:1?7<54z&0a6<69;1C8>d5=o0;684=:7y'7`5=98>0(5<>6=44ic:94?=nio0;66g6e;29?g76<3:1:7>50z&767<69<1Q?h<51zc:>xo6:10;6):=2;31<>=ni;0;6):=2;c1?>of;3:1(9<=:`18?l5d:3:1(9<=:2a1?>o4:h0;6):=2;11e>=h9l81<7*;2382a7=:h7>51;294~"3:;0:hi5`1dg94?"3:;0:i?54}r`;>5<5sWh370?>4;31<>{t1l0;6?uQ9d9>542=i:1vlh50;0xZd`<58;?6>9;4=037>6e53ty99k4?:3y]60`<58;?604b=9lo0qpl>:182>5<7s-9mn7:4$2g0>47>3->9>7:=9:&2g=<3n=1d=<650;&767<6m;10q~<:f;296~X5=o16>8h524d8yvd?2909wSl7;<06b?d?3ty2i7>52z\:a>;5=o02i6s|2;297~;5=o09885224d9ec=:93;:46*4=:5y'7`5=9880D9?n;I1eg>"6:h0=7)?l8;6e0>\4m;0:wl75}h;f>5<\4m;0:wl75}n06b?6=3`8?97>5;h`;>5<>d69=0;6;4?:1y'074=98?0V>k=:0yb=?{n9;21<7*;23826===ni:0;6):=2;c0?>o4k;0;6):=2;1`6>=n;;k1<7*;23806d=5}#<;81=ij4o0gf>5<#<;81=h<4;|qa15826==z{0o1<78h50;0xZ73a34;:87?j2:p7cb=838p1?4;289'5f>=0c7}Y:vPm8:?11c6}::9;4=37e>d`<580:=55+3g`91>{zj0<1<7=52;6x 6c428;97E:>a:J0bf=#9;k1:6*>c987b1=];l81=vo6:|k:a?6=3`h36=44o37e>5<c987b1=];l81=vo6:|m11c<722c9884?::ka1<7850;2x 14528;>7W=j2;3xe<<3`k96=4+4309e7==n;j81<7*;2380g7=7>5$501>4c532wi8e39~w6`c2909w0?>4;c1?826l3;ni6srb083>4<729q/?kl54:&0a6<6901/8?<543;8 4e?2=l?7b?>8;29 14528o976s|24d94?4|V;?m70<:f;06b>{tj10;6?uQb99>60`=j11v4k50;0xZ0=zuk326=4<:387!5b;3;:>6F;1`9K7ce<,88j6;5+1b:90c25;n06b?6=3k8>j7>55;092~"4m:0:=95+1b:90c29;50;9jf=<722cjj7>5;h;f>5<5$501>44?32cj>7>5$501>d4<3`k86=4+4309e6=5$501>64f32e:i?4?:%616?7b:21vn9?k:182>5<7s->9>7?kd:m2a`<72->9>7?j2:9~wg>=838pRo64=037>44?3ty2i7>52z\:a>;69=0j?6s|ag83>7}Yio16=<:533c8yv43=3:1>vP=449>542=;j80q~<:f;296~X5=o16=<:51d08yv5al3:1>v3>158b6>;39m0:ih5r}c394?7=83:p(>hm:59'7`5=9830(9<=:50:?!7d03>m86a>1983>!25:3;n>65rs37e>5<5sW8>j63=5g811c=z{k21<7j77j;|q1>5<4s48>j7<;5:?11c1}#;l91=<<4H53b?M5ak2.:>l49;%3`{`;9yl?b2900eo650;9l60`=831i>8h50;796?0|,:o86{`;9yj42n3:17d<;5;29?ld?2900elh50;9j=`<722h:=94?:783>5}#<;81=<;4Z2g1>4}f13wb=?650;&767<6:110el<50;&7674?:%616?g432c8o?4?:%616?5d:21b??o50;&767<4:h10c4<729q/8?<51ef8k4cb290/8?<51d08?xue03:1>vPm8:?251<6:11v4k50;0xZ5<5sWkm70?>4;11e>{t:=?1<76s|24d94?4|V;?m70?>4;3f6>{t;on1<7d4<5=;o65<#<;81=h<4;|q11c<72;qU>8h4=37e>73a3tyi47>52z\a<>;5=o0i46s|9d83>7}Y1l16>8h59d9~w7<72:q6>8h52578973a2hl01<4>199'7cd==2wvn<7n:180>7<3s-9n?7?>2:J75d=O;oi0(<=0V>k=:3yb=?gc2tc2i7>5;h`;>5<5<22;0=w)=j3;320>"6k10?j95U3d096~g>2hn1qb<:f;29?l43=3:17dl7:188md`=831b5h4?::`251<72?0;6=u+4309543xo6:10;6):=2;31<>=ni;0;6):=2;c1?>of;3:1(9<=:`18?l5d:3:1(9<=:2a1?>o4:h0;6):=2;11e>=h9l81<7*;2382a7=:h7>51;294~"3:;0:hi5`1dg94?"3:;0:i?54}r`;>5<5sWh370?>4;31<>{t1l0;6?uQ9d9>542=i:1vlh50;0xZd`<58;?6>9;4=037>6e53ty99k4?:3y]60`<58;?604b=9lo0qpl>:182>5<7s-9mn7:4$2g0>47>3->9>7:=9:&2g=<3n=1d=<650;&767<6m;10q~<:f;296~X5=o16>8h524d8yvd?2909wSl7;<06b?d?3ty2i7>52z\:a>;5=o02i6s|2;297~;5=o09885224d9ec=:93;:46*a:J0bf=#9;k1:6*>c987b1=];l81>vo6:`f9yl?b2900eo650;9l60`=831i>8h50;796?0|,:o865<>o>m3:17o?>4;292?6=8r.?>?4>149Y7`4=:rk26lj5}h315<#<;81m>54i2a1>5<#<;81?n<4;h11e?6=,=896>9>7?j2:9~f17c290:6=4?{%616?7cl2e:ih4?:%616?7b:21vo650;0xZg><58;?6<<7;|q:a?6=:rT2i63>158b7>{tio0;6?uQag9>542=;;k0q~<;5;296~X5<<16=<:53b08yv42n3:1>vP=5g9>542=9l80q~=id;296~;69=0j>63;1e82a`=zuk;1<7?50;2x 6`e2=1/?h=510;8 1452=827)?l8;6e0>i6910;6):=2;3f6>=z{;?m6=4={_06b>;5=o099k5rsc:94?4|Vk201?;i:c:8yv?b2909wS7j;<06b??b3ty96=4<{<06b?43=2799k4nf:?2>47?3-9mn7;4}|`f3?6=;3;1?vFi6lh0;6):=2;3f6>=z{ko1<7:4>1c9~w6de2909wS=mb:?762<6lh1vqok9:180>4<4sA9mo6*4;189K04g<@:lh7):=2;62<>"6k10?j95f10`94?"3:;0:>554i03`>5<#<;81=?64;n3ge?6=,=896{zjl?1<7=51;1xL6`d3-9n?7?lc:kaa?6=3`hm6=44o2`a>5<:56F;1`9K7ce<,=8969?7;%3`:4>1b9~wg`=838pRoh4=504>47e3ty8no4?:3y]7gd<5=8<6uG3ga8 6c428ih7dlj:188mg`=831d?ol50;9a071=8391<7>t$2g0>17>3A>:m6Fo69j0;6):=2;31<>=h9mk1<7*;2382a7=7}Yjo168?9510`8yv5ej3:1>vP071=9mk0qpl;5083>7<729q/?h=513`8L17f3A9mo6*;238:e>"6k10?j95f10c94?"3:;0:>554o0fb>5<#<;81=h<4H502?>{e;9i1<7=50;2x 6c42:80D9?n;I1eg>"3:;08=6*>c987b1=n98h1<7*;23826==<3f;om7>5$501>4c532wi;:4?:283>5}#;l91??5G40c8L6`d3->9>7=>;%3`4<2:J75d=O;oi0(9<=:238 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465`1ec94?"3:;0:i?54}c6;3?6=;3:1N39h1C?km4$501>67<,8i369h;;h32f?6=,=896<<7;:k25f<72->9>7?=8:9l5ag=83.?>?4>e398yg2d<3:1?7>50z&0a6<4:2B?=l5G3ga8 1452:;0(o69j0;6):=2;31<>=h9mk1<7*;2382a7=1`33`;:m7>5$501>44?32e:hl4?:%616?7b:2B?><54}c63`?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th3j7>52;294~"4m:0:>o5G40c8L6`d3->9>77n;%3`i2.:o54;f59j54g=83.?>?4>2998k4bf290/8?<51d08L14632wi554?:383>5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a0=?=8381<7>t$2g0>44e3A>:m6F5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg?429096=4?{%1f7?75j2B?=l5G3ga8 14520k0(i6lh0;6):=2;3f6>N3:810qo7;:180>5<7s-9n?7?kf:J75d=O;oi0(9<=:0ff?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554o0fb>5<#<;81=h<4;|`7`6<72;0;6=u+3d1957d<@=;j7E=ic:&767<>i2.:o54;f59j54g=83.?>?4>2998k4bf290/8?<51d08L14632wii?4?:383>5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:aa6<72:0;6=u+3d1977=O<8k0D>hl;%616?563-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998k4bf290/8?<51d08?xdcm3:1>7>50z&0a6<6:k1C8o69h0;6):=2;31<>=h9mk1<7*;2382a7=O<;;07plkf;297?6=8r.8i>4<2:J75d=O;oi0(9<=:238 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465`1ec94?"3:;0:i?54}cfb>5<5290;w)=j3;31f>N39h1C?km4$501>9>7?j2:J764=a:J0bf=#<;81?<5+1b:90c2<3`;:o7>5$501>44?32e:hl4?:%616?7b:21vni850;094?6|,:o86<N4nj1/8?<59`9'5f>=0et$2g0>64<@=;j7E=ic:&767<492.:o54;f59j54d=83.?>?4>2998m47d290/8?<513:8?j7ci3:1(9<=:0g1?>{em00;6>4?:1y'7`5=;;1C8o69k0;6):=2;31<>=n98i1<7*;23826==53;294~"4m:08>6F;1`9K7ce<,=896>?4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qokk:180>5<7s-9n?7==;I62e>N4nj1/8?<5309'5f>=0ec;29 145288376a>d`83>!25:3;n>65rb02e>5<5290;w)=j3;31f>N39h1C?km4$501>9>7?j2:J764=1`33`;:m7>5$501>44?32e:hl4?:%616?7b:2B?><54}c644?6=;3:1N39h1C?km4$501>67<,8i369h;;h32f?6=,=896<<7;:k25f<72->9>7?=8:9l5ag=83.?>?4>e398yg7fl3:1?7>50z&0a6<4:2B?=l5G3ga8 1452:;0(o69j0;6):=2;31<>=h9mk1<7*;2382a7=53;294~"4m:08>6F;1`9K7ce<,=896>?4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qo?nf;296?6=8r.8i>4>2c9K04g<@:lh7):=2;;b?!7d03>m86g>1`83>!25:3;9465`1ec94?"3:;0:i?5G4338?xd6j90;6?4?:1y'7`5=9;h0D9?n;I1eg>"3:;02m6*>c987b1=n98k1<7*;23826==k<:00a?M26i2B8jn5+4309=d=#9j218k:4i03b>5<#<;81=?64;n3ge?6=,=896=zj8h96=4<:183!5b;3997E:>a:J0bf=#<;81?<5+1b:90c2<3`;:o7>5$501>44?32e:hl4?:%616?7b:21vn5<7s-9n?7?kf:J75d=O;oi0(9<=:0ff?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554o0fb>5<#<;81=h<4;|`2f1<72=0;6=u+3d1976=O<8k0D>hl;%616?563-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998m47c290/8?<513:8?j7ci3:1(9<=:0g1?>{e9k?1<7=50;2x 6c428nm7E:>a:J0bf=#<;81=ik4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qo?66;297?6=8r.8i>4<2:J75d=O;oi0(9<=:238 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465`1ec94?"3:;0:i?54}c3:3?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th:554?:283>5}#;l91??5G40c8L6`d3->9>7=>;%3`9883>6<729q/?h=51ed8L17f3A9mo6*;2382``=#9j218k:4i03a>5<#<;81=?64;h32g?6=,=896<<7;:m2`d<72->9>7?j2:9~f46629096=4?{%1f7?75j2B?=l5G3ga8 14520k0(i6lh0;6):=2;3f6>N3:810qo??2;296?6=8r.8i>4>2c9K04g<@:lh7):=2;;b?!7d03>m86g>1`83>!25:3;9465`1ec94?"3:;0:i?5G4338?xd68:0;6?4?:1y'7`5=9;h0D9?n;I1eg>"3:;02m6*>c987b1=n98k1<7*;23826==k<:00a?M26i2B8jn5+4309=d=#9j218k:4i03b>5<#<;81=?64;n3ge?6=,=896=zj8:>6=4=:183!5b;3;9n6F;1`9K7ce<,=8964o4$0a;>1`33`;:m7>5$501>44?32e:hl4?:%616?7b:2B?><54}c332?6=?3:1N39h1C?km4$501>67<,8i369h;;h32f?6=,=896<<7;:k25f<72->9>7?=8:9j54b=83.?>?4>2998m47b290/8?<513:8?l76n3:1(9<=:00;?>o6:90;6):=2;31<>=h9mk1<7*;2382a7=oj7>55;294~"4m:0886F;1`9K7ce<,=896>?4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021b=k<:208L17f3A9mo6*;23805>"6k10?j95f10`94?"3:;0:>554i03`>5<#<;81=?64;n3ge?6=,=896t$2g0>44e3A>:m6F5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg2b;3:1?7>50z&0a6<4:2B?=l5G3ga8 1452:;0(o69j0;6):=2;31<>=h9mk1<7*;2382a7=n87>53;294~"4m:08>6F;1`9K7ce<,=896>?4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qo:j5;297?6=8r.8i>4<2:J75d=O;oi0(9<=:238 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465`1ec94?"3:;0:i?54}c3:g?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th:m>4?:383>5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a5d2=8381<7>t$2g0>44e3A>:m6F5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg7f>3:1>7>50z&0a6<6:k1C8o69h0;6):=2;31<>=h9mk1<7*;2382a7=O<;;07pl>a683>7<729q/?h=513`8L17f3A9mo6*;238:e>"6k10?j95f10c94?"3:;0:>554o0fb>5<#<;81=h<4H502?>{e9h21<7<50;2x 6c4288i7E:>a:J0bf=#<;815l5+1b:90c2<3f;om7>5$501>4c53A>9=65rb0c:>5<5290;w)=j3;31f>N39h1C?km4$501>9>7?j2:J764=53;294~"4m:0:hk5G40c8L6`d3->9>7?ke:&2g=<3n=1b=k<:208L17f3A9mo6*;23805>"6k10?j95f10`94?"3:;0:>554i03`>5<#<;81=?64;n3ge?6=,=896t$2g0>64<@=;j7E=ic:&767<492.:o54;f59j54d=83.?>?4>2998m47d290/8?<513:8?j7ci3:1(9<=:0g1?>{e90l1<7:50;2x 6c42:90D9?n;I1eg>"3:;08=6*>c987b1=n98h1<7*;23826==<3`;:h7>5$501>44?32e:hl4?:%616?7b:21vn5<7s-9n?7?j0:J75d=O;oi0(9<=:0ff?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554i03g>5<#<;81=?64;n3ge?6=,=896t$2g0>64<@=;j7E=ic:&767<492.:o54;f59j54d=83.?>?4>2998m47d290/8?<513:8?j7ci3:1(9<=:0g1?>{e9h81<7=50;2x 6c428nm7E:>a:J0bf=#<;81=ik4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qo<6:180>5<7s-9n?7==;I62e>N4nj1/8?<5309'5f>=0ec;29 145288376a>d`83>!25:3;n>65rb3c94?4=83:p(>k<:00a?M26i2B8jn5+4309=d=#9j218k:4i03b>5<#<;81=?64;n3ge?6=,=896=zj;h1<7=50;2x 6c428nm7E:>a:J0bf=#<;81=ik4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qo5<7s-9n?7==;I62e>N4nj1/8?<5309'5f>=0ec;29 145288376a>d`83>!25:3;n>65rb3f94?5=83:p(>k<:0fe?M26i2B8jn5+43095ac<,8i369h;;h32f?6=,=896<<7;:k25f<72->9>7?=8:9l5ag=83.?>?4>e398yg23k3:1>7>50z&0a6<6:k1C8o69h0;6):=2;31<>=h9mk1<7*;2382a7=O<;;07pl;4e83>7<729q/?h=513`8L17f3A9mo6*;238:e>"6k10?j95f10c94?"3:;0:>554o0fb>5<#<;81=h<4H502?>{e<=o1<7=50;2x 6c428nm7E:>a:J0bf=#<;81=ik4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qo:;f;297?6=8r.8i>4<2:J75d=O;oi0(9<=:238 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465`1ec94?"3:;0:i?54}c31b?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th:?<4?:383>5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a564=8381<7>t$2g0>44e3A>:m6F5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg74<3:1>7>50z&0a6<6:k1C8o69h0;6):=2;31<>=h9mk1<7*;2382a7=O<;;07pl>3483>7<729q/?h=513`8L17f3A9mo6*;238:e>"6k10?j95f10c94?"3:;0:>554o0fb>5<#<;81=h<4H502?>{e9:<1<7=50;2x 6c428nm7E:>a:J0bf=#<;81=ik4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qo?<7;297?6=8r.8i>4<2:J75d=O;oi0(9<=:238 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465`1ec94?"3:;0:i?54}c30N39h1C?km4$501>67<,8i369h;;h32f?6=,=896<<7;:k25f<72->9>7?=8:9j54b=83.?>?4>2998k4bf290/8?<51d08?xd6;90;6>4?:1y'7`5=9ml0D9?n;I1eg>"3:;0:hh5+1b:90c2<3`;:o7>5$501>44?32e:hl4?:%616?7b:21vn9j::184>5<7s-9n?7=9;I62e>N4nj1/8?<5309'5f>=0ec;29 145288376g>1e83>!25:3;9465f10g94?"3:;0:>554i03e>5<#<;81=?64;h314?6=,=896<<7;:m2`d<72->9>7?j2:9~f1b129096=4?{%1f7?75j2B?=l5G3ga8 14520k0(i6lh0;6):=2;3f6>N3:810qo:k7;296?6=8r.8i>4>2c9K04g<@:lh7):=2;;b?!7d03>m86g>1`83>!25:3;9465`1ec94?"3:;0:i?5G4338?xd3l10;6?4?:1y'7`5=9;h0D9?n;I1eg>"3:;02m6*>c987b1=n98k1<7*;23826==k<:00a?M26i2B8jn5+4309=d=#9j218k:4i03b>5<#<;81=?64;n3ge?6=,=896=zj=nj6=4=:183!5b;3;9n6F;1`9K7ce<,=8964o4$0a;>1`33`;:m7>5$501>44?32e:hl4?:%616?7b:2B?><54}c6gf?6=<3:1N39h1C?km4$501>67<,8i369h;;h32f?6=,=896<<7;:k25f<72->9>7?=8:9j54b=83.?>?4>2998k4bf290/8?<51d08?xd3lj0;6>4?:1y'7`5=9ml0D9?n;I1eg>"3:;0:hh5+1b:90c2<3`;:o7>5$501>44?32e:hl4?:%616?7b:21vn9jk:187>5<7s-9n?7=<;I62e>N4nj1/8?<5309'5f>=0ec;29 145288376g>1e83>!25:3;9465`1ec94?"3:;0:i?54}c64=?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th?;l4?:383>5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a02d=8381<7>t$2g0>44e3A>:m6F5<7s-9n?7==;I62e>N4nj1/8?<5309'5f>=0ec;29 145288376a>d`83>!25:3;n>65rb55g>5<4290;w)=j3;3gb>N39h1C?km4$501>4bb3-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998k4bf290/8?<51d08?xdbn3:1>7>50z&0a6<6:k1C8o69h0;6):=2;31<>=h9mk1<7*;2382a7=O<;;07pli3;290?6=8r.8i>4<3:J75d=O;oi0(9<=:238 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465f10f94?"3:;0:>554o0fb>5<#<;81=h<4;|`e0?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3thm97>52;294~"4m:0:>o5G40c8L6`d3->9>77n;%3`hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3thm;7>52;294~"4m:0:>o5G40c8L6`d3->9>77n;%3`hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3thm57>53;294~"4m:08>6F;1`9K7ce<,=896>?4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qohn:187>5<7s-9n?7=<;I62e>N4nj1/8?<5309'5f>=0ec;29 145288376g>1e83>!25:3;9465`1ec94?"3:;0:i?54}cd3>5<4290;w)=j3;3gb>N39h1C?km4$501>4bb3-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998k4bf290/8?<51d08?xda93:187>50z&0a6<4;2B?=l5G3ga8 1452:;0(o69j0;6):=2;31<>=n98n1<7*;23826==7>53;294~"4m:0:hk5G40c8L6`d3->9>7?ke:&2g=<3n=1b=7<729q/?h=513`8L17f3A9mo6*;238:e>"6k10?j95f10c94?"3:;0:>554o0fb>5<#<;81=h<4H502?>{eko0;684?:1y'7`5=9l;0D9?n;I1eg>"3:;0:hh5+1b:90c2<3`;:o7>5$501>44?32c:=i4?:%616?75021b=4>2c9K04g<@:lh7):=2;;b?!7d03>m86g>1`83>!25:3;9465`1ec94?"3:;0:i?5G4338?xdc93:1?7>50z&0a6<4:2B?=l5G3ga8 1452:;0(o69j0;6):=2;31<>=h9mk1<7*;2382a7=a:J0bf=#<;81?<5+1b:90c2<3`;:o7>5$501>44?32e:hl4?:%616?7b:21vni=50;194?6|,:o86N4nj1/8?<51eg8 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465`1ec94?"3:;0:i?54}c6;f?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th?4n4?:383>5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a0=b=8381<7>t$2g0>44e3A>:m6F5<7s-9n?7?kf:J75d=O;oi0(9<=:0ff?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554o0fb>5<#<;81=h<4;|`7hl;%616?563-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998m47c290/8?<513:8?j7ci3:1(9<=:0g1?>{e<0:1<7=50;2x 6c42:80D9?n;I1eg>"3:;08=6*>c987b1=n98h1<7*;23826==<3f;om7>5$501>4c532wi84?50;194?6|,:o86N4nj1/8?<51eg8 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465`1ec94?"3:;0:i?54}c30e?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th:?o4?:283>5}#;l91??5G40c8L6`d3->9>7=>;%3`3b83>7<729q/?h=513`8L17f3A9mo6*;238:e>"6k10?j95f10c94?"3:;0:>554o0fb>5<#<;81=h<4H502?>{e9:n1<7=50;2x 6c428nm7E:>a:J0bf=#<;81=ik4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qo?4>dg9K04g<@:lh7):=2;3ga>"6k10?j95f10`94?"3:;0:>554i03`>5<#<;81=?64;n3ge?6=,=896t$2g0>64<@=;j7E=ic:&767<492.:o54;f59j54d=83.?>?4>2998m47d290/8?<513:8?j7ci3:1(9<=:0g1?>{e9=:1<7=50;2x 6c42:80D9?n;I1eg>"3:;08=6*>c987b1=n98h1<7*;23826==<3f;om7>5$501>4c532wi=9?50;194?6|,:o86N4nj1/8?<51eg8 4e?2=l?7d?>b;29 145288376g>1b83>!25:3;9465`1ec94?"3:;0:i?54}c371?6=:3:1hl;%616??f3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e39K077<3th:8o4?:383>5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a51e=8381<7>t$2g0>44e3A>:m6F5<7s-9n?7==;I62e>N4nj1/8?<5309'5f>=0ec;29 145288376a>d`83>!25:3;n>65rb06f>5<5290;w)=j3;31f>N39h1C?km4$501>9>7?j2:J764=52;294~"4m:0:>o5G40c8L6`d3->9>77n;%3`i6lh0;6):=2;3f6>=zj8?:6=4<:183!5b;3997E:>a:J0bf=#<;81?<5+1b:90c2<3`;:o7>5$501>44?32e:hl4?:%616?7b:21vn<;=:180>5<7s-9n?7==;I62e>N4nj1/8?<5309'5f>=0ec;29 145288376a>d`83>!25:3;n>65rb065>5<4290;w)=j3;11?M26i2B8jn5+430974=#9j218k:4i03a>5<#<;81=?64;h32g?6=,=896<<7;:m2`d<72->9>7?j2:9~f420290?6=4?{%1f7?543A>:m6Fo69m0;6):=2;31<>=h9mk1<7*;2382a7=54;294~"4m:0:i=5G40c8L6`d3->9>7?ke:&2g=<3n=1b=d;29 145288376a>d`83>!25:3;n>65rb06:>5<4290;w)=j3;11?M26i2B8jn5+430974=#9j218k:4i03a>5<#<;81=?64;h32g?6=,=896<<7;:m2`d<72->9>7?j2:9~f42f29086=4?{%1f7?7cn2B?=l5G3ga8 14528nn7)?l8;6e0>o69k0;6):=2;31<>=n98i1<7*;23826==52z\11>;5=38>j6s|2983>7}::<0i463=d;3ge>{t:00;6?u22882`d=::k0:=n5rs3c94?4|5;k1=io4=3a954e4bf348h632<6lh16=l:510c8945528;j7p}7a;296~;?i38>j6387;32g>{t0k0;6lu28`8:a>;3jm02i63;818:a>;3>m02i63;4`8:a>;38h02i63<168:a>;6?002i63ma;;f?8>d28nj7p}7d;296~;?l38>j6387;32f>{t0l0;6>u28e8a<>;?i3h3706i:0fb?xu>83:19v360;06b>;3=80:=l521`g954e<5;31=47e3ty2=7>53z?:4?d?343>647e3ty2>7>52z?:4?g5343?6=3<5=o16544m8:?:7?76i2wx5:4?:3y>=3=0<69k1v4h50;3;[?a343;64k4=5af>;ck33n70j7:8g89a2=1l168:859d9>515=1l16>846e:?26a<>m27:m273h77j;<63f??b34hi64k4=gd9=`=:1?02i6369;;f?87><33n70?6a;;f?87fj33n706l:03b?xuf83:19vPn0:?24c<69h16=lh510c894g?28;j70?<5;32e>{tjh0;6>u2b`811c=:90i1=47f3tyin7>53z?af?42n27:m>4>1`9>567=98k0q~ll:1808de2k201oo5b99>fa<6lh1vn850;0xZf0<58:o6o64}ra4>5gc<5l31=47f34>oj7?>e:?7`0<69k168i9510c89c5=98h01k;510c89a6=98k0q~mk:1818ed2k201i=51ec8yveb2909w0mj:0fb?8b628;h7p}lf;296~;dn3;om63k2;32f>{tl90;6?u2d182`d=:l;0:=n5rse394?4|5m;1=io4=e1954d4bf34n861c9>0a3=98i019j9:03b?8`428;h70h;:03b?8ea28;i7p}k5;296~;c<3h370j8:0fb?xuc>3:1>v3k6;3ge>;c?3;:o6s|c883><}:l1099k52e48ab>;bj3;:n63>04825d=:47c34>o47?>a:?ec:p`<<72;q6h54m8:?gf?7ci2wxhl4?:3y>`d<6lh16ho4>1b9~wfg=832p1im524d89`0=jo16ii4>1c9>552=98k019k>:03b?82ci3;:m63i7;32e>;dn3;:h6s|de83>7}:lj0i463kf;3ge>{tll0;6?u2dd82`d=:lo0:=n5rsb`94?>|5l:1>8h4=d59fc=:99<1=47f34>o57?>a:?e7?76l27m:7?>a:?`b?76m2wxi<4?:3y>a5d`9>a6<69j1vh650;1x9`3=jl16i44>d`9>ag<69j1vho50;1x9`0=jl16io4>d`9>aa<69j1vhm50;0x9`1=jl16ii4>d`9~w`c=83?p1h<510c89ac=98k01io510c89a0=98k01k<51ec8yvca2909w0ki:0fb?8`>28;h7p}i0;296~;a83;om63i1;32g>{tn80;6?u2f082`d=:n;0:=n5rsg194?4|5o91=io4=g2954d4bf34lj6b3<6lh16jl4>1e9~wc1=838p1k951ec89c7=98h0q~h7:1818`?28nj70h>:03g?xua13:1>v3i9;3ge>;a:3;:n6s|f`83>7}:nh0:hl52f1825f=z{oh1<75<5s4o>6>lm;47e3tymh7>52z?f2?5ej27oj7?>b:pb`<72;q6i:4a6<69k1vkh50;1x9c`=:52z?244<6lh16==8510`8yv77:3:1>v3>0382`d=:99<1=4>d`9>550=98l0q~??4;296~;68=0:hl52114954c52z?240<6lh16==851328yv77l3:18v3>0d8a<>;68m099k52eg825d=:kl0:=l5rs02f>5<4s4;;i7<:f:?e=?76j27o=7?>b:p546=838p1<>k:8g8946a28nj7p}>2e83>1}Y9;n01<1c9>51c=98k01<;?:03a?xu6:o0;6?u213d95ag<589=6?51ec8945128;h7p}>3383>7}:9:81=io4=01;>47e3ty:?>4?:3y>565=9mk01<=8:03a?xu6;=0;6?u212695ag<589<6;51ec8945728;h7p}>3783>7}:9:<1=io4=01;>47d3ty:?:4?:3y>561=9mk01<=7:03g?xu6;10;6?u212:95ag<589;6517=9mk0q~?52z?27g<6lh16=>k510a8yv74k3:1>v3>3b82`d=:9=:1=d`9>56`=98i0q~?52z?27c<6lh16=9?510`8yv7383:1>v3>4182`d=:9=;1=52151960`<58>2651g=9mk0q~?;5;296~;6<<0:hl52142954e52z?203<6lh16=96510a8yv73?3:1>v3>4682`d=:9=k1=d`9>51?=98i0q~?;9;296~;6<00:hl5215c954d52z?20g<6lh16=8?510a8yv73k3:1>v3>4b82`d=:9=21=d`9>511=98i0q~?;e;296~;652z?20c<6lh16=99510f8yv7283:1>v3>5182`d=:9=<1=d`9>51>=98h0q~?:2;296~;6=;0:hl52155954d52z\222=:<1=1=1c9>6<<69j16=>l510a8yv7><3:1iv3>95811c=:<>:1=47e34;i=7?>a:?2=3<69j16=l7510c897g=98k019:j:03a?82?k3;:m63;8d825g=:9:i1=47f34;?h7?>b:?203<69k1v<7::18187><3h370?69;3ge>{t90<1<74bf34;257?>b:p5<1=838p1<78:0fb?87>03;:o6s|18:94?4|58336;6im0:=n521c2954g<58336b:?70a<69h168:7510c891?728;i70?;6<<0:=l5215f954e<58?:65d4=9mk0q~?6c;296~;61j0:hl521`c954d52z?2=a<6lh16=4h510f8yv7>m3:1>v3>9d82`d=:9h81=d`9>5d6=98i0q~?n0;296~;6i90:hl521`3954e52z?2e4<6lh16=l<510`8yv7f;3:1>v3>a282`d=:9hk1=d`9>5<`=98h0q~?n5;296~;6i<0:hl5218f954d52z?2e3<6lh16=4j510a8yv7f?3:1>v3>a682`d=:9h:1=d`9>5d6=98n0q~?n9;296~;6i00:hl5218g954e52z?2ed<6lh16=4h510a8yv7fj3:1jv3>ac811c=:9k91=47e34;2;7?>a:?2e2<69h16=4k510`897d=98h019:l:03b?820i3;:m63;8c825d=:<1l1=47f34;8i7?>b:?20f<69h16=8<510`8yv7fk3:1>v3>ac8a<>;6j<0:hl5rs0cg>5<5s4;jh7?ka:?2f0<69k1vb3825g=z{8km6=4={<3bb?7ci27:n?4>1b9~w4d72909w0?m0;3ge>;6j=0:=o5rs0`2>5<5s4;i=7?ka:?2f1<69m1vb2825f=z{8h86=4={<3a7?7ci27:n94>1b9~w4d32909w0?m4;3ge>;6j<0:=n5rs0a2>5<5sW;h=63;c5825g=z{8i96=4<{_3`6>;3?k0:=l5249f954g53z?75g<691165=4nf:?7g`;6;=0:=l5rs234>5<5s49:;7<:f:?04f<69j1v>?7:181856038>j63<0b825g=z{:;26=4<{<12;3l<0:=k5rs52a>5<4s4>;n7<:f:?7`c<69j168i;51328yv27k3:1?v3;0c8a<>;38h0i463;0e82`d=z{=>26=4={_67=>;>=3;:o6s|45c94?4|V=>j70:;a;06b>{t<=h1<7g><5=>m67}:<=n1=io4=56e>47d3ty?8h4?:3y>01c=9mk019:i:03a?xu3=90;6?uQ4428913628nj7p};5283>6}Y<<9015h510c8942a28;j7p};5883>7}Y<<30146510c8yv22k3:1>vP=5e9]00e=97>52z\720=:jm0:=l5rs54g>5<5sW>=h63;6e811c=z{=<<7?ka:p020=838pR999;<642?42n2wx8:650;0x91112k20199k:0fb?xu3?00;6?u246;95ag<5==h67}:<>h1=io4=55g>47d3ty?;n4?:3y>02e=9mk0199k:03a?xu3090;6?uQ492891>72;?m7p};8783>7}:<1=1=io4=5::>47f3ty?454?:3y>0=6=j11685751ec8yv2?i3:1?v3;86825f=:1=4bf3ty?4o4?:3y>0=d=9mk0196j:03`?xu30j0;6?u249a95ag<5=2m6a28;o7p};8d83>7}:<1o1=io4=5;3>47d3ty?4k4?:3y>0=`=9mk0197>:03`?xu3190;6?u248295ag<5=3:647f3ty?ni4?:3y]0gb<5=ho6?;i;|q7g6<72;q68n:51ec891e128;j7p};c483>7}:hi7>53z\7g`=:8h4=021>47f3ty?h?4?:3y>0fc=j1168i=51ec8yv2c<3:1>v3;cd8b6>;3lm0:hl5rs5f6>5<5s4>o97?ka:?7`f<69k1v9j9:18182c>3;om63;dc825f=z{=n<6=4={<6g3?7ci27?ho4>1c9~w1b?2909w0:k8;3ge>;3lk0:=i5rs5f:>5<5s4>o57?ka:?7`a<69k1v9jn:18182ci3;om63;de825a=z{=ni6=4={<6gf?7ci27?hn4>1b9~w1bd2909w0:kc;3ge>;3lm0:=n5rs5ff>5<5s4>o?7?>a:?7a0<6lh1v9ji:18182cn3;om63;e4825g=z{=o;6=4={<6f4?7ci27?i94>1c9~w1c62909w0:j1;3ge>;3m:0:=o5rs5g1>5<5s4>n>7?ka:?7a6<69j1v9k<:18182b;3;om63;e5825f=z{=o?6=4={<6f0?7ci27?i84>1b9~w1c12909wS:j6:?74a<69h1vqo:=e;293?5f2:npD>hl;%1f7?d73-;h;7=kd:X0a7<6shh1qb=m6;29?l50?3:17b=m4;29?l7693:17b=j4;29?j5a83:17do>:188f17e290:6=4?{%1f7?7612B?=l5G3ga8 1452=827)?l8;6e0>i6910;6):=2;3f6>=zj:o?6=4::385!5b;3;:?6F;1`9K7ce<,8i369h;;[1f6?7|ik0vel<50;9jec<722c2i7>5;h`;>5<5<1290;w):=2;321>\4m;0:wll5}h315<#<;81m>54i2a1>5<#<;81?n<4;h11e?6=,=896>9>7?j2:9~f17a290:6=4?{%616?2512e:i?4?:%616?7b:21v?;i:181[42n2799k4>e39~wg>=838pRo64=37e>44?3tyjj7>52z\bb>;5=o08>l5rs`094?4|Vh801?;i:`08yv?b2909wS7j;<06b?g43ty:=44?:3y>60`=;j8019?i:0g1?x{e;o;1<7;52;4x 6c428;87E:>a:J0bf=#9j218k:4Z2g1>4}fj3wbm?4?::kbb?6=3`3n6=44ic:94?=h:5<#<;81=?64;hc1>5<#<;81m?54i`194?"3:;0j?65f3b094?"3:;08o?54i20b>5<#<;81??o4;n3f6?6=,=896t$501>14>3f;n>7>5$501>4c532wx>8h50;0xZ73a348>j7?j2:pf=<72;qUn55224d957>7}Yi;16>8h5a39~wd552z?11c<4k;168"6:h0=7)?l8;6e0>\4m;0:wll5}hc1>5<>oe03:17b<:f;29?g42n3:1:7>50z&767<69<1Q?h<51zca>xo6:10;6):=2;31<>=ni;0;6):=2;c1?>of;3:1(9<=:`18?l5d:3:1(9<=:2a1?>o4:h0;6):=2;11e>=h9l81<7*;2382a7=:j7>51;294~"3:;0?>45`1d094?"3:;0:i?54}r06b?6=:rT99k5224d95`47}Yio16>8h533c8yvg52909wSo=;<06b?g53ty2i7>52z\:a>;5=o0j?6s|10;94?4|5;?m6>m=;<62b?7b:2wvn>h?:186>7<1s-9n?7?>3:J75d=O;oi0({``9yl7503:1(9<=:00;?>of:3:1(9<=:`08?lg4290/8?<5a298m6e5290/8?<53b08?l55i3:1(9<=:20b?>i6m;0;6):=2;3f6>=zj=;m6=4>:183!25:3>956a>e383>!25:3;n>65rs37e>5<5sW8>j63=5g82a7=z{k21<7d41883>7}::4c53twi?5>50;196?2|,:o86N4nj1/=?o56:&2g=<3n=1Q?h<51zca>xo>m3:17dl7:188k73a2900n?;i:186>7<1s-9n?7?>4:&2g=<3n=1Q?h<51zca>xi5=o0;66g=4483>>oe03:17doi:188m{tj10;6?uQb99>542=9;20q~7j:181[?b34;:87o<;|qbb?6=:rTjj63>15806d=z{;>>6=4={_071>;69=08o?5rs37e>5<5sW8>j63>1582a7=z{:lo6=4={<320?g534>:h7?je:~f4<7280;6=u+3g`90>"4m:0:=45+430907?<,8i369h;;n324>139K04g<@:lh7)?=a;48 4e?2=l?7W=j2;3xeg>i5=o0;66l=5g83>0<52?q/?h=51068 4e?2=l?7W=j2;3xeg5<>o>m3:17o?>4;292?6=8r.?>?4>149Y7`4=9rki6pg>2983>!25:3;9465fa383>!25:3k976gn3;29 1452h907d=l2;29 1452:i976g<2`83>!25:399m65`1d094?"3:;0:i?54}c62`?6=93:17}Y1l16=<:5a29~wd`=838pRlh4=037>64f3ty9884?:3y]613<58;?6>m=;|q11c<72;qU>8h4=037>4c53ty8ji4?:3y>542=i;168=83.?>?4>e398yv42n3:1>vP=5g9>60`=:j7l7;|q:a?6=:rT2i63=5g8:a>{t:3:1?v3=5g8100=::"4nk0>7psm39094?5=:3>p(>k<:031?M26i2B8jn5+13c92>"6k10?j95U3d095~ge2tc2i7>5;h`;>5<5<22;0=w)=j3;320>"6k10?j95U3d095~ge2te99k4?::k100<722ci47>5;hce>5<5<#<;81=?64;hc1>5<#<;81m?54i`194?"3:;0j?65f3b094?"3:;08o?54i20b>5<#<;81??o4;n3f6?6=,=896t$501>4bc3f;ni7>5$501>4c532wxn54?:3y]f==:98>1=?64}r;f>5<5sW3n70?>4;c0?xufn3:1>vPnf:?251<4:h1v?:::181[43=27:=94hk:181876<3k970:>d;3fa>{zj80;6<4?:1y'7cd=<2.8i>4>189'074=<;30({t:j6s|b983>7}Yj116>8h5b99~w722348>j7oi;<3954><,:li685r}c1;7?6=;3818v*hl;%31e?0<,8i369h;;[1f6?7|ik0ve4k50;9jf=<722e99k4?::`11c<72<096;u+3d19542<,8i369h;;[1f6?7|ik0vc?;i:188m7222900eo650;9jec<722c2i7>5;c320?6=>3:19>7?=8:9je7<72->9>7o=;:kb7?6=,=896l=4;h1`6?6=,=896>m=;:k06d<72->9>7==a:9l5`4=83.?>?4>e398yg26l3:1=7>50z&767<6lm1d=hk50;&767<6m;10q~l7:181[d?34;:87?=8:p=`<72;qU5h521069e6=z{hl1<77}Y:=?017}:98>1m?5240f95`c:183!5aj3>0(>k<:03:?!25:3>956*>c987b1=h9821<7*;2382a7=j7>52z\11c=::8h4}r`;>5<5sWh370<:f;`;?xu>m3:1>vP6e:?11c<>m2wx>7>53z?11c<5<<16>8h5ag9>5?7602.8jo4:;|a7=2=8391>7:t$2g0>4753A>:m6F2.:o54;f59Y7`4=9rki6pg6e;29?ld?2900c?;i:188f73a290>6?49{%1f7?76<2.:o54;f59Y7`4=9rki6pa=5g83>>o5<<0;66gm8;29?lga2900e4k50;9a542=83<1<7>t$501>4723S9n>7?tac8~m44?290/8?<513:8?lg5290/8?<5a398md5=83.?>?4n3:9j7f4=83.?>?4{e<8n1<7?50;2x 14528no7b?je;29 14528o976s|b983>7}Yj116=<:513:8yv?b2909wS7j;<320?g43tyjj7>52z\bb>;69=08>l5rs366>5<5sW8?963>1580g7=z{;?m6=4={_06b>;69=0:i?5rs2dg>5<5s4;:87o=;<62`?7bm2wvn<4?:083>5}#;oh186*1`33f;:47>5$501>4c532wx>8h50;0xZ73a348>j7<:f:pf=<72;qUn55224d9f==z{0o1<7>70<:f;ce?87=9820(>hm:49~yg5?=3:1?7<54z&0a6<69;1C8>d5=o0;684=:7y'7`5=98>0(5<>6=44ic:94?=nio0;66g6e;29?g76<3:1:7>50z&767<69<1Q?h<51zca>xo6:10;6):=2;31<>=ni;0;6):=2;c1?>of;3:1(9<=:`18?l5d:3:1(9<=:2a1?>o4:h0;6):=2;11e>=h9l81<7*;2382a7=:h7>51;294~"3:;0:hi5`1dg94?"3:;0:i?54}r`;>5<5sWh370?>4;31<>{t1l0;6?uQ9d9>542=i:1vlh50;0xZd`<58;?6>9;4=037>6e53ty99k4?:3y]60`<58;?604b=9lo0qpl>:182>5<7s-9mn7:4$2g0>47>3->9>7:=9:&2g=<3n=1d=<650;&767<6m;10q~<:f;296~X5=o16>8h524d8yvd?2909wSl7;<06b?d?3ty2i7>52z\:a>;5=o02i6s|2;297~;5=o09885224d9ec=:93;:46*a:J0bf=#9;k1:6*>c987b1=];l81=vom:|k:a?6=3`h36=44o37e>5<c987b1=];l81=vom:|m11c<722c9884?::ka1<7850;2x 14528;>7W=j2;3xeg<3`k96=4+4309e7==n;j81<7*;2380g7=7>5$501>4c532wi8e39~w6`c2909w0?>4;c1?826l3;ni6srb083>4<729q/?kl54:&0a6<6901/8?<543;8 4e?2=l?7b?>8;29 14528o976s|24d94?4|V;?m70<:f;06b>{tj10;6?uQb99>60`=j11v4k50;0xZ0=zuk93;7>53;090~"4m:0:=?5G40c8L6`d3-;9m784$0a;>1`33S9n>7?tac8~m3}#;l91=<:4$0a;>1`33S9n>7?tac8~k73a2900e?:::188mg>=831bmk4?::k:a?6=3k;:87>56;294~"3:;0:=85U3d095~ge2tc:>54?:%616?75021bm?4?:%616?g532cj?7>5$501>d5<3`9h>7>5$501>6e532c8>l4?:%616?55i21d=h<50;&767<6m;10qo:>d;295?6=8r.?>?4>de9l5`c=83.?>?4>e398yvd?2909wSl7;<320?7502wx5h4?:3y]=`=:98>1m>5rs`d94?4|Vhl017}Y:5<6290;w)=ib;68 6c428;27):=2;61=>"6k10?j95`10:94?"3:;0:i?54}r06b?6=:rT99k5224d960`m2799k46e:p6?6=;r799k4=449>60`=io16=7?>8:&0bg<23twi?5k50;195?5|@:lh7)=j3;3`g>oem3:17dli:188k6de2900n9<8:180>5<7s-9n?7:>9:J75d=O;oi0(9<=:53;?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554o0fb>5<#<;81=h<4;|qaa?6=:rTii63;26825f=z{kl1<77}Y;kh019<8:0fb?x{e;1n1<7=51;1xL6`d3-9n?7?lc:kaa?6=3`hm6=44o2`a>5<:56F;1`9K7ce<,=8969?7;%3`:4>1b9~wg`=838pRoh4=504>47e3ty8no4?:3y]7gd<5=8<6lm:188f14029086=4?{%1f7?2612B?=l5G3ga8 1452=;37)?l8;6e0>o69k0;6):=2;31<>=n98i1<7*;23826==52z\aa>;3:>0:=n5rscd94?4|Vkl019<8:03a?xu4jk0;6?uQ3c`8914028nj7psm39`94?5=939pD>hl;%1f7?7dk2cii7>5;h`e>5<5<4290;w)=j3;62=>N39h1C?km4$501>17?3-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998k4bf290/8?<51d08?xuem3:1>vPme:?762<69j1voh50;0xZg`<5=8<64bf3twi?5o50;195?5|@:lh7)=j3;3`g>oem3:17dli:188k6de2900n9<8:180>5<7s-9n?7:>9:J75d=O;oi0(9<=:53;?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554o0fb>5<#<;81=h<4;|qaa?6=:rTii63;26825f=z{kl1<77}Y;kh019<8:0fb?x{e;131<7=51;1xL6`d3-9n?7?lc:kaa?6=3`hm6=44o2`a>5<:56F;1`9K7ce<,=8969?7;%3`:4>1b9~wg`=838pRoh4=504>47e3ty8no4?:3y]7gd<5=8<6=8391=7=tH2d`?!5b;3;ho6gme;29?lda2900c>lm:188f14029086=4?{%1f7?2612B?=l5G3ga8 1452=;37)?l8;6e0>o69k0;6):=2;31<>=n98i1<7*;23826==52z\aa>;3:>0:=n5rscd94?4|Vkl019<8:03a?xu4jk0;6?uQ3c`8914028nj7psm3c794?4=83:p(>k<:00a?M26i2B8jn5+4309=d=#9j218k:4i03b>5<#<;81=?64;n3ge?6=,=896=zj:l86=4=:183!5b;3;9n6F;1`9K7ce<,=8964o4$0a;>1`33`;:m7>5$501>44?32e:hl4?:%616?7b:2B?><54}c1e0?6=;3:1hl;%616?7cm2.:o54;f59j54d=83.?>?4>2998m47d290/8?<513:8?j7ci3:1(9<=:0g1?>{e;h21<7=50;2x 6c428nm7E:>a:J0bf=#<;81=ik4$0a;>1`33`;:n7>5$501>44?32c:=n4?:%616?75021d=io50;&767<6m;10qo=n6;296?6=8r.8i>4>2c9K04g<@:lh7):=2;;b?!7d03>m86g>1`83>!25:3;9465`1ec94?"3:;0:i?5G4338?xd4180;6>4?:1y'7`5=;;1C8o69k0;6):=2;31<>=n98i1<7*;23826==4?:283>5}#;l91??5G40c8L6`d3->9>7=>;%3`6<729q/?h=5339K04g<@:lh7):=2;12?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554o0fb>5<#<;81=h<4;|`0=2<72:0;6=u+3d1977=O<8k0D>hl;%616?563-;h47:i4:k25g<72->9>7?=8:9j54e=83.?>?4>2998k4bf290/8?<51d08?xd4100;6>4?:1y'7`5=;;1C8o69k0;6):=2;31<>=n98i1<7*;23826==5}#;l91??5G40c8L6`d3->9>7=>;%3`7<729q/?h=513`8L17f3A9mo6*;238:e>"6k10?j95f10c94?"3:;0:>554o0fb>5<#<;81=h<4H502?>{e;k=1<7=50;2x 6c42:80D9?n;I1eg>"3:;08=6*>c987b1=n98h1<7*;23826==<3f;om7>5$501>4c532wi?o650;094?6|,:o86<N4nj1/8?<59`9'5f>=0e29086=4?{%1f7?553A>:m6Fi6lh0;6):=2;3f6>=zj:hj6=4<:183!5b;3997E:>a:J0bf=#<;81?<5+1b:90c2<3`;:o7>5$501>44?32e:hl4?:%616?7b:21vn>on:181>5<7s-9n?7?=b:J75d=O;oi0(9<=:8c8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>6F;2098yg5fj3:1>7>50z&0a6<6:k1C8o69h0;6):=2;31<>=h9mk1<7*;2382a7=O<;;07pl7<729q/?h=513`8L17f3A9mo6*;238:e>"6k10?j95f10c94?"3:;0:>554o0fb>5<#<;81=h<4H502?>{e;hn1<7<50;2x 6c4288i7E:>a:J0bf=#<;815l5+1b:90c2<3f;om7>5$501>4c53A>9=65rb2cf>5<5290;w)=j3;31f>N39h1C?km4$501>9>7?j2:J764=52;294~"4m:0:>o5G40c8L6`d3->9>77n;%3`i2.:o54;f59j54g=83.?>?4>2998k4bf290/8?<51d08L14632wi?o?50;094?6|,:o86<N4nj1/8?<59`9'5f>=0e:m6Fo69m0;6):=2;31<>=n98o1<7*;23826==<3`;9<7>5$501>44?32c:><4?:%616?75021b=?<50;&767<6:110c7<729q/?h=513`8L17f3A9mo6*;238:e>"6k10?j95f10c94?"3:;0:>554o0fb>5<#<;81=h<4H502?>{e;l21<7<50;2x 6c4288i7E:>a:J0bf=#<;815l5+1b:90c2<3f;om7>5$501>4c53A>9=65rb2g:>5<5290;w)=j3;31f>N39h1C?km4$501>9>7?j2:J764=52;294~"4m:0:>o5G40c8L6`d3->9>77n;%3`i2.:o54;f59j54g=83.?>?4>2998k4bf290/8?<51d08L14632wi?hm50;094?6|,:o86<N4nj1/8?<59`9'5f>=0ei6lh0;6):=2;3f6>N3:810qo=je;296?6=8r.8i>4>2c9K04g<@:lh7):=2;;b?!7d03>m86g>1`83>!25:3;9465`1ec94?"3:;0:i?5G4338?xd4mo0;654?:1y'7`5=;>1C8o69k0;6):=2;31<>=n98i1<7*;23826==<3`;:i7>5$501>44?32c:=k4?:%616?75021b=?>50;&767<6:110e<<>:18'074=9;207b?ka;29 14528o976sm3d594?5=83:p(>k<:0fe?M26i2B8jn5+43095ac<,8i369h;;h32f?6=,=896<<7;:k25f<72->9>7?=8:9l5ag=83.?>?4>e398yg5a>3:1?7>50z&0a6<4:2B?=l5G3ga8 1452:;0(o69j0;6):=2;31<>=h9mk1<7*;2382a7=52;294~"4m:0:>o5G40c8L6`d3->9>77n;%3`i6lh0;6):=2;3f6>=z{h;1<79t^`3896`62k201>k;:c:896`72k201>h<:03b?85bm3;:m63;4m=02i63;4i=02i63;40902i63<808:a>;40;02i63<828:a>;40=02i63<848:a>;40?02i63<868:a>{t9m?1<7;t=53a>47?349n87oi;<1e5?ga349j87oi;<1e4?ga3ty8;:4?:3y]721<5:k36524d896>?2ko01>7>:03`?85?n3;:m637=>=jo16?4?510`896ge28;j70=j8;32e>{t;>k1<7;t=2:1>73a349357li;<1:7?76j278mn4>1`9>7`?=98k0q~=8b;291~;40:099k5239c9fc=:;0?1=47f349nm7?>a:p72e=83?p1>6;:37e?85?j3hm70=67;32f>;4il0:=l523da954g56z?0<0<5=o16?5m5bg9>7l6:03a?85fn3;:m63{t;>l1<7:t=2:4>73a3493i7li;<1a3?76j278n<4>1`9~w6?72908w0=79;`f?85>93;om63<92825f=z{:396=4<{<1;e?db3492?7?ka:?0=0<69j1v>7;:18085?j3hn70=65;3ge>;41>0:=n5rs2;5>5<4s493o7lj;<1:3?7ci278544>1b9~w6??2908w0=7d;`f?85>13;om63<9c825f=z{:3j6=4={<1;a?db3492n7?ka:p76?:c:896>a28nj7p}<9e83>7}:;1;1n55239:97gd52z?0<76de3ty8m<4?:3y>7=3=j116?5m53c`8yv5f:3:1>v3<878a<>;40m08no5rs2c0>5<5s493;7l7;<1;a?5ej2wx?l:50;1x96g32;?m70=m5;32e>;4j10:=l5rs2c6>5<5s49j87l7;<1b2?7ci2wx?l950;0x96g32h801>o7:0fb?xu4i00;68u23g6954d<5:k36d`9>7c0=98h0q~=na;296~;4ih0:hl523c0954d52z?0eg<6lh16?o<510a8yv5fk3:1>v3d`9>7g4=98o0q~=ne;296~;4il0:hl523c0954`52z?0ec<6lh16?o<51328yv5e83:1>v3d`9>7g4=9;80q~=m4;296~X4j=16?o;51ec8yv5e>3:1>vP7gg=9mk0q~=m7;296~;4j>0:hl523cc954d52z?0f=<6lh16?o7510a8yv5e13:1>v352z?0a1d`9~w6c12909w0=j6;3ge>;4mo0:=o5rs2g;>5<5s49n47?ka:?0ac<69j1v>k6:18185b13;om631d9~w6ce2909w0=jb;3ge>;4mo0:>=5rs2g`>5<5s49no7?ka:?0ac<69o1v>kk:18185bl3;om631b9~w6ca2909w0=jf;3ge>;4m>0:=o5rs2d3>5<5sW9m<631b9~w6`52909w0=i1;c1?85a<3;om6s|3g194?4|5:l86h7:0fb?xu4n?0;6?u23g495ag<5:l367<729q/?h=513`8L17f3A9mo6*;238:e>"6k10?j95f10c94?"3:;0:>554o0fb>5<#<;81=h<4H502?>{e9m>1<7<50;2x 6c4288i7E:>a:J0bf=#<;815l5+1b:90c2<3f;om7>5$501>4c53A>9=65rb51f>5<5290;w)=j3;31f>N39h1C?km4$501>9>7?j2:J764=i7>52;294~"4m:0:>o5G40c8L6`d3->9>77n;%3`i2.:o54;f59j54g=83.?>?4>2998k4bf290/8?<51d08L14632wi8?m50;194?6|,:o86><4H53b?M5ak2.?>?4<1:&2g=<3n=1b=0<729q/?h=5359K04g<@:lh7):=2;12?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554i03g>5<#<;81=?64;h32a?6=,=896<<7;:m2`d<72->9>7?j2:9~ff5=8381<7>t$2g0>44e3A>:m6F<4H53b?M5ak2.?>?4<1:&2g=<3n=1b=6<729q/?h=5339K04g<@:lh7):=2;12?!7d03>m86g>1c83>!25:3;9465f10a94?"3:;0:>554o0fb>5<#<;81=h<4;|`04c<72;0;6=u+3d1957d<@=;j7E=ic:&767<>i2.:o54;f59j54g=83.?>?4>2998k4bf290/8?<51d08L14632wi?<>50;094?6|,:o86<N4nj1/8?<59`9'5f>=0e:m6Fo69m0;6):=2;31<>=h9mk1<7*;2382a7=52;294~"4m:0:>o5G40c8L6`d3->9>77n;%3`i2.:o54;f59j54g=83.?>?4>2998k4bf290/8?<51d08L14632wi89950;094?6|,:o86<N4nj1/8?<59`9'5f>=0e29096=4?{%1f7?75j2B?=l5G3ga8 14520k0(i6lh0;6):=2;3f6>N3:810qo:4>2c9K04g<@:lh7):=2;;b?!7d03>m86g>1`83>!25:3;9465`1ec94?"3:;0:i?5G4338?xd3;k0;6>4?:1y'7`5=;;1C8o69k0;6):=2;31<>=n98i1<7*;23826==4?:383>5}#;l91=?l4H53b?M5ak2.?>?46a:&2g=<3n=1b=;:a062=8391<7>t$2g0>4ba3A>:m6Fo69j0;6):=2;31<>=h9mk1<7*;2382a7=3;946*>c987b1=n98k1<7*;23826==5}#;l91=?94H53b?M5ak2.?>?46a:J210=#9k<1=?64$0a;>1`33`;:m7>5$501>44?32e:hl4?:%616?7b:21vn9li:181>5<7s-9n?7?=7:J75d=O;oi0(9<=:8c8L4323-;i:7?=8:&2g=<3n=1b=7<729q/?h=51358L17f3A9mo6*;238:e>N6=<1/=o8513:8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>65rb5`5>5<5290;w)=j3;313>N39h1C?km4$501>7)?m6;31<>"6k10?j95f10c94?"3:;0:>554o0fb>5<#<;81=h<4;|`72<<72;0;6=u+3d19571<@=;j7E=ic:&767<>i2B:985+1c4957><,8i369h;;h32e?6=,=896<<7;:m2`d<72->9>7?j2:9~f11a29096=4?{%1f7?75?2B?=l5G3ga8 14520k0D<;:;%3a2?7502.:o54;f59j54g=83.?>?4>2998k4bf290/8?<51d08?xd3mj0;6?4?:1y'7`5=9;=0D9?n;I1eg>"3:;02m6F>549'5g0=9;20(i6lh0;6):=2;3f6>=zj=296=4=:183!5b;3;9;6F;1`9K7ce<,=8964o4H076?!7e>3;946*>c987b1=n98k1<7*;23826==52;294~"4m:0:>:5G40c8L6`d3->9>77n;I361>"6j?0:>55+1b:90c2<3f;om7>5$501>4c532wi88l50;094?6|,:o86<<8;I62e>N4nj1/8?<59`9K503<,8h=6<<7;%3`5<7s-9n?7?=7:J75d=O;oi0(9<=:8c8L4323-;i:7?=8:&2g=<3n=1b=0b83>7<729q/?h=51358L17f3A9mo6*;238:e>N6=<1/=o8513:8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>65rb5ge>5<5290;w)=j3;313>N39h1C?km4$501>7)?m6;31<>"6k10?j95f10c94?"3:;0:>554o0fb>5<#<;81=h<4;|`50?6=:3:1hl;%616??f3A;>96*>b7826==#9j218k:4i03b>5<#<;81=?64;n3ge?6=,=896i2B:985+1c4957><,8i369h;;h32e?6=,=896<<7;:m2`d<72->9>7?j2:9~f34=8381<7>t$2g0>4403A>:m6F44?3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e398yg0629096=4?{%1f7?75?2B?=l5G3ga8 14520k0D<;:;%3a2?7502.:o54;f59j54g=83.?>?4>2998k4bf290/8?<51d08?xd2n3:1>7>50z&0a6<6:>1C8{e=l0;6?4?:1y'7`5=9;=0D9?n;I1eg>"3:;02m6F>549'5g0=9;20(i6lh0;6):=2;3f6>=zja:J0bf=#<;815l5G1478 4d128837)?l8;6e0>o69h0;6):=2;31<>=h9mk1<7*;2382a7=3;946*>c987b1=n98k1<7*;23826==n7>52;294~"4m:0:>:5G40c8L6`d3->9>77n;I361>"6j?0:>55+1b:90c2<3f;om7>5$501>4c532wi9l4?:383>5}#;l91=?94H53b?M5ak2.?>?46a:J210=#9k<1=?64$0a;>1`33`;:m7>5$501>44?32e:hl4?:%616?7b:21vn8750;094?6|,:o86<<8;I62e>N4nj1/8?<59`9K503<,8h=6<<7;%3`5<7s-9n?7?=7:J75d=O;oi0(9<=:8c8L4323-;i:7?=8:&2g=<3n=1b=4>269K04g<@:lh7):=2;;b?M72=2.:n;4>299'5f>=0e7<729q/?h=51358L17f3A9mo6*;238:e>N6=<1/=o8513:8 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>65rb7a94?4=83:p(>k<:004?M26i2B8jn5+4309=d=O9m86g>1`83>!25:3;9465`1ec94?"3:;0:i?54}c4a>5<5290;w)=j3;313>N39h1C?km4$501>7)?m6;31<>"6k10?j95f10c94?"3:;0:>554o0fb>5<#<;81=h<4;|`5e?6=:3:1hl;%616??f3A;>96*>b7826==#9j218k:4i03b>5<#<;81=?64;n3ge?6=,=896i2B:985+1c4957><,8i369h;;h32e?6=,=896<<7;:m2`d<72->9>7?j2:9~f3>=8381<7>t$2g0>4403A>:m6F44?3-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e398yg0029096=4?{%1f7?75?2B?=l5G3ga8 14520k0D<;:;%3a2?7502.:o54;f59j54g=83.?>?4>2998k4bf290/8?<51d08?xd1>3:1>7>50z&0a6<6:>1C8{e><0;6?4?:1y'7`5=9;=0D9?n;I1eg>"3:;02m6F>549'5g0=9;20(i6lh0;6):=2;3f6>=zj?:1<7<50;2x 6c4288<7E:>a:J0bf=#<;815l5G1478 4d128837)?l8;6e0>o69h0;6):=2;31<>=h9mk1<7*;2382a7=52;294~"4m:0:>:5G40c8L6`d3->9>77n;I361>"6j?0:>55+1b:90c2<3f;om7>5$501>4c532wi?n650;094?6|,:o86<<8;I62e>N4nj1/8?<59`9K503<,8h=6<<7;%3`4>dc9K04g<@:lh7):=2;;b?M72=2.:n;4>e39'5f>=0e7<729q/?h=51e`8L17f3A9mo6*;238:e>N6=<1/=o851d08 4e?2=l?7d?>a;29 145288376a>d`83>!25:3;n>65rb5gb>5<5290;w)=j3;3gf>N39h1C?km4$501>7)?m6;3f6>"6k10?j95f10c94?"3:;0:>554o0fb>5<#<;81=h<4;|`7ff<72;0;6=u+3d195ad<@=;j7E=ic:&767<>i2B:985+1c495`4<,8i369h;;h32e?6=,=896<<7;:m2`d<72->9>7?j2:9~f1d329096=4?{%1f7?7cj2B?=l5G3ga8 14520k0D<;:;%3a2?7b:2.:o54;f59j54g=83.?>?4>2998k4bf290/8?<51d08?xd3ik0;6?4?:1y'7`5=9mh0D9?n;I1eg>"3:;02m6F>549'5g0=9l80(i6lh0;6):=2;3f6>=zj=<96=4=:183!5b;3;on6F;1`9K7ce<,=8964o4H076?!7e>3;n>6*>c987b1=n98k1<7*;23826==5}#;l91=il4H53b?M5ak2.?>?46a:J210=#9k<1=h<4$0a;>1`33`;:m7>5$501>44?32e:hl4?:%616?7b:21vn9:;:180>4<4s-9n?7?kc:J75d=O;oi0D<;:;%3a2?7b:2.:o54;f59j54g=831bo;4?::m2`d<722h?=h4?:283>5}#<;81?il4i03b>5<#<;81=?64;h1g3?6=,=896o:4;n3ge?6=,=896=z{8;j6=4={_32e>;39l0:=l5rsb494?4|Vj<019?j:2f4?xu6lh0;6?uQ1ec8917b28nj7psm44094?4=83:p(>k<:0fa?M26i2B8jn5+4309=d=O9m86g>1`83>!25:3;9465`1ec94?"3:;0:i?54}cc6>5<5290;w)=j3;3gf>N39h1C?km4$501>7)?m6;3f6>"6k10?j95f10c94?"3:;0:>554o0fb>5<#<;81=h<4;|`7ea<72;0;6=u+3d195ad<@=;j7E=ic:&767<>i2B:985+1c495`4<,8i369h;;h32e?6=,=896<<7;:m2`d<72->9>7?j2:9~f1e629086<4<{%1f7?7ck2B?=l5G3ga8L4323-;i:7?j2:&2g=<3n=1b=<3`9o;7>5$501>g2<3f;om7>5$501>4c53A9oo65rs03b>5<5sW;:m63;1d825d=z{j<1<7d`83>7}Y9mk019?j:0fb?x{e<0i1<7<50;2x 6c428ni7E:>a:J0bf=#<;815l5G1478 4d128o97)?l8;6e0>o69h0;6):=2;31<>=h9mk1<7*;2382a7=8o7>52;294~"4m:0:ho5G40c8L6`d3->9>77n;I361>"6j?0:i?5+1b:90c2<3f;om7>5$501>4c532wi8>h50;094?6|,:o86N4nj1/8?<59`9K503<,8h=64>dc9K04g<@:lh7):=2;;b?M72=2.:n;4>e39'5f>=0ek<:0fa?M26i2B8jn5+4309=d=O9m86g>1`83>!25:3;9465`1ec94?"3:;0:i?54}c6;0?6=;3;1?v*hl;I361>"6j?0:i?5+1b:90c2o4l>0;6):=2;`7?>i6lh0;6):=2;3f6>N4lj10q~?>a;296~X69h168:i7?ka:~f1b729086<4<{%1f7?7ck2B?=l5G3ga8L4323-;i:7?j2:&2g=<3n=1b=<3`9o;7>5$501>g2<3f;om7>5$501>4c53A9oo65rs03b>5<5sW;:m63;1d825d=z{j<1<7d`83>7}Y9mk019?j:0fb?x{e<>?1<7<50;2x 6c428ni7E:>a:J0bf=#<;815l5G1478 4d128o97)?l8;6e0>o69h0;6):=2;31<>=h9mk1<7*;2382a7=<;7>52;294~"4m:0:ho5G40c8L6`d3->9>77n;I361>"6j?0:i?5+1b:90c2<3f;om7>5$501>4c532wi88850;094?6|,:o86N4nj1/8?<59`9K503<,8h=64>dc9K04g<@:lh7):=2;;b?M72=2.:n;4>e39'5f>=0ek<:0fa?M26i2B8jn5+4309=d=O9m86g>1`83>!25:3;9465`1ec94?"3:;0:i?54}c130?6=:3:1hl;%616??f3A;>96*>b782a7=#9j218k:4i03b>5<#<;81=?64;n3ge?6=,=896t$2g0>4be3A>:m6F4c53-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e398yg4a>3:1>7>50z&0a6<6lk1C8{e:o:1<7<50;2x 6c428ni7E:>a:J0bf=#<;815l5G1478 4d128o97)?l8;6e0>o69h0;6):=2;31<>=h9mk1<7*;2382a7=52;294~"4m:0:ho5G40c8L6`d3->9>77n;I361>"6j?0:i?5+1b:90c2<3f;om7>5$501>4c532wi>h:50;094?6|,:o86N4nj1/8?<59`9K503<,8h=64>dc9K04g<@:lh7):=2;;b?M72=2.:n;4>e39'5f>=0ek<:0fa?M26i2B8jn5+4309=d=O9m86g>1`83>!25:3;9465`1ec94?"3:;0:i?54}c0g6?6=:3:1hl;%616??f3A;>96*>b782a7=#9j218k:4i03b>5<#<;81=?64;n3ge?6=,=896t$2g0>4be3A>:m6F4c53-;h47:i4:k25d<72->9>7?=8:9l5ag=83.?>?4>e398yg5b290:6=4?{%616?44j2B8jn5G13;8 4d128837)?la;01e>o5;k0;6):=2;00f>=zj:l1<7?50;2x 1452;9i7E=ic:J26<=#9k<1=?64$0ab>7553`88n7>5$501>75e32wi8=4?:083>5}#<;81>>l4H2d`?M7512.:n;4>299'5fg=9o:0e?=m:18'074=::h07pl;1;295?6=8r.?>?4=3c9K7ce<@8827)?m6;31<>"6kh0:j<5f22`94?"3:;09?o54}c61>5<6290;w):=2;00f>N4nj1C=?74$0`5>44?3-;hm7?i2:k17g<72->9>7<t$501>75e3A9mo6F>289'5g0=9;20({e<=0;6<4?:1y'074=::h0D>hl;I31=>"6j?0:>55+1bc95c251;294~"3:;09?o5G3ga8L44>3-;i:7?=8:&2gd<6n<1b>>l50;&767<5;k10qo:9:182>5<7s->9>7<!25:388n65rb5594?7=83:p(9<=:31a?M5ak2B:>45+1c4957><,8ij650z&767<5;k1C?km4H00:?!7e>3;946*>c`82b==n::h1<7*;23817g=j6=4>:183!25:388n6FN6:01/=o8513:8 4ef28li7d<4<729q/8?<522`8L6`d3A;956*>b7826==#9jk1=kk4i31a>5<#<;81>>l4;|`7a?6=93:1?4=3c98yg2a290:6=4?{%616?44j2B8jn5G13;8 4d128837)?la;00=>o5;k0;6):=2;00f>=zj<:1<7?50;2x 1452;9i7E=ic:J26<=#9k<1=?64$0ab>75f3`88n7>5$501>75e32wi9<4?:083>5}#<;81>>l4H2d`?M7512.:n;4>299'5fg=9ok0e?=m:18'074=::h07pl:2;295?6=8r.?>?4=3c9K7ce<@8827)?m6;31<>"6kh09<>5f22`94?"3:;09?o54}c70>5<6290;w):=2;00f>N4nj1C=?74$0`5>44?3-;hm79>7<t$501>75e3A9mo6F>289'5g0=9;20({e=<0;6<4?:1y'074=::h0D>hl;I31=>"6j?0:>55+1bc967751;294~"3:;09?o5G3ga8L44>3-;i:7?j2:&2gd<5911d>>l50;&767<5;k10qoo9:182>5<7s->9>7<!25:388n65rb`;94?7=83:p(9<=:31a?M5ak2B:>45+1c4957><,8ij650z&767<5;k1C?km4H00:?!7e>3;946*>c`82ba=n::h1<7*;23817g=51;294~"3:;09?o5G3ga8L44>3-;i:7?=8:&2gd<6no1b>>l50;&767<5;k10qo<90;295?6=8r.?>?4=3c9K7ce<@8827)?m6;3f6>"6kh09=>5`22`94?"3:;09?o54}c055?6=93:1?4=3c98yg41;3:1=7>50z&767<5;k1C?km4H00:?!7e>3;n>6*>c`815f=h::h1<7*;23817g=51;294~"3:;09?o5G3ga8L44>3-;i:7?j2:&2gd<5981d>>l50;&767<5;k10qo<95;295?6=8r.?>?4=3c9K7ce<@8827)?m6;3f6>"6kh09?4=3c98yg41?3:1=7>50z&767<5;k1C?km4H00:?!7e>3;n>6*>c`814f=h::h1<7*;23817g=51;294~"3:;09?o5G3ga8L44>3-;i:7?j2:&2gd<58l1d>>l50;&767<5;k10qo<99;295?6=8r.?>?4=3c9K7ce<@8827)?m6;3f6>"6kh09==5`22`94?"3:;09?o54}c05e?6=93:1?4=3c98yg41j3:1=7>50z&767<5;k1C?km4H00:?!7e>3;n>6*>c`8151=h::h1<7*;23817g=51;294~"3:;09?o5G3ga8L44>3-;i:7?j2:&2gd<59?1d>>l50;&767<5;k10qo=l3;295?6=8r.?>?4=3c9K7ce<@8827)?m6;31<>"6kh09=o5f22`94?"3:;09?o54}c1`0?6=93:1?4=3c98yg24?3:1=7>50z&767<5;k1C?km4H00:?!7e>3;n>6*>c`816`=h::h1<7*;23817g=8h7>51;294~"3:;09?o5G3ga8L44>3-;i:7?j2:&2gd<5:m1d>>l50;&767<5;k10qo:;1;295?6=8r.?>?4=3c9K7ce<@8827)?m6;31<>"6kh09<85f22`94?"3:;09?o54}c677?6=93:1?4=3c9K7ae<3th?9=4?:083>5}#<;81>>l4H2d`?M7512.:n;4>e39'5fg=:::0c?=m:18'074=::h07pl;5483>4<729q/8?<522`8L6`d3A;956*>b782a7=#9jk1>?<4o31a>5<#<;81>>l4;|`712<7280;6=u+430966d<@:lh7E?=9:&2f3<6:11/=no52348m75e290/8?<522`8?xd3=h0;6<4?:1y'074=::h0D>hl;I31=>"6j?0:>55+1bc96725}#<;81>>l4H2d`?M7512.:n;4>e39'5fg=:;90c?=m:18'074=::h07pl;5g83>4<729q/8?<522`8L6`d3A;956*>b782a7=#9jk1>=74o31a>5<#<;81>>l4;|`723<7280;6=u+430966d<@:lh7E?=9:&2f3<6m;1/=no52138k75e290/8?<522`8?xd3>10;6<4?:1y'074=::h0D>hl;I31=>"6j?0:>55+1bc967>5}#<;81>>l4H2d`?M7512.:n;4>e39'5fg=:;l0c?=m:18'074=::h07pl;7083>4<729q/8?<522`8L6`d3A;956*>b782a7=#9jk1>>=4o31a>5<#<;81>>l4;|`733<7280;6=u+430966d<@:lh7E?=9:&2f3<6m;1/=no52238k75e290/8?<522`8?xd3?l0;6<4?:1y'074=::h0D>hl;I31=>"6j?0:>55+1bc96635}#<;81>>l4H2d`?M7512.:n;4>299'5fg=:9>0e?=m:18'074=::h07pl;8283>4<729q/8?<522`8L6`d3A;956*>b782a7=#9jk1>?74o31a>5<#<;81>>l4H2f`?>{e<031<7?50;2x 1452;9i7E=ic:J26<=#9k<1=h<4$0ab>76f3f88n7>5$501>75e32wi8lo50;394?6|,=896?=m;I1eg>N6:01/=o851d08 4ef2;;n7b<45+1c495`4<,8ij6??i;n00f?6=,=896?=m;:a0dc=83;1<7>t$501>75e3A9mo6F>289'5g0=9l80({e7533`88n7>5$501>75e32wi8o950;394?6|,=896?=m;I1eg>N6:01/=o851d08 4ef2;:37b<45+1c495`4<,8ij6?>8;n00f?6=,=896?=m;:a0gc=83;1<7>t$501>75e3A9mo6F>289'5g0=9;20({e74e3f88n7>5$501>75e3A9oo65rb5ae>5<6290;w):=2;00f>N4nj1C=?74$0`5>4c53-;hm7<=5:m17g<72->9>7<n57>51;294~"3:;09?o5G3ga8L44>3-;i:7?j2:&2gd<5;?1d>>l50;&767<5;k10qo:jb;295?6=8r.?>?4=3c9K7ce<@8827)?m6;31<>"6kh09>:5f22`94?"3:;09?o54}c6fa?6=93:1?4=3c98yg5d>3:1=7>50z&767<5;k1C?km4H00:?!5ai39oh6*>b7826==#9jk1>?>4i31a>5<#<;81>>l4;|`0g2<72;0;6=u+4309=d=#;l91=?94$0`5>44?3-9mm7=kd:&04d<4lm1C?km4H076?!7d03>m86g>1`83>!25:3;9465`1ec94?"3:;0:i?54}c3g4?6=83:1j7;M1g=?5|,:nm6?=j;%1ga?44l2.8i=4=3g9~yg5b93:1<7>50z&0a6<4m81/=n654g68L6b?3E>987=t$2fe>7263-9oi7<;0:&0a5<5<;1vq~<9:181824=38>70:<9;32e>{t:>0;6?u2424960=:<:k1=5<5s4>8:7h522`8yv272908wS:?;<7;>47f34>;6?=m;|q75?6=;rT?=63:9;32e>;39388n6s|4383>6}Y<;169l4>1`9>07<5;k1v9=50;1xZ15<5?6=4<{_67?83d28;j70:;:31a?xu3=3:1?vP;5:?6`?76i27?97<75e3ty?57>53z\7=>;193;:m63;9;00f>{tuQ4`9>27<69h168l4=3c9~w1d=839pR9l4=71954g<5=h1>>l4}r6g>5<4sW>o708;:03b?82c2;9i7p};e;297~X3m27=97?>a:?7a?44j2wx8k4?:2y]0c=:>?0:=l524g817g=z{<:1<7=t^428931=98k018>522`8yv362908wS;>;<4;>47f34?:6?=m;|q66?6=;rT>>6399;32e>;2:388n6s|5283>6}Y=:16:l4>1`9>16<5;k1v8:50;1xZ02<5?h1=6=4<{_76?80d28;j70;::31a?xu2>3:1?v3;2g8`?82a93i018851ec8yv302908w0:=f;f891`62m169:4>d`9~w0>=839p190c7=m27>47?ka:p1<<72:q68?h5f:?7b4k4>0:?7b4<6827>m7?ka:p1g<72:q68?h5109>0c7=98169o4>d`9~w0e=839p19;3n80:863:e;3ge>{t=o0;6>u243d950=:40<5?:1=io4}r42>5<4s4>9j7?8;<6e5?7034<:6k4>9:?7b4<6127=>7?ka:p26<72;q68?h51`9>26<6lh1v;:50;0x914a28i01;:51ec8yv022909w0:i1;3g?80228nj7p}96;296~;3n80:i6396;3ge>{t>>0;6?u24g395c=:>>0:hl5rs7:94?4|5=l:6?>4=7:95ag07`=::168k?5229>2g<6lh1v;m50;1x914a2;>019h>:36893e=9mk0q~8k:186825n33{ti=0;6>uQa59>e0<6lh16m94=3c9~wd3=839p1l9510c896e?28nj70o::03b?xuf>3:1?vPn6:?bd`9>e=<69h1vl750;1xZd?<5hk1=;fj388n6s|ab83>a}:;8815h523079=`=:;8<15h521b19=`=:9j<15h52c18:a>;48m02i63;2g8b7>;3n80j?63;378b7>;3;90:=<5243g9547<5hi1=io4}rcg>5<4sWko70oj:03b?8gc2;9i7p}ne;296~;3;<0j<63ne;3ge>{tk90;6>u2c1811c=:<::1o=5224g954gd4<5j?1=io4}ra1>5<5s4i9647e3tyh?7>52z?`7?7ci27h87?>c:pg1<72;q6o94>d`9>g0<69j1v<>m:180[77j27:1`9>55d=::h0q~??c;296~;3;<0h:63>0b82`d=z{8886=4={<61b?75;27??=4>229~w44c2909w0:<6;31`>;3;<0:>i5rs060>5<5s4>8:7?;3:?770<6<:1v<;9:181825n3;>:63;378213=z{8?o6=4={<602?72l27??84>669~w40?2909w0:=f;35<>;3;?0::55rs04g>5<5s4>8:7?9d:?770<6>m1v<9n:181824>3;c09~w4e42908w0?l3;06b>;6k?0i463l2;32a>{t9j>1<7g><58i>6521e695ag53z?75g<69116o=4nf:?04ah7>52z?770<5=m16>8k51ec8yv4183:1?vP=619>6fg=9mk01?8?:31a?xu5>80;6>uQ273897b728nj70<91;00f>{t:?91<7=t^340?84c93;om63=62817g=z{;;5l;0:hl52276966d53z\120=::m21=io4=346>75e3ty9:;4?:2y]630<5;nn6;950;1xZ700348n87?ka:?122<5;k1v?87:180[410279il4>d`9>63>=::h0q~<99;297~X5>016>k>51ec8970>2;9i7p}=6`83>6}Y:?k01?h9:0fb?841i388n6s|27`94?5|V;;5>k09?o5rs34`>5<4sW8=o63<0582`d=::?i1>>l4}r05a?6=:r7?>k4=6d9>0c7=:?o0q~52z?7b4<5>816>i>510c8yv4c93:1>v3;f08126=::m;1=6a4=98k0q~52z?7b4<5>?16>ik510c8yv4b<3:1>v3;f08122=::l>1=6`g=98k0q~52z?7b4<5>h16>k8510c8yv4ak3:1>v3;f0812g=::oi1=752=98k0q~=?d;296~;48m099k52c2825d=z{::n6=4={<13`?g5349:=7?ka:p75`=838p1>>i:0fb?85693;:n6s|30294?4|5:;;65;`;?8e528;h70=>0;32e>{t;891<7g><5:;?66}:;8<1>8h4=b0954b<5:;:67}:<:<1?8h4=513>6023ty8;?4?:3y>0c7=;0;6?u24g39721<5=8n6>98;|q0f1<72;q68k?53c68914b2:h?7p}7}:6d13ty8nn4?:3y>07`=;ki019uQ3b1896e?28;j70=l3;00f>{t;j>1<7=t^2a7?85d13;:m63d`9~w6ef2909w0:=f;1`e>;3;:0:=l5rs2g7>5<5s4>9i7=j4:?771<69k1v>h?:181825m39m<63;2b825g=z{=8j6=4={<604?1534>9o7?ka:p07d=838p198n;<600?7ci2wx8><50;0x915428nj70:<4;32g>{t<:=1<7=t^514?824k3;om63;36817g=z{=936=4={<60=?7ci27??o4>1c9~w15>2909w0:;3;k0:=n5rs51`>5<5s4>8n7?ka:?77f<69h1v9=k:180[24l27??k4>d`9>06b=::h0q~:?=7>53z\704=:<=81=75e3ty?8?4?:5y>0c7=<=:019=9:563?82483>?<63;4382`d=z{=>86=4<{_677>;3<=0:hl52451966d?:7>56z?70027?8:4>d`9>012=k?168n?5c79>0=2=k?168i>5c79~w12?2908w0:;5;32e>;3;<0?8l52456954g><7>53z\715=:<<81=io4=573>75e3ty?9?4?:2y>063=<<:019:8:03b?822:3;:m6s|44794?5|V=?>70::6;3ge>;3=<09?o5rs575>5<5s4>8<7::3:?713<69h1v9;8:180[22?27?954>1`9>001=::h0q~::8;296~;3;<0?9>5244:95ag>m7>53z\71d=:<75e3ty?9o4?:3y>063=<<3019;m:0fb?xu3=m0;6>uQ44f8913b28nj70::d;00f>{t<13d34>>i7?>a:p00`=839pR9;i;<656?7ci27?9k4=3c9~w1052909w0:i1;66b>;3>;0:=l5rs545>5<4sW>=:63;6682`d=:>l4}r653?6=:r7??=4;649>031=98k0q~:98;297~X3>1168;7510c8910?2;9i7p};6883>7}:<:?18;;4=54:>4bf3ty?:i4?:2y]03b<5={t<>;1<7=t^552?820=3;om63;70817g=z{==>6=4={<602?20927?;84>1`9~w1112908wS:86:?732<6lh168:8522`8yv20?3:1>v3;348733=:<>=1=6}Y<1;0196=:03b?82?9388n6s|49094?5|5=l:696?;<602?2?827?4?4>d`9~w1>42908wS:73:?7<1<6lh1685=522`8yv2?=3:1>v3;3487<5=:<1>1=6}Yjh7?ka:?7ef<5;k1v9ok:18182a93>h;63;ae825d=z{=kn6=4<{_6ba>;3j=0:hl524`g966di87>52z?7b4<3il168o:510c8yv2e=3:1?vP;b49>0g0=98k019l::31a?xu3j?0;69u243d90g3<5=9>69l:;<120?76i27?n;4>d`9~w1d02908wS:m7:?7f<<6lh168o9522`8yv2e13:1>v3;f087f2=:6}Yd`9~w1e72908wS:l0:?7g4<6lh168n>522`8yv2d:3:1>v3;3487fa=:;54bg891b728;j7p};e883>6}Yno7?>a:?7ag<5;k1v9kl:181824=3>n:63;eb82`d=z{=on6=4<{_6fa>;3mo0:=l524dg966dnj7>54z?76c<3mm168k?54df891512=oo70:jf;3ge>{t;j<1<7=t^2a5?85d>388n63{<1`3?7ci2wvb<6<2;290~N4nj1vb<6<3;290~N4nj1vb<6<4;297~N4nj1vb<6<5;295~N4nj1B>>l51z39y_76>3;p=no5}|l2<60=83;pD>hl;H00f?7|93wQ=<851z3`e?{zf828;7>51zJ0bf=N::h1=v?5}[322?7|9jk1qp`>82:94?7|@:lh7D<413:1=vF6;3x5fg=utd:4>o50;3xL6`d3@88n7?t1;Y540=9r;hm7srn0:0f?6=9rB8jn5F22`95~7=uS;::7?t1bc9yxh60:i1<7?tH2d`?L44j3;p=7sU10495~7di3wvb<6>l51z39y_76>3;p=no5}|l2<6c=83;pD>hl;H00f?7|93wQ=<851z3`e?{zf828j7>51zJ0bf=N::h1=v?5}[322?7|9jk1qp`>85294?7|@:lh7D<393:1=vF6;3x5fg=utd:49<50;3xL6`d3@88n7?t1;Y540=9r;hm7srn0:77?6=9rB8jn5F22`95~7=uS;::7?t1bc9yxh60=>1<7?tH2d`?L44j3;p=7sU10495~7di3wvb<6;5;295~N4nj1B>>l51z39y_76>3;p=no5}|l2<10=83;pD>hl;H00f?7|93wQ=<851z3`e?{zf82?;7>51zJ0bf=N::h1=v?5}[322?7|9jk1qp`>85:94?7|@:lh7D<313:1=vF6;3x5fg=utd:49o50;3xL6`d3@88n7?t1;Y540=9r;hm7srn0:7f?6=9rB8jn5F22`95~7=uS;::7?t1bc9yxh60=i1<7=tH2d`?xh60=n1<7=tH2d`?xh60=o1<7=tH2d`?xh60=l1<7=tH2d`?xh60<:1<7=tH2d`?xh60<;1<7=tH2d`?xh60<81<7=tH2d`?xh60<91<7=tH2d`?xh60<>1<7=tH2d`?xh60{i91{i91<>6=4>{I1eg>O5;k0:w?4rZ035>4}6kh0vqc?76783>4}O;oi0qc?76683>4}O;oi0E?=m:0y1>x\69?0:w290:wE=ic:K17g<6s80vV>jn:0yb=?{]98<1>v=ka;3`e?{zf82=m7>52byK7ce:|X0`d<6shh1qW?>6;0x7ag=9jk1qp`>87a94?3>sA9mo6sa194g>5<6sA9mo6G=3c8241m3:1>vF1n3:1?vF083:1>vF093:1=vF0:3:1=vF0;3:1=vF0<3:1=vF6;3x5fg=utd:4:;50;6xL6`d3td:4:850;1xL6`d3td:4:950;5xL6`d3td:4:650;7xL6`d3td:4:750;1xL6`d3td:4:o50;6xL6`d3td:4:l50;1xL6`d3td:4:m50;5xL6`d3td:4:j50;6xL6`d3td:4:k50;6xL6`d3td:4:h50;7xL6`d3td:45>50;1xL6`d3td:45?50;1xL6`d3td:45<50;0xL6`d3td:45=50;1xL6`d3td:45:50;1xL6`d3td:45;50;0xL6`d3td:45850;1xL6`d3td:45950;3xL6`d3@88n7?t2;Y540=9r;hm7srn0:;7sU10495~7di3wvb<67a;295~N4nj1B>>l51z09y_76>3;p=no5}|l2<=d=83;pD>hl;H00f?7|:3wQ=<851z3`e?{zf823o7>51zJ0bf=N::h1=v<5}[322?7|9jk1qp`>89f94?7|@:lh7D<?m3:1=vF6;3x5fg=utd:45h50;3xL6`d3@88n7?t2;Y540=9r;hm7srn0::4?6=9rB8jn5F22`95~4=uS;::7?t1bc9yxh600;1<7?tH2d`?L44j3;p>7sU10495~7di3wvb<662;295~N4nj1B>>l51z09y_76>3;p=no5}|l2<<5=839pD>hl;|l2<<2=838pD>hl;|l2<<1=838pD>hl;|l2<<>=838pD>hl;|l2<hl;|l2<hl;|l2<hl;|l2<hl;|l2<hl;|l2<hl;|l2<<`=838pD>hl;|l2hl;|l2hl;|l2hl;|l2hl;|l2hl;|l2hl;|l2hl;|l2hl;|l2=839pD>hl;|l2hl;|l2hl;|l2hl;|l2hl;|l2hl;|l2hl;|l2hl;H00f?7|93wQ=<851z3`e?{zf82i<7>51zJ0bf=N::h1=v?5}[322?7|9jk1qp`>8c394?7|@:lh7p`>8c094?4|@:lh7p`>8c194?5|@:lh7p`>8c694?5|@:lh7p`>8c794?4|@:lh7p`>8c494?7|@:lh7p`>8c594?4|@:lh7p`>8c:94?7|@:lh7p`>8c;94?7|@:lh7D<ei3:1=vFej3:1=vFek3:1=vFel3:1=vF6;3x5fg=utd:4ok50;3xL6`d3td:4oh50;3xL6`d3@88n7?t1;Y540=9r;hm7srn0:`4?6=1<7?tH2d`?L44j3;p>7sU10495~7di3wvb<6l5;296~N4nj1vb<6l6;295~N4nj1B>>l51z09y_76>3;p=no5}|l2hl;|l2=83;pD>hl;H00f?7|93wQ=<851z3`e?{zf82h57>52zJ0bf=zf82hm7>51zJ0bf=N::h1=v?5}[322?7|9jk1qp`>8b`94?4|@:lh7p`>8ba94?7|@:lh7D<dm3:1=vF6;3x5fg=utd:4nh50;6xL6`d3td:4i>50;3xL6`d3@88n7?t2;Y540=9r;hm7srn0:g5?6=;rB8jn5rn0:g6?6=9rB8jn5F22`95~7=uS;::7?t1bc9yxh60m91<71<7?tH2d`?L44j3;p>7sU10495~7di3wvb<6k5;290~N4nj1vb<6k6;295~N4nj1B>>l51z09y_76>3;p=no5}|l2hl;|l2=83;pD>hl;H00f?7|:3wQ=<851z3`e?{zf82o57>54zJ0bf=zf82om7>51zJ0bf=N::h1=v?5}[322?7|9jk1qp`>8e`94?7|@:lh7p`>8ea94?7|@:lh7D<cl3:1?vFcm3:1>vF6;3x5fg=utd:4ih50;7xL6`d3td:4h>50;3xL6`d3@88n7?t2;Y540=9r;hm7srn0:f5?6=:rB8jn5rn0:f6?6=9rB8jn5F22`95~4=uS;::7?t1bc9yxh60l91<71<7?tH2d`?L44j3;p>7sU10495~7di3wvb<6j5;296~N4nj1vb<6j6;295~N4nj1B>>l51z09y_76>3;p=no5}|l2<`1=838pD>hl;|l2<`>=83;pD>hl;H00f?7|93wQ=<851z3`e?{zf82n57>53zJ0bf=zf82nm7>51zJ0bf=N::h1=v<5}[322?7|9jk1qp`>8d`94?4|@:lh7p`>8da94?7|@:lh7D<bl3:1>vFbm3:1=vF6;3x5fg=utd:4hh50;1xL6`d3td:4k>50;0xL6`d3@88n7?t3;Y540=9r;hm7srn0:e5?6==rB8jn5rn0:e6?6=:rB8jn5F22`95~5=uS;::7?t1bc9yxh60o91<7:tH2d`?xh60o>1<7?tH2d`?L44j3;p>7sU10495~7di3wvb<6i5;296~N4nj1vb<6i6;295~N4nj1B>>l51z39y_76>3;p=no5}|l2hl;|l2=83;pD>hl;H00f?7|93wQ=<851z3`e?{zf82m57>55zJ0bf=zf;2m6=4>{I1eg>{i:0:1<7?tH2d`?xh51;0;6;3:1=vFhl;|l1=3<728qC?km4}o0:5<6sA9mo6sa28c94?7|@:lh7p`=9b83>7}O;oi0qc<6d;296~N4nj1vb?7i:182M5ak2we>l>50;3xL6`d3td9m<4?:0yK7ce7>51zJ0bf=zf;k86=4>{I1eg>{i:h>1<7?tH2d`?xh5i<0;6hl;|l1ed<728qC?km4}o0bf?6=9rB8jn5rn3c`>5<6sA9mo6sa2`f94?7|@:lh7p`=ad83>4}O;oi0qco?50;3xL6`d3td9n?4?:0yK7ce51zJ0bf=zf;h>6=4>{I1eg>{i:k<1<7?tH2d`?xh5j>0;6hl;|l1ff<728qC?km4}o0a`?6=9rB8jn5rn3`f>5<6sA9mo6sa2cd94?7|@:lh7p`=c183>4}O;oi0qcn=50;3xL6`d3td9o94?:0yK7ce51zJ0bf=zf;i=6=4>{I1eg>{i:j=1<7?tH2d`?xh5k10;6hl;|l1gf<728qC?km4}o0``?6=;rB8jn5rn3af>5<5sA9mo6sa2bd94?4|@:lh7p`=d183>4}O;oi0qci=50;3xL6`d3td9h94?:0yK7ce52zJ0bf=zf;n=6=4={I1eg>{i?;31<7=tH2d`?xh0:k0;6>uG3ga8yk15k3:1>vFhl;|l46c<728qC?km4}o504?6=9rB8jn5rn612>5<6sA9mo6sa72094?7|@:lh7p`84183>4}O;oi0qc9;1;297~N4nj1vb::=:180M5ak2we;9=50;3xL6`d3td<894?:0yK7ce51zJ0bf=zf>>=6=4>{I1eg>{i?==1<7?tH2d`?xh0<10;6hl;|l40f<728qC?km4}o57`?6=9rB8jn5rn66f>5<6sA9mo6sa75d94?7|@:lh7p`85183>4}O;oi0qc9:1;295~N4nj1vb:;=:182M5ak2we;8=50;3xL6`d3td<994?:0yK7ce97>52zJ0bf=zf>?=6=4={I1eg>{i?<=1<7?tH2d`?xh0=10;6hl;|l41f<728qC?km4}o56`?6=9rB8jn5rn67f>5<6sA9mo6sa74d94?7|@:lh7p`86183>4}O;oi0qc991;295~N4nj1vb:8=:180M5ak2we;;=50;1xL6`d3td<:94?:0yK7ce51zJ0bf=zf><=6=4>{I1eg>{i??=1<7?tH2d`?xh0>10;6hl;|l42f<728qC?km4}o55`?6=9rB8jn5rn64f>5<6sA9mo6sa77d94?7|@:lh7p`87183>4}O;oi0qc981;295~N4nj1vb:9=:182M5ak2we;:=50;1xL6`d3td<;94?:2yK7ce51zJ0bf=zf>==6=4>{I1eg>{i?>=1<7?tH2d`?xh0?10;6hl;|l43f<728qC?km4}o54`?6=9rB8jn5rn65f>5<6sA9mo6sa76d94?7|@:lh7p`88183>4}O;oi0qc971;295~N4nj1vb:6=:182M5ak2we;5=50;3xL6`d3td<494?:0yK7ce51zJ0bf=zf>2=6=4>{I1eg>{i?1=1<7?tH2d`?xh0010;6f290:wE=ic:m3=d=83;pD>hl;|l45<6sA9mo6sa79d94?7|@:lh7p`89183>4}O;oi0qc961;295~N4nj1vb:7=:182M5ak2we;4=50;3xL6`d3td<594?:0yK7ce51zJ0bf=zf>3=6=4>{I1eg>{i?0=1<7?tH2d`?xh0110;613:1=vFhl;|l4=f<728qC?km4}o5:`?6=9rB8jn5rn6;f>5<6sA9mo6sa78d94?7|@:lh7p`8a183>4}O;oi0qc9n1;295~N4nj1vb:o=:182M5ak2we;l=50;3xL6`d3td51zJ0bf=zf>k=6=4>{I1eg>{i?h=1<7?tH2d`?xh0i10;6hl;|l4ef<728qC?km4}o5b`?6=9rB8jn5rn6ce>5<4sA9mo6sa7c294?5|@:lh7p`8b083>4}O;oi0qc9m2;295~N4nj1vb:l<:182M5ak2we;o:50;3xL6`d3td51zJ0bf=zf>h<6=4>{I1eg>{i?k21<7?tH2d`?xh0j00;6hl;|l4fa<728qC?km4}o5aa?6=;rB8jn5rn6`e>5<4sA9mo6sa7b294?7|@:lh7p`8c083>4}O;oi0qc9l2;295~N4nj1vb:m<:182M5ak2we;n:50;3xL6`d3td51zJ0bf=zf>i<6=4>{I1eg>{i?j21<7?tH2d`?xh0k00;6hl;|l4ga<728qC?km4}o5`a?6=9rB8jn5rn6ae>5<6sA9mo6sa7e294?7|@:lh7p`8d083>4}O;oi0qc9k2;297~N4nj1vb:j<:180M5ak2we;i:50;3xL6`d3td51zJ0bf=zf>n<6=4>{I1eg>{i?m21<7?tH2d`?xh0l00;6hl;|l4`a<728qC?km4}o5gb?6=9rB8jn5rn6g0>5<4sA9mo6sa7d494?5|@:lh7p`8e683>6}O;oi0qc9ja;295~N4nj1vb:km:182M5ak2we;hm50;3xL6`d3td51zJ0bf=zf>l:6=4>{I1eg>{i?o81<7?tH2d`?xh0n:0;6290:wE=ic:m3cg=83;pD>hl;|l4bg<728qC?km4}o5eg?6=9rB8jn5rn`d0>5<5sA9mo6saag494?4|@:lh7p`nf683>6}O;oi0qcoi8;295~N4nj1vblh6:182M5ak2wemko50;3xL6`d3tdjjo4?:0yK7ce51zJ0bf=zfhln6=4<{I1eg>{iiol1<7=tH2d`?xhe880;6>uG3ga8ykd7=3:1>vFhl;|la4=<728qC?km4}o`3=?6=9rB8jn5rnc2b>5<5sA9mo6sab1`94?4|@:lh7p`m0d83>6}O;oi0qcl?f;295~N4nj1vbo??:182M5ak2wen51zJ0bf=zfk;?6=4>{I1eg>{ij8?1<7?tH2d`?xhe9?0;62909wE=ic:mf4g=83;pD>hl;|la5g<728qC?km4}o`2g?6=9rB8jn5rnc3g>5<5sA9mo6sab0g94?7|@:lh7p`m1g83>4}O;oi0qcl=0;295~N4nj1vbo<>:182M5ak2wen?<50;3xL6`d3tdi>>4?:0yK7ce52zJ0bf=zfk8>6=4>{I1eg>{ij;<1<7?tH2d`?xhe:>0;6290:wE=ic:mf7g=838pD>hl;|la6g<72;qC?km4}o`1g?6=9rB8jn5rnc0f>5<5sA9mo6sab3d94?7|@:lh7p`m3183>4}O;oi0qcl<1;295~N4nj1vbo==:182M5ak2wen>=50;0xL6`d3tdi?94?:3yK7ce56zJ0bf=zfk9=6=4;{I1eg>{ij:=1<7ltH2d`?xhe;10;6?uG3ga8ykd413:1>vFhl;|la7f<728qC?km4}o`0`?6=9rB8jn5rnc1f>5<6sA9mo6sab2d94?7|@:lh7p`m4183>4}O;oi0qcl;1;295~N4nj1vbo:=:180M5ak2wen9=50;1xL6`d3tdi894?:0yK7ce53zJ0bf=zfk>=6=4<{I1eg>{ij==1<7?tH2d`?xhe<10;6hl;|la0f<728qC?km4}o`7`?6=9rB8jn5rnc6f>5<6sA9mo6sab5d94?7|@:lh7p`m5183>4}O;oi0qcl:1;295~N4nj1vbo;=:182M5ak2wen8=50;3xL6`d3tdi994?:4yK7ce:7>51zJ0bf=zfk?<6=4>{I1eg>{ij<21<7?tH2d`?xhe=k0;6hl;|l`e7<72:qC?km4}oab0?6=9rB8jn5rnbc6>5<6sA9mo6sac`594?4|@:lh7p`la983>7}O;oi0qcmn9;295~N4nj1vbnom:181M5ak2weolm50;0xL6`d3tdhmi4?:0yK7ce51zJ0bf=zfjkm6=4>{I1eg>{ikk:1<7?tH2d`?xhdj80;6=838pD>hl;|l`f<<728qC?km4}oaaf?6=:rB8jn5rnb``>5<5sA9mo6saccf94?7|@:lh7p`lbg83>7}O;oi0qcml0;296~N4nj1vbnm>:182M5ak2weon<50;3xL6`d3tdho>4?:0yK7ce51zJ0bf=zfji>6=4={I1eg>{ikj<1<70;6>uG3ga8yked03:1=vF290:wE=ic:mgfg=83;pD>hl;|l`gg<728qC?km4}oa`g?6=9rB8jn5rnbag>5<6sA9mo6sace394?4|@:lh7p`ld383>4}O;oi0qcmk4;295~N4nj1vb<=>8;297~N4nj1vb<=>9;295~N4nj1vb<=>a;295~N4nj1vb<=>b;295~N4nj1vb<=>c;295~N4nj1vb<=>d;295~N4nj1vb<=>e;297~N4nj1vb<=>f;2956}O;oi0qc?<2183>6}O;oi0qc?<2083>0}O;oi0qc?<2383>7}O;oi0qc?<2283>7}O;oi0qc?<2583>7}O;oi0qc?<2483>4}O;oi0qc?<2783>0}O;oi0qc?<2683>6}O;oi0qc?<2983>6}O;oi0qc?<2c83>6}O;oi0qc?<2b83>6}O;oi0qc?<2e83>0}O;oi0qc?<2g83>1}O;oi0qc?<3183>6}O;oi0qc?<3083>4}O;oi0qc?<3383>4}O;oi0qc?<3283>4}O;oi0qc?<3583>4}O;oi0qc?<3483>4}O;oi0qc?<3783>1}O;oi0qc?<3683>6}O;oi0qc?<3983>4}O;oi0qc?<3883>1}O;oi0qc?<3`83>6}O;oi0qc?<3c83>4}O;oi0qc?<3b83>1}O;oi0qc?<3e83>6}O;oi0qc?<3d83>4}O;oi0qc?<3g83>1}O;oi0qc?<4183>6}O;oi0qc?<4083>4}O;oi0qc?<4383>7}O;oi0qc?<4283>7}O;oi0qc?<4583>7}O;oi0qc?<4483>4}O;oi0qc?<4783>4}O;oi0qc?<4683>4}O;oi0qc?<4983>4}O;oi0qc?<4883>4}O;oi0qc?<4`83>4}O;oi0qc?<4c83>4}O;oi0qc?<4b83>4}O;oi0qc?<4e83>4}O;oi0qc?<4d83>4}O;oi0qc?<4g83>4}O;oi0qc?<5183>4}O;oi0qc?<5083>7}O;oi0qc?<5383>7}O;oi0qc?<5283>7}O;oi0qc?<5583>7}O;oi0qc?<5483>6}O;oi0qc?<5783>6}O;oi0qc?<5683>4}O;oi0qc?<5983>4}O;oi0qc?<5883>4}O;oi0qc?<5`83>4}O;oi0qc?<5c83>4}O;oi0qc?<5b83>0}O;oi0qc?<5e83>6}O;oi0qc?<5d83>6}O;oi0qc?<6183>4}O;oi0qc?<6083>4}O;oi0qc?<6383>4}O;oi0qc?<6283>4}O;oi0qc?<6583>4}O;oi0qc?<6483>4}O;oi0qc?<6783>4}O;oi0qc?<6683>4}O;oi0qc?<6983>4}O;oi0qc?<6883>4}O;oi0qc?<6`83>6}O;oi0qc?<6c83>4}O;oi0qc?<6b83>4}O;oi0qc?<6e83>4}O;oi0qc?<6d83>4}O;oi0qc?<6g83>4}O;oi0qc?<7183>4}O;oi0qc?<7083>4}O;oi0qc?<7283>6}O;oi0qc?<7583>4}O;oi0qc?<7483>4}O;oi0qc?<7783>4}O;oi0qc?<7683>4}O;oi0qc?<7983>4}O;oi0qc?<7883>4}O;oi0qc?<7`83>4}O;oi0qc?<7c83>4}O;oi0qc?<7b83>4}O;oi0qc?<7e83>4}O;oi0qc?<7d83>4}O;oi0qc?<7g83>4}O;oi0qc?<8183>4}O;oi0qc?<8283>6}O;oi0qc?<8583>6}O;oi0qc?<8483>6}O;oi0qc?<8783>4}O;oi0qc?<8683>4}O;oi0qc?<8983>4}O;oi0qc?<8883>6}O;oi0qc?<8`83>6}O;oi0qc?<8c83>4}O;oi0qc?<8b83>4}O;oi0qc?<8e83>4}O;oi0qc?<8d83>4}O;oi0qc?<8g83>4}O;oi0qc?<9183>4}O;oi0qc?<9083>4}O;oi0qc?<9383>4}O;oi0qc?<9283>4}O;oi0qc?<9583>4}O;oi0qc?<9483>4}O;oi0qc?<9783>4}O;oi0qc?<9683>4}O;oi0qc?<9983>4}O;oi0qc?<9883>6}O;oi0qc?<9`83>6}O;oi0qc?<9c83>4}O;oi0qc?<9b83>4}O;oi0qc?<9e83>4}O;oi0qc?<9d83>4}O;oi0qc?<9g83>4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?6}O;oi0qc?4}O;oi0qc?6}O;oi0qc?6}O;oi0qc?0}O;oi0qc?6}O;oi0qc?6}O;oi0qc?0}O;oi0qc?6}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?6}O;oi0qc?6}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?6}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?6}O;oi0qc?7}O;oi0qc?7}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?4}O;oi0qc?91283>0}O;oi0qc?91483>1}O;oi0qc?91783>1}O;oi0qc?91683>1}O;oi0qc?91983>1}O;oi0qc?91883>1}O;oi0qc?91`83>1}O;oi0qc?91c83>1}O;oi0qc?91b83>1}O;oi0qc?91e83>7}O;oi0qc?91d83>7}O;oi0qc?91g83>7}O;oi0qc?92183>7}O;oi0qc?92083>7}O;oi0qc?92383>7}O;oi0qc?92283>6}O;oi0qc?92583>1}O;oi0qc?92483>1}O;oi0qc?92783>1}O;oi0qc?92683>1}O;oi0qc?92983>1}O;oi0qc?92883>1}O;oi0qc?92`83>1}O;oi0qc?92c83>7}O;oi0qc?92b83>7}O;oi0qc?92e83>7}O;oi0qc?92d83>4}O;oi0qc?92g83>4}O;oi0qc?93183>4}O;oi0qc?93083>4}O;oi0qc?93383>4}O;oi0qc?93283>4}O;oi0qc?93583>4}O;oi0qc?93483>4}O;oi0qc?93783>4}O;oi0qc?93883>4}O;oi0qc?93`83>4}O;oi0qc?93c83>4}O;oi0qc?93e83>7}O;oi0qc?93d83>4}O;oi0qc?93g83>4}O;oi0qc?94183>4}O;oi0qc?94083>4}O;oi0qc?94383>4}O;oi0qc?94283>4}O;oi0qc?94583>4}O;oi0qc?94483>4}O;oi0qc?94783>4}O;oi0qc?94983>7}O;oi0qc?94883>7}O;oi0qc?94`83>4}O;oi0qc?94c83>7}O;oi0qc?94b83>4}O;oi0qc?94e83>4}O;oi0qc97:180xh0:3:1=vsa9683>6}zf0;1<7?t}o`5>5<4stdi<7>51zm`0<72:qvbnh50;3xyk`32908wp`je;295~{i9931<7?t}o32a?6=9rwe=8650;3xyk71l3:1=vsa33694?7|ug9857>51zm70>=839pqc=:2;295~{i;>=1<7=t}o145?6=9rwe?4850;1xyk5>83:1=vsa3c794?5|ug9jj7>51zm7a2=839pqc=le;295~{i;o91<7=t}o1f`?6=9rwe8<<50;1xyk27k3:1=vsa42394?5|ug>9n7>51zm006=839pqc:;a;295~{i0;21<7?t}o:0`?6=9rwe48<50;3xyk>1?3:1=vsa89494?5|ug23<7>51zm1<7=t}o:aa?6=9rwe4h=50;1xyk>cl3:1=vsa91094?5|ug2mo7>51zm=41=83;pqc7=c;295~{i1=;1<7?t}o;62?6=9rwe5;l50;3xyk??83:1=vsa98d94?5|ug3257>51zm=gc=839pqc7m8;295~{ij1>1<7?t}o`:=?6=9rwenlk50;3xykdd;3:1=vsabe:94?7|ughnh7>51zmg54=83;pqcm=1;297~{ik8h1<7?t}of31?6=9rweh47>53zm`04=83;pqcj87;297~{il>;1<7?t}of:2?6=;rweh4>50;3xykbe=3:1?vsad`d94?7|ugno87>53zm`fc=83;pqcji3;297~{illn1<7?t}og26?6=;rwei=m50;3xykc493:1?vsae3`94?7|ugo><7>53zma1g=83;pqck9f;297~{im?31<7?t}og;a?6=;rwei5650;3xykcfl3:1?vsae`594?7|ugoho7>53zmaf0=83;pqckjb;297~{iml?1<7?t}od3e?6=;rwej=:50;3xyk`513:1?vsaf3194?7|ugl?47>53zmb14=83;pqch97;297~{in?;1<7?t}od;2?6=;rwej5>50;3xyk`f=3:1?vsaf8d94?7|uglh87>53zmbgc=83;pqchj3;297~{inmn1<7?t}o3347<72:qvbkhl:182xh68;;1<7=t}o335g<728qvb<>;0;297~{i999j6=4>{|l240`=839pqc??5883>4}zf8:53zm551?290:wp`>08f94?5|ug;;5:4?:0y~j46ek3:1?vsa11`5>5<6std:?kh50;3xyk739=0;6{|l204}zf8>im7>53zm51d3290:wp`>4e;94?5|ug;?h>4?:0y~j42a03:1?vsa15d1>5<6std:9<950;1xyk72980;64}6kh0vD752F__\XZ5R@>25?69j2;96_O31083:d=6>3K7>>47>028534f3;;1M1<>:0<24>462@D[YY4N<3395;c<::0JSK]M<3195;733;91EC^ZT;C\BVD;::0:2<5890>VQ;:3:5=6:>;4:81?B;<3:5o6;5D`vbE92=873097K\<583:`=22@D[YY4K<583:44<=3CE\XZ5D`vbE92=87l097AZTQWW>T:3294:86;5OTVSQQ;6:8AgsiH6<6=0i;98LQQVR\3[7;7>1159;>JSSX\^1^h}zlu>4>58?310Z0:4?>e9;>WcaKg~7;7>1d::9V`urd}6<6=0i;98\eab789:7;7>15:C?55823H6:=3;4A=31:0=F489596O315<6?D:6=7?0M1?9>49B8419=2K7=50:;@>2=;20M1<14:C?7;2586O35?68E909<2K7;3:4A=:=0>G;17>0MAK?5:COA5K23HFN<^m4AMG3[hs89:;h6OCE1]nq}6789;n7LBJ0^ov|56788;o7LBJ0^ov|5678;;;7LBJ0^ov|5678Vcf|95NLD37?DHC:?1JBII>;5NNE6A73=FFM>I8;5NNE7A63=FFM?I?;5NNE7A03=FFM?I995NNE:7?DUunj1J_hPnnv34576m2KX~kQaou2344YneyUJ_hPnnv3457612KTJ^L311<:?DYA[K6:=374A^DPF975601JSK]M<01==>GXNZH7=906;@]EWG:6=730MRH\B=35:<=FWOYI0<919:C\BVD;91427LQISC>2=;>15;?c9B[CUE4;91=374A^DPF944611JSK]M<3<;?DYA[K68255N_GQA818?3HUM_O2:>99B[CUE4?437LQISC>4:==FWOYI0507;@]EWG:>6=1Joa|>6:C`hwYNF_Uba}QNcmp\MKP6<2HJOYk4B@AW[lkwWKKHX<;4B@AWv1=EM[X>7OK]R148F@TU8{?0NH\]179AAWT6z01II_\PIN@Pa>DBZ[Uba}QMESP22>DBZ[xm:6LJRSpqa>DBZ[xySca{012267=EM[Xy~R``t1235ZojxVHN^_|}_omw45669;1IKl5MG^cm`56788k0NJQnne2345YneyUIKRoad123442E6>D90O5C;;BC@P7=DM:1H@F94CMI14@H03JF@>8KA159@HN42MGUba}QLLJ06AK7>3JF@>UQFOC58GIMXNZH<7NBD_HMA7>EKZ:1HBY74CNONMQRBL>1H^HO[EE08GV0Pilr\Gicfz8Ujbi>?0130?Fjl=2IggH`>8:Aoo@hXAG\Te`~PCmiFjZOI^8=0OaePFRO20>EkcVCEZRgbp^AooZOI^8;0H95K<1<7?A:66=1O0?0;;E>0:3=C4=0;295K<5<7?A:26=1O0;09;E>4>5833M6<285KT@AH55=C\HI@Sdc_EVBGN703M^JOF|i7:FWEFMuzo1OXLMDrs]mkq67888?7IZNCJpq[kis89::Sdc_EVBGNtuWge<=>>169Geqg;87=0Hlzn<0<6?AgsiH20HlznA=2=<>Bf|hK7=364D`vbE94902NjxlO33?c8@drfI5>1<364D`vbE92902NjxlO35?:8@drfI5<5m6Jnt`C?3?6902NjxlO37?58@lufjeom7Ig|acnf[dhc89:;==5Kircah`Yffm:;<=?>0:FjwddkmVkeh=>?0333?AotikfnSl`k01237457>5823LY783o4ER]bja6789;j7H]Paof3456XadzTI^Qnne23457f3LYTmcj?0132e>CTWhdo<=>>_hos[@UXign;<=?>d:GP[fkwWl{;<=>=0:GP[fkwWl{;<=>Pilr\AVYdeyUn}=>?00f8AVYdeyUn}=>?1328AVYdeyUn}=>?1^kntZCTWjg{Sh?0132=>CTWfx;<=>>8:GP[jt789:Te`~PER]lv56788?0IYOLKe9FPDELWhdo<=>?219FPDELWhdo<=>?_hos[@RFKBUjbi>?01324>CSIJATo`~Pep2345413L^JOFQlmq]fu5678Vcf|RK[ABI\ghvXmx:;<=?:;D]JKG>Cu:=1MOAE=;GF7?CBDM;1MJ95IFe34?C@c9$Ce46HId0/Jj4?199EBa7*Ag827KHk1,Km64>199EBa4*Ag827KHk2,Km64>8:DE`7+Nf<30JKj=-Hl65==ANm8&Ec86;GDg6(Oi>830JKj=-Hl45==ANm8&Ec6m;GDg6(OiW`g{86HId258BCb4%@d37KHk3,Km5<=ANm9&Ec?>8:DE`6+Nf;30JKj<-Hl15==ANm9&Ec=6;GDg7(Oi;820JKj<-Hl7=>@Al:'Bb9?6;GDg7(Oi=820JKj<-Hl5f>@Al:'BbRgbp29EWG5-qcqakrd3OYFS?#asgmp4=@;2MEH<5F2:K36>O6:2C9>6G<2:K76>O2:2C=>6G84:KBGV3OFKZ937DOLS^knt1=NF@^87D@Y5:KLEFRc3@EJOYQnne2345473@EJOYQnne2345YneyUBCLM[_`lg45679m1BCLM[_`lg4566:91BCLM[_`lg4566W`g{SDANCU]bja6788;o7DANCU]nq}6789o0EBOLT^ov|56788l0EBOLT^ov|56788;m7DANCU]nq}67898:i6G@ABV\ip~789:8=<5FO@AW[hs89:;Sdcc:KLEFRXg{:;<=?j;HMBGQYhz9:;i;HMAAWTXe|r;<=>>119JKGCUZVg~t=>?00224>OHJLXYS`{w012354`0:KLF@TUWds<=>?40d8MJDBZ[Ufyu>?01724>OHJLXYS`{w012314773@EII_\Pmtz3456198:0EBLJRS]nq}6789=:j6G@BDPQ[hs89:;4<>4IN@FVWYj}q:;<=6>119JKGCUZVg~t=>?08326>OHJLXYS`{w0123[lkwl2CDNH\]_np3456582CDNH\]_np3456XadzTEBLJRS]lv56788>0EBLB4:KLFV2>e:KLGVYffm:;<=Qfmq]JKFUXign;<=>>f:KLGVYdeyUn}=>?0368MJETWjg{Sh?012\mhvXAFIXSnc_ds34566n2CDO^Qlmq]fu5679;>0EBM\_bos[`w789;Te`~PINAP[fkwWl{;<=?>f:KLGVYdeyUn}=>?2368MJETWjg{Sh?010\mhvXAFIXSnc_ds34546n2CDO^Qlmq]fu567;;>0EBM\_bos[`w7899Te`~PINAP[fkwWl{;<==>f:KLGVYdeyUn}=>?4368MJETWjg{Sh?016\mhvXAFIXSnc_ds34526n2CDO^Qlmq]fu567=;>0EBM\_bos[`w789?Te`~PINAP[fkwWl{;<=;>f:KLGVYdeyUn}=>?6368MJETWjg{Sh?014\mhvXAFIXSnc_ds3450602CDO^Qfmq68MJJ7k2CD@=Qbuy2345b6G@PVAP[lkwW@E[[N]>5:KLV@Wc3@EYI\Qnne2345473@EYI\Qnne2345YneyUBC_K^_`lg45679m1BC_K^_lw{4567m2CD^H_Pmtz34566n2CD^H_Pmtz345669o1BC_K^_lw{4567:8o0EB\JQ^ov|5678:l0EB\JQ^ov|5678:;m7DA]EP]nq}6789>:i6G@RDS\ip~789:>=<5FOSGR[hs89:;Sdc6:KLV@Wu=2CD^Z>k;HMQS5Yffm:;<=?1e9JKWQ7Wds<=>?e:KLVR6Xe|r;<=>>f:KLVR6Xe|r;<=>>1g9JKWQ7Wds<=>?20g8MJTP8Vg~t=>?0232?LIU_9Ufyu>?01]jiubPnnv34576k2CD^Z>Pos23457b3@EY[=Q`r1234ZojxVCD^Z>Pos23457d3@EY[=Q`r12354c=5FOSU2[dhc89:;Sdc_HMQS4Yffm:;<=?l;HMQS4Yhz9:;<>259JKWcflpUecy>?00]jiuYNG[ojhtQaou23447b3@EYiljv_np34565:2CD^hoky^mq4567W`g{SDA]e`fz[jt789::?6G@Sd9JKVYdeyUn}=>?0d9JKVYdeyUn}=>?1d9JKVYdeyUn}=>?2d9JKVYdeyUn}=>?3d9JKVYdeyUn}=>?4d9JKVYdeyUn}=>?5d9JKVYdeyUn}=>?659JKP6d3@E^OH]9Ufyu>?0132a>OH]9Ufyu>?0102`>OH]9Ufyu>?01124>OH]9Ufyu>?01]jiu27DAZDR68MJQB>2C[@?|?e:KSH7t7Wge<=>>239JTI4u8Vddx=>?1^kntZOWD;x;Sca{012253=NXE8y=>5Fn118Mk743@d9?6Ga329Jj15JWEVIDYCZJR^3g?IVJWJE^BYK]_3:8HUKXLOLT56B_M^FEBZ7f3EZFSIHI_02b?IVJWMLMS?o4LQO\@C@X::k0@]CPDGD\61g;o4LQO\@C@X:>k0@]CPDGD\6=g;m6B_M^FEBZ26i2F[ARJIF^61e>JWEVNMJR:?m6B_M^FEBZ2212F[ARJIF^7:?IVJWMLMS;74LQO\@C@X?01G\@QKFG];=>JWEVNMJR7n;MRN[]IU:V;>7Aand0f8Hjgc9Vddx=>?1328Hjgc9Vddx=>?1^kntZJhim;Tbbz?01320>K_[Ln0Aljk_^cg`56788:0Abzges`lewbUGDOE>;5BoujfvgifzmXDAH@Pilr\Ijrom{hdmj]OLGM5`=JpfxT^h}zlu>2:`=JpfxT^h}zlu>1:`=JpfxT^h}zlu>0:`=JpfxT^h}zlu>7:`=JpfxT^h}zlu>6:`=JpfxT^h}zlu>5:`=JpfxT^h}zlu>4:7=I8;1E=?5A239M71=IG[=?7CA]809L0>ICKZo0CIM\_hos[JBD[890C_<:;NP1F43>5@R278KW5E9<1D^>L=3:MQ06=HZ<90C_8<;NP47>IU0=1Dbnkl;Nl`aZgil9:;<0Cxz?e:Mvp5YneyUDyy>>4:Mvp4cIr|?Uba}Q@uu420>Ir|>>0Cxz7e:Mvp=YneyUDyy6>1:R`?U(5889:<<=PL59SEWRf3YCESO[\IEZa?UOIWK_XBLCJ2:RP`>VTWjg{Sh?012g?UUXkdzTi|>?00f8TVYdeyUn}=>?2e9SWZejxVoz<=>;;Qcqo`=Wi{aTe`~PP`ph54=V<2[7<3:4Q=3=0>W;:7>0]1=16:S?0?69<2[783:4Q=7=0>W;>7<0]1950?68U919i2[T=Ra}01235d=VW8Ud~=>?0^kntZWX9Vey<=>?149QE969>2XJ0<>18:PB847=87<0^L2>1?78VD:66<1YM1<15:PB86823[K783;4R@>6:0=UI5<596\N<6<6?WG;07?0^L26>29QEH34R@O@WZIqm{fjuBhi279QEHETWF|n~aovOgd\mhvXZHGH_RAyesnb}J`a9o1YM@M\_Ntfvig~Gg8?7_OBCR]Lr`tkipEeSdc_SCNGVYH~lxgmtAa1e9QEHETWhdo<=>?219QEHETWhdo<=>?_hos[WGJKZUjbi>?013g?WGJKZUjbi>?0003?WGJKZUjbi>?00]jiuYUIDIXSl`k01225462XJAIG\7:PBIAOT9o1YM@JFS0]nq}6789;;7_OBDHQ2[hs89:;=>1038VDKCAZ;Taxv?0121546109QEHBN[8Ufyu>?017254=UIDNB_?00d8VDKCAZ8Tmcj?01310>TFEMCX>Road1235ZojxVXJAIG\2^cm`56798o0^LCKIR0\kw6789897_OBDHQ1[jt789:Te`~PR@OGMV4Xg{:;<=?>2:PBIAOTW`g{S_OBDHQ2<>TFE[ojht??;SCNV`gcqVkeh=>?0348VDKUmhnrSl`k0123[lkwW[KF^hoky^cm`56788;;7_OBRdcg}Zgil9:;=?84R@OQadb~Whdo<=>>_hos[WGJZlkouRoad12354773[KF^hoky^cm`567:;<0^LC]e`fz[dhc89:9Sdc_SCNV`gcqVkeh=>?2033?WGJZlkouRoad123770?4348VDKUmhnrSl`k0127[lkwW[KF^hoky^cm`567<8;;7_OBRdcg}Zgil9:;9?84R@OQadb~Whdo<=>:_hos[WGJZlkouRoad12314773[KF^hoky^ov|56788;0^LC]e`fz[hs89:;=<<4R@OQadb~Wds<=>?1031?WGJZlkouRczx123477692XJA_kndx]nq}67899:86\NMSgb`|Yj}q:;<=Qfmqd8VDKUmhnrSb|?01210>TFE[ojhtQ`r1234ZojxVXJA_kndx]lv56788?0^L]JLe9QEVCKWds<=>?e:PBW@JXe|r;<=>>f:PBW@JXe|r;<=>>1g9QEVCKWds<=>?20g8VDUBDVg~t=>?02d8VDUBDVg~t=>?023e?WGTMEUfyu>?0162a>TF[LFTaxv?012654=UIZOGS`{w0123[lkwk2XJS=Qbuy2345bTFW8Ufyu>?0132a>TFW8Ufyu>?0102`>TFW8Ufyu>?01124>TFW8Ufyu>?01]jiueTFW;Ufyu>?013f?WGX:Vg~t=>?003f?WGX:Vg~t=>?033g?WGX:Vg~t=>?0233?WGX:Vg~t=>?0^kntf=UIV9Taxv?012g?WGX;Vg~t=>?00g8VDY4Wds<=>?10g8VDY4Wds<=>?20f8VDY4Wds<=>?3028VDY4Wds<=>?_hosg>TFW=Ufyu>?01f8VDY3Wds<=>?1d9QEZ2Xe|r;<=>>1d9QEZ2Xe|r;<=>=1e9QEZ2Xe|r;<=><119QEZ2Xe|r;<=>Pilr`?WGX=Vg~t=>?0e9QEZ3Xe|r;<=>>e:PB[0Yj}q:;<=?>e:PB[0Yj}q:;<=<>d:PB[0Yj}q:;<==>0:PB[0Yj}q:;<=Qfmqa8VDY1Wds<=>?d:PB[3Yj}q:;<=?j;SC\2Zkrp9:;<??;SC\2Zkrp9:;k;SC\3Zkrp9:;<4R@]4[hs89:;Sdcc:PB[=Yffm:;<=?j;SC\5]ER58V@UCggom7_K\Dnlf[dhc89:;>95]ERFlj`Yffm:;<=Qfmq]QAVBhflUjbi>?013`?WCTW`g{S_K\149QAVt7l2XN_>Pnnv3457582XN_>Pnnv3457XadzT^H]}0^llp56798?0^H]}149QAVt5=2XN_h:;SGPvwb?1e9QKHETWhdo<=>>219QKHETWhdo<=>>_hos[WIJKZUjbi>?003g?WIJKZUjbi>?0303?WIJKZUjbi>?03]jiuYUGDIXSl`k0121546?1028VJKD[Vif|Rk~012263=UGDIXSnc_ds3457XadzT^BCLS^antZcv89::=<>4RNO@WZojxVXDAN]>2:PP`>TTWjg{Sh?012g?WUXkdzTi|>?00f8VVYdeyUn}=>?2e9QWZejxVoz<=>5]TM78V`gcq?1Yiljv069Qadb~8{<0^hoky058V`gcq8x=7_kndx04?Wcflp8ym6\jae{\MJDT12XnmiwPR@Og?WcflpUjbi>?0103?WcflpUjbi>?01]jiuYUmhnrSl`k012354619:PfbFhs48427_kiCov?6;?89QacEi|5>556\jfBlw808>3[omOcz36?`8V``Df}6<6=06;SgeGkr;?7=0^hhKoog26>TbnMeeiRmbp^gr4567:h1YikJ`nd]`iuYby9:;2Xnxb{9:Pfwpjs49427_k|umv?5;?89Qavsk|595n6\jstnw81<7601Yi~{ct=6==>Tb{|f0806;Sgpqir;>7h0^h}zlu>4>58>3[oxyaz37?05?Wct}e~TUD[@IXSdc_RAPMFU6j2YBKO[\_HLEKd=TANUIY^GKXc9PMBYE]ZDJAH;4SV>3:0=T_5;5;6]X<383:0=T_58586]lls68Wfusi2Yeh_OBCRUQ57=TfmXJAN]XR^cm`5678;k0_cj]ALAPSWYffm:;<=Qfmq]PjaTFEJY\^Road12344753Zdo^LCLSVP\ekb789;9m6]adSCNGVQUWhdo<=>>_hos[VhcZHGH_Z\Paof345769<1Xbi\NMBQTVZejxVoz<=>?319PjaTFEJY\^Rmbp^gr4567W`g{S^`kR@O@WRTXkdzTi|>?01321>Uil[KFO^Y]_bos[`w789;8<6]adSCNGVQUWjg{Sh?013\mhvX[gnYM@M\WS]`iuYby9:;=?3228WkbUIDIX[_Qlmq]fu567;Vcf|R]adSCNGVQUWjg{Sh?011250=TfmXJAN]XR^antZcv89:??=5\nePBIFUPZVif|Rk~0127[lkwWZdo^LCLSVP\ghvXmx:;<9?>5:Qm`WGJKZ]YSnc_ds3453482Yeh_OBCRUQ[fkwWl{;<=;Pilr\WkbUIDIX[_Qlmq]fu567=8;j7^`kR@O@WRTXadzT_cj]ALAPSW7692Yeh_OBCRUQ[jt789:946]adSCNGVQUWfx;<=>Pilr\WkbUIDIX[_Q`r12344763Zdo^LCLSVP\kw6788837^`kR@O@WRTXg{:;<Rgbp^Qm`WGJKZ]YSb|?01020>Utm}>0XT^J8:Vji`ir|H;87YgbenwwEZejxVoz<=>?2b9Wmhch}}KTo`~Pep2345YneyU_e`k`uuC\ghvXmx:;<=?>0:Vji`ir|HUecy>?0005?QojmfMR``t1235ZojxV^bahazt@]mkq6788;37YgbenwwFg=SadodyyL_rd33?QojmfNRoad123470<\`gncxzM_`lg4567W`g{SYgbenwwFZgil9:;<<94TskGeqg43_IH56XFEV]W]UC43_ZJo6X_A^kntZPWI8?0Z]O}f49UTDtul2\[M|Pnnv3457582\[M|Pnnv3457XadzTZ]O}r^llp56798k0Zdj]ALAPSWb<^`nYM@M\WS47o4><^`nYM@M\WS47oZejxVoz<=>?379UmaTFEJY\^;:d_bos[`w789:Te`~PVhfQEHET_[4VhfQEHET_[149UmaTFEJY\^Rmbp^gr4567;91]ei\NMBQTVZejxVoz<=>?_hos[SocZHGH_Z\Pclr\at6789;:96XfdSCNGVQUWjg{Sh?01304>Pnl[KFO^Y]_bos[`w789;Te`~PVhfQEHET_[Uha}Qjq12354723_co^LCLSVP\ghvXmx:;=1078RlbUIDIX[_Qlmq]fu567;::0Zdj]ALAPSWYdeyUn}=>?3^kntZPnl[KFO^Y]_bos[`w7899:=85YiePBIFUPZVif|Rk~012775=QamXJAN]XR^antZcv89:?Sdc_WkgVDKD[^XTo`~Pep234176=2\bh_OBCRUQ[fkwWl{;<=;<0:Tj`WGJKZ]YSnc_ds3453XadzTZdj]ALAPSWYdeyUn}=>?5036?SocZHGH_Z\Pclr\at678?9;7[gkR@O@WRTXkdzTi|>?07]jiuYQamXJAN]XR^antZcv89:==<;4VhfQEHET_[Uha}Qjq123366<^`nYM@M\WS]`iuYby9:;;Rgbp^Tj`WGJKZ]YSnc_ds345169<1]ei\NMBQTVZejxVoz<=>7319UmaTFEJY\^Rmbp^gr456?W`g{S[gkR@O@WRTXkdzTi|>?09321>Pnl[KFO^Y]_bos[`w78938<6XfdSCNGVQUWjg{Sh?01;\mhvX^`nYM@M\WS]`iuYby9:;5>1228RlbUIDIX[_Qlmq]fu5669Vcf|RXfdSCNGVQUWjg{Sh?00325d=QamXJAN]XR^kntZPnl[KFO^Y]1038RlbUIDIX[_Q`r123444<^`nYM@M\WS]lv56788;97[gkR@O@WRTXg{:;<=<>2:Tj`WGJKZ]YSb|?012050=QamXJAN]XR^mq4567W`g{=i5WIMKM\(^CJ):%=-][UC"3*4&F[JCB:6V\TMKA3>^T\VMEH3Qe7<3Qfmq18\vr>3QyK@akem`8[dbc89:;0=0m;^cg`56785;5n6Qnde2345:56k1Tmij?012?7;b?<583:g=Ximn;<=>34?`8[dbc89:;080m;^cg`56785<5h6Qnde2345:0294i7Rokd123491902Ujbi>?0135?Zgil9:;>_hos[Zgil9:;=<64_`lg45659?1Tmcj?010\mhvXWhdo<=>=199\ekb7899::6Qnne2346YneyUTmcj?0112<>Yffm:;<9?9;^cm`567?5048[dhc89:>Sdc_^cm`567=820S`{w01233>Yhz9:;<<:4_np3456XadzTSb|?01223>Yhz9:;=<:4_np3457XadzTSb|?01321>gcllxn7l`k0123[jt789:9>6oad1234Ziu89:;Sdc_`lg4567Wfx;<=>>3:amp2=dg|di<4cr18`c`>3mcyinzfoo18bvde3oyiSca{01235f=a{kUecy>?01]jiuYa{kUecy>?0137?liee=1bco}6;oCGkprKM9;37cOKotvOA5YneyUeMIaztMG3546?189mEAir|EO:=55aAEmvpIC6W`g{ScOKotvOA4743gKX;6`NS^DPF2=iIZUBCO?=;oCP[LIEWgKXSDAMotv2e>hF[VCDNR`NS^KLFjssWge<=>?3`9mEVYNGKUeM^QFOCmvpZhh|9:;=5aARmvpZiu89:;Sdc_oCPkprXg{:;<=?8;oClqqIB9=1eMb{{OD]jiuYiIfCH?:;o@FVW?hEZVCDN95aC@Q`?kEF[Vddx=>?10g8jFGTWge<=>>_hos[kEF[Vddx=>?1048jARFKBk0bIZNCJ]EWGg?0101?kBSIJATmcj?012\mhvXfM^JOFQnne2345713gNbbAKk;oFjjICXg{:;<=?179m@lhHM880bIgaOD]jiuYiL`dDI<94nEmvpJCa3gNdyyAJ_`lg4567:=1eHb{{OD]bja6789Uba}QaDnwwK@Yffm:;<=?i;oFlqqIBWge<=>>259m@jssGLUecy>?00]jiuYiLfCHQaou23447b3gNdyyAJ_np34565:2dOcxz@E^mq4567W`g{ScJ`uuMF[jt789::96`FPM07?kJC[11e@I]PFR@;?kJC[VCDN<:4nMFP[LIEWgFO_RG@Bnwwf>hKLZUBCOaztb9mHAUXign;<=>>e:lO@VYffm:;<=Qfmq]mHAUXign;<=>>c:lO@VYffm:;<hH~lxgmt?8;oPBIIQB?2dYM@[XE59mVDUd3gXJ_Road12344chUIZUd~=>?0^kntZhUIZUd~=>?0068jWCT>2dYC@M\139mVJKD[Vcf|R`]OLAP53=iZFG\Ih5aRNOTAZgil9:;?0031?kTHE^OTe`~PnSMNS@733g_O_55aUEQ\BVD?3g_O_RG@B068jPBTW@EISc[KS^KLFjssj2d^H^QFOCmvpf=i]MYTmcj?0122a>hRLZUjbi>?01]jiuYi]MYTmcj?0122g>hRLZUecy>?003f?kSC[Vddx=>?1^kntZhRLZUecy>?0037?kSPMj1eYZKPaof34566m2d^[HQnne2345YneyUeYZKPaof34566m2d^[HQfmq]mQRC6<2d]AL64nWOB[LIE9=1eZ@OPIN@\jSKFW@EIcxzm;oTNEZOHJfo6`YM@]bja6789;n7cXBA^cm`5678Vcf|R`YM@]bja6789;m7cXBA^antZcv89:;>95aVLC\ghvXmx:;<=Qfmq]mRHGXkdzTi|>?013`?kPJIVddx=>?10g8jSKFWge<=>>_hos[kPJIVddx=>?1068jSVF02d]\LQISC:8jSVFW@EIo6`YP@]bja6789;n7cX_A^cm`5678Vcf|R`YP@]bja6789;n7cX_A^kntZhQXH;87cYJ7:lTAZ@TJo1ecy>?01]bja67898?7ca{0123[dhc89:;Sdc_omw4567Whdo<=>?169skiYddb30|bbPcmifj==wgeUxoa|7;qmo[vub|11{czPcmib?uiu|Viggh`6;qmqpZbf|h20|b|{_nrb2>vhz}Uzn6~`ru]qavsk|01{czPsbnq=>vhz}Uxhz>;s18vdk?3{~gSyweg9pkptdmVlb`h`nmdf8wjsuklUgeckab39vt<=qienSnga9:tbhpcXgyh37um6512{h`=k0?8:ubc56.1xFGx8?>86NOx2;2>C<528qXm>4<91816a<6;=22m:4=2442k5?13;0b>6n:79'7=>=;180q^o=:2;3>74c289?44o8:30637=c9o=1<7?51zQb7?5>8389h7?<49;b3?45=?;0zKd6n>0;69l52184=~J40<09w)<=e;67`>N6jl1v@>69:0y'01>==2w/?9o51g58^<5=;r31=<4>3;Y77>=;rk1==4>4;j=c<722e8hi4?::k0g4<722e2n7>5;n604?6=3`>:57>5;n620?6=3f>;n7>5;h1g5?6=3f>;57>5;n1g=?6=3f9o47>5;h625?6=3`9oi7>5;n1`6?6=3f9hh7>5;n;b>5<5<5<5<5<>ob03:17b=j1;29?j5a83:17b:=6;29?j5b<3:17b=j6;29?l24>3:17b=k0;29?j4313:1(?=?:36;?k45n3:07b<;7;29 7572;>37c<=f;38?j42:3:1(?=?:36;?k45n3807b<:1;29 7572;>37c<=f;18?j4283:1(?=?:36;?k45n3>07b<;f;29 7572;>37c<=f;78?j43m3:1(?=?:36;?k45n3<07b<;d;29 7572;>37c<=f;58?j43k3:1(?=?:36;?k45n3207b<;b;29 7572;>37c<=f;;8?j43i3:1(?=?:36;?k45n3k07b<;6;29 7572;>37c<=f;`8?l15290/>>>5709m67`=821b;=4?:%004?163g89j7?4;h4e>5<#:::1;<5a23d96>=n>l0;6)<<0;52?k45n3907d8l:18'666=?81e>?h54:9j2g<72-88<79>;o01b?3<3`!4483=:7c<=f;58?l0?290/>>>5709m67`=021b::4?:%004?163g89j774;h45>5<#:::1;<5a23d9e>=n><0;6)<<0;52?k45n3h07d8;:18'666=?81e>?h5c:9j26<72-88<79>;o01b?b<3`=j6=4+222934=i:;l1i65f7883>!4483=:7c<=f;d8?l1?290/>>>5709m67`=9910e:950;&175<092d9>k4>1:9j33<72-88<79>;o01b?7532c<97>5$313>275<#:::1;<5a23d951=91<7*=31845>h5:o0:965f6e83>!4483=:7c<=f;35?>o4:l0;6)<<0;11`>h5:o0;76g<2b83>!448399h6`=2g82?>o4:k0;6)<<0;11`>h5:o0976l<8683>4<729q/?9o53478L6>43f89o7>5;|`0f7<728i1?44;ezN0<0<6sA;ii6sC39496~h3<008;6*;4986?x"45<6=44o205>5<!44839h7c<=f;28?l5e290/>>>53b9m67`=921b?l4?:%004?5d3g89j7<4;h1;>5<#:::1?n5a23d97>=n;?0;6)<<0;1`?k45n3>07d=::18'666=;j1e>?h55:9j71<72-88<7=l;o01b?0<3`986=4+22297f=i:;l1;65f3383>!44839h7c<=f;:8?l56290/>>>53b9m67`=121b?=4?:%004?5d3g89j7o4;h0e>5<#:::1?n5a23d9f>=n:l0;6)<<0;1`?k45n3i07d?h5d:9j00<72-88<7=l;o01b?c<3`>?6=4+22297f=i:;l1j65m39594?7=83:p(>:n:276?M5?;2e9>n4?::a053=83?1>78tL2:6>4}O9ko0qA=76;3x 12?281v(>:n:0a5?lgc2900c?=6:188md>=831bm44?::k4f?6=3k=1<7;50;2x 62f2lo0D>6<;M1;1?7|,88n69::;|k:>{e?j0;6?4?:1y'71g=9;l0D>6<;h314?6=3f8;47>5;|qb4473ty93?g734=h6?>7;|qb=?6=:rTj5638:8:8yv47;3:1>v38:31:?[4412wx>=:50;0xZdb<5>0jh6srb52;>5<22:0=wA=75;3xL4db3tF84;4>{%675;hc;>5<>d0290>6=4?{%17e?cb3A93?6B<8482!75m3>?96sf9983>>of83:17d7;:188mdb=831d>>750;9~f6>3290:6=4?{%17e?76n2B84>5`10g94?=zjhk1<7<50;2x 62f288m7E=73:k265<722e9<54?::pe=<72;qUm5527;;7?xuf13:1>vPn9:?be?7582wx>=<50;0x92<>027jm76;:03f?81=i91v?>;:18181=::30R?=6;|q140<72;qUmi527;cg?x{e9;n1<7?>:2827~"4i5`13;94?=n<=0;66g;5;29?l4c2900e?k50;9j6c<722c8<7>5;h12>5<>o4<3:17d=::188m60=831b?54?::k0e?6=3`9i6=44i2f94?=e9;k1<7750;2x 62f26<;h315?6=3`;9>7>5;h317?6=3`;987>5;h311?6=3`;9:7>5;h313?6=3`;947>5;n03o4?:883>5}#;=k19k5G3918m4462900e<<=:188m4442900e<<;:188m4422900e<<9:188m4402900e<<7:188k76?2900qo?=c;297?6=8r.88l4;f:J0<6=n9;;1<75f13094?=h:921<75rs00:>5<5sW;9563>2b814==z{=>1<7l4>239~w7b=838pR?j4=00b>4443ty9i7>52z\1a>;6:h0:>95rs3d94?4|V;l01<vP<0:?26d<6:?1v>?50;0xZ67<588j6<<8;|q06?6=:rT8>63>2`826==z{:91<7o4>239~w63=838pR>;4=00a>4443ty8:7>52z\02>;6:k0:>95rs2:94?4|V:201<vPl50;0xZ6d<588i6<<8;|q0`?6=:rT8h63>2c826==z{88j6=4={<31e?47027:>n4>209~w44e2909w0?=b;03<>;6:j0:>?5r}c35=?6=k391iv*<4`822<=h97E=73:k264<722c:>?4?::k266<722c:>94?::k260<722c:>;4?::k262<722c:>54?::m14=<722wi=8950;694?6|,:>j6?>j;I1;7>o6:80;66g>2383>>o6::0;66a=0983>>{e9<21<7=50;2x 62f2;:i7E=73:k264<722c:>?4?::m14=<722wx=8;50;0xZ43234;>47:7?=1:p6`3=838pR?k:;<362?75;2wx=8l50;0xZ43e34;>:7?=5:p50b=838pR<;k;<362?75<2wx=8h50;0xZ43a34;>:7?=6:p537=838pR<8>;<362?7502wx=;=50;0xZ40434;>:7?=7:p533=838pR<8:;<363?75:2wx=;950;0xZ40034;>;7?=1:p70b=838pR>;k;<363?75;2wx=8850;0x94312;:370?:8;315>{t9<=1<776?34;>47?=2:~f6>6290o6>4i{%17e?5?92e8;i4?::k021<722c8:;4?::k02=<722c8:l4?::k02f<722c8:h4?::k035<722c8;?4?::k031<722c8;;4?::k03=<722c8;l4?::`03`<7200;6=u+35c9643<@:287d?=1;29?l75:3:17d?=3;29?l75<3:17d?=5;29?l75>3:17d?=7;29?l7503:17b6<;h315?6=3`;9>7>5;h317?6=3`;987>5;n035}#;=k1>=l4H2:0?l7593:17d?=2;29?j4703:17p}<7e83>7}Y;>n01>6?:32;?xu4>=0;6?uQ3768961b28897p}<6783>7}Y;?<01>9j:002?xu4>10;6?uQ37:8961b28887p}<6`83>7}Y;?k01>9j:006?xu4>j0;6?uQ37a8961b288?7p}<6d83>7}Y;?o01>9j:005?xu4?90;6?uQ3628961b28837p}<7383>7}Y;>801>9j:004?xu4?=0;6?uQ3668961a288:7p}<7783>7}Y;><01>9i:000?xu4?10;6?uQ36:8961a28897p}<7`83>7}Y;>k01>9i:007?xu4?l0;6?u236g965><5:2;6<<>;|q03c<72;q6?:h521:896>728897psm21c94?3=83:p(>:n:4;8L6>43`;9=7>5;h316?6=3`;9?7>5;h310?6=3f8;47>5;|`113<72:0;6=u+35c914=O;190e<<>:188m4452900c?>7:188yg4203:187>50z&00d<2;2B84>5f13394?=n9;81<75f13194?=h:921<75rb37g>5<4290;w)=;a;03f>N40:1b=??50;9j574=831d>=650;9~f604290>6=4?{%17e?303A93?6g>2083>>o6:;0;66g>2283>>o6:=0;66a=0983>>{e;>i1<7=50;2x 62f2=l0D>6<;h315?6=3`;9>7>5;n035}#;=k18k5G3918m4462900e<<=:188k76?2900qo5<5<55;294~"446F<829j577=831b=?<50;9j575=831b=?:50;9l65>=831vn<99:180>5<7s-9?m7;?;I1;7>o6:80;66g>2383>>i5810;66sm41594?5=83:p(>:n:5d8L6>43`;9=7>5;h316?6=3f8;47>5;|`025<72:0;6=u+35c90c=O;190e<<>:188m4452900c?>7:188yg51:3:197>50z&00d<2>2B84>5f13394?=n9;81<75f13194?=n9;>1<75`21:94?=zj:<>6=4::183!53i3?27E=73:k264<722c:>?4?::k266<722c:>94?::m14=<722wi?;950;794?6|,:>j6864H2:0?l7593:17d?=2;29?l75;3:17d?=4;29?j4703:17pl<6883>0<729q/?9o5589K7=55<5<N40:1b=??50;9j574=831b=?=50;9j572=831d>=650;9~f60c290>6=4?{%17e?3?3A93?6g>2083>>o6:;0;66g>2283>>o6:=0;66a=0983>>{e;?l1<7;50;2x 62f2<=0D>6<;h315?6=3`;9>7>5;h317?6=3`;987>5;n035}#;=k1955G3918m4462900e<<=:188m4442900e<<;:188k76?2900qo=83;291?6=8r.88l4:7:J0<6=n9;;1<75f13094?=n9;91<75f13694?=h:921<75rb256>5<2290;w)=;a;7;?M5?;2c:><4?::k267<722c:>>4?::k261<722e9<54?::a721=83?1<7>t$26b>01<@:287d?=1;29?l75:3:17d?=3;29?l75<3:17b1C?5=4i002>5<5<5<55;294~"4:6F<829j577=831b=?<50;9j575=831b=?:50;9l65>=831vn>=8:186>5<7s-9?m7;8;I1;7>o6:80;66g>2383>>o6::0;66g>2583>>i5810;66sm32;94?3=83:p(>:n:458L6>43`;9=7>5;h316?6=3`;9?7>5;h310?6=3f8;47>5;|`07g<72<0;6=u+35c913=O;190e<<>:188m4452900e<<<:188m4432900c?>7:188yg54l3:197>50z&00d<212B84>5f13394?=n9;81<75f13194?=n9;>1<75`21:94?=zj:9m6=4::183!53i3?27E=73:k264<722c:>?4?::k266<722c:>94?::m14=<722wi?9?50;794?6|,:>j6864H2:0?l7593:17d?=2;29?l75;3:17d?=4;29?j4703:17pl=e783>0<729q/?9o5589K7=55<5<N40:1b=??50;9j574=831b=?=50;9j572=831d>=650;9~f43d290>6=4?{%17e?3?3A93?6g>2083>>o6:;0;66g>2283>>o6:=0;66a=0983>>{e96<;h315?6=3`;9>7>5;h317?6=3`;987>5;n035}#;=k19:5G3918m4462900e<<=:188m4442900e<<;:188k76?2900qo?92;291?6=8r.88l4:8:J0<6=n9;;1<75f13094?=n9;91<75f13694?=h:921<75rb047>5<2290;w)=;a;74?M5?;2c:><4?::k267<722c:>>4?::k261<722e9<54?::a530=83?1<7>t$26b>01<@:287d?=1;29?l75:3:17d?=3;29?l75<3:17b10;684?:1y'71g==?1C?5=4i002>5<5<5<m7>53;294~"4=831vn?;l:180>5<7s-9?m7;?;I1;7>o6:80;66g>2383>>i5810;66sm35694?2=83:p(>:n:32f?M5?;2c:><4?::k267<722c:>>4?::m14=<722wi?>=50;194?6|,:>j69h4H2:0?l7593:17d?=2;29?j4703:17pl<4783>1<729q/?9o521g8L6>43`;9=7>5;h316?6=3`;9?7>5;n035}#;=k18k5G3918m4462900e<<=:188k76?2900qo=;8;297?6=8r.88l4=0c9K7=55<N40:1b=??50;9j574=831d>=650;9~f7c529086=4?{%17e?2a3A93?6g>2083>>o6:;0;66a=0983>>{e:l>1<7=50;2x 62f2<:0D>6<;h315?6=3`;9>7>5;n035}#;=k18k5G3918m4462900e<<=:188k76?2900q~76:180[?>34>;97o7;<637;|q063<72:qU??84=04:>6413499;7=>;<176?4702wx;i4?:2y]3a=:<921m4524159577:=7>52z\754=:<9?1;o5rs076>5<5sW;>963>688210=z{=9>6=4<{_601>;4>:0:><52164957787>53z\111=::=64=240>4453ty:j44?:5y]5c?<5;o;6<<>;<0f6?75:27:9l4>239~w7bb2909wSi4>289~w43e2909w0?99;36f>;6=j09<55rs07g>5<5s4;=57?:d:?21`<5811v<;i:18187113;>j63>61814==z{8<:6=4={<35=?71927::?4=099~w4042909w0?99;357>;6>=09<55rs046>5<5s4;=57?95:?223<5811v<88:18187113;=;63>69814==z{;nm6=4={<0f=?759279i=4=099~w7c62909w0;5m;09<55rs3g0>5<5s48n57?=3:?1a1<5811v?>6:187847i38;463;068267=::l:1=?<4=07b>4463ty8?;4?:3y>761=:9201>:;:002?xu4;10;6?u232;965><5:>?6<<=;|q07d<72;q6?>l521:8962328887p}<3b83>7}:;:n1>=64=265>4463ty8?h4?:3y>76`=:9201>:9:001?xu4<90;6?u2353965><5:>=6<<<;|q077<72;q6?>=521:8962?288:7p}<3583>7}:;:?1>=64=26;>4453ty?<84?:3y>053=::3019>7:`f8yv2703:18v3;09817<=::446348n87?=1:p6`3=839p1<86:3g6?84b>38;463=e38264=z{;o<6=4={<0f239~w63a2909w0=93;317>;4>909<55rs242>5<5s49=?7?=4:?027<5811v<;6:18187113;>563>5`814==z{;?26=4={<06`?7592799l4=099~w73e2909w0<:d;316>;5=j09<55rs25g>5<5s493=7=8d:?03f<6:;1v9>9:181827=3k270:?7;03<>{t;76?349:<:181853<38;463<328267=z{:>>6=4={<172?470278?84>239~w6202909w0=;2;316>;4<109<55rs247>5<5s493=7=94:?020<5811v?;8:181842038;463=5b8267=z{:<=6=4={<1;5?51>278::4=099~w60?2909w0=71;15<>;4>009<55rs24b>5<5s493=7=9a:?02g<5811v>8l:18185?939=o63<6e814==z{:;4?009<55rs27g>5<5s4;=57=:d:?03f<5811v>9n:18085?939727=:9201>=::002?xu4?;0;6?u23939724<5:=86?>7;|q031<72;q6?5?5366896122;:37p}<7783>7}:;1;1?:84=254>76?3ty9984?:2y>600=:9201<99:001?842i3;9=6s|3e83>c}Y;m16=?j53e9>65g=9;901?;9:001?84203;9=63<268267=::l<1=?:4=3g;>44334;>o7?=4:?21`<6:=16=;>513689405288?70?94;310>;6>?0:>95217:9572<,:8j6>m4n20:>5=z{:h1<7ht^2`8944c2:h01?>n:007?842>3;9=63=598267=:;;=1=?:4=3g5>444348n47?=3:?21f<6:;16=8k513089407288:70?92;317>;6>=0:>>521749575<58<36<<<;%11e?5d3g9957?4}r1b>563=598266=:;;=1=??4=243>445348n:7?=2:?1a=<6:;16=8m51338943b288870?90;317>;6>;0:>?521769577<58<=6<<=;<35l45ez\0<>;6:m08463=0`8264=:;;=1=?=4=243>446348n:7?=1:?1a=<6:816=8m51318943b288:70?90;316>;6>;0:><521769574<58<=6<<>;<35l453z\02>;6:m08:63<638261=#;;k1?n5a33;90>{t;<0;6>uQ349>57b=;<16?;<51318 64f2:i0b><6:49~w62=839pR>:4=00g>62<5:<96<<=;%11e?5d3g995784}r10>5<4sW9870?=d;10?851:3;9=6*<2`80g>h4:00<7p}<2;29a~X4:27:>i4<2:?020<6::16?;951308960>288870=9b;316>;4>m0:>?5237d9577<5:=:6<<;;<147?75<278;84>259>721=9;>01>96:007?850j3;986*<2`80g>h4:0037p}<1;29a~X4927:>i4<1:?020<6:;16?;951338960>288?70=9b;310>;4>m0:>95237d9572<5:=:6<<=;<147?759278;84>229>721=9;901>96:000?850j3;9?6*<2`80g>h4:0027p}<0;29a~X4827:>i4<0:?020<6:=16?;951368960>288970=9b;315>;4>m0:>>5237d9575<5:=:6<<<;<147?75;278;84>239>721=9;;01>96:001?850j3;9>6*<2`80g>h4:00j7p}=f;29a~X5n27:>i4=f:?020<6:816?;951318960>288:70=9b;317>;4>m0:><5237d9574<5:=:6<<>;<147?75:278;84>209>721=9;801>96:002?850j3;9=6*<2`80g>h4:00i7p}=e;29<~X5m27:>i4=e:?072<6:=16?>751368965e288?70=;4;o0:>>523539574<,:8j6>m4n20:>f=z{;n1<76t^3f8944c2;n01>=8:000?85413;9?63<3c8266=:;:n1=?<4=21e>445349?=7?=1:&06d<4k2d8>44k;|q71?6=0rT?963>2e871>;4;>0:><5232;9574<5:9i6<<=;<10`?759278?k4>259>717=9;>0(>2l1v9:50;:xZ12<588o69:4=214>445349857?=1:?07g<6:816?>j51368965a288:70=;1;317>"4:h08o6`<288e?xu58:0;6?u2395967e<5=:>6lj4}|`703<72:>1?<4:7zN0<0<6sA;ii6sC39496~h3<00?>6*;4986?x"45U9281<54={`8f>xo>13:17d=>8;29?j57=3:17b:=1;29?l5d83:17b:>4;29?j27j3:17b=k9;29?l56>3:17d9k:188k1662900e>kj:188m1522900e9?7:188m7332900c9?=:188m7bb2900c?h<:188k6`c2900c?:6:18'666=:=20b?>>525:8j74a2810c?;=:18'666=:=20b?>>525:8j74a2:10c?;?:18'666=:=20b?>>525:8j74a2<10c?:j:18'666=:=20b?>>525:8j74a2>10c?:l:18'666=:=20b?>>525:8j74a2010c?:n:18'666=:=20b?>>525:8j74a2k10e>o50;&175<412d9>k4?;:k074n30e>4=h5:o0976g<5;29 7572:30b?5$313>6?o493:1(?=?:2;8j74a2>10e>>50;&175<412d9>k47;:k1b?6=,;9;6>74n30e><=h5:o0j76g=d;29 7572:30b?5$313>6?o3:3:1(?=?:2;8j74a2o10e9?50;&175<412d9>k4>0:9j05<72-88<7=6;o01b?7632c8j7>5$313>6?5<#:::1?45a23d956=h5:o0:865m2dc94?77280:1c9je=<722e9=o4?::m15a<722e9=k4?::m164<722e9>>4?::m160<722e9>:4?::m16<<722e9>l4?::k2f2<722c:n54?::k2f<<722c:nl4?::k2fg<722c:nn4?::`25d<728:1i7?:{M1;1?7|@8hn7p*<4`81ad=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75f1ca94?=nik0;66a=1c83>>i59m0;66a=1g83>>i5:80;66a=2283>>i5:<0;66a=2683>>i5:00;66a=2`83>>da83:1?7?53zN0<0<6sA;ii6s+35c9ac=nim0;66a=3883>>of03:17o950;194?6|,:>j6hj4H2:0?I5?=3;p(<<3:17dok:188k75>2900q~o7:181[g?34=1595rs321>5<5s4=1>>74^31:?xu58:0;6?uQae9>3?gc3twijo4?:282>6}K;1?1=vF>bd9~ 62f2ll0elj50;9l66?=831bm54?::`4>5<4290;w)=;a;gg?M5?;2F8484>{%31a?23=2wb594?::kb`?6=3f8857>5;|qbv38:31:?[4412wx>==50;0xZdb<5>0jh6srb02`>5<42808wA=75;3xL4db3t.88l4jf:kb`?6=3f8857>5;hc;>5<0;6>4?:1y'71g=mm1C?5=4L2:6>4}#9;o189;4}h;7>5<<2wx>=<50;0x92<5;01U>>74}r037?6=:rTjh638:`f8yxdai3:1;7;5bz&00d5<5<1<729q/?9o5529K7=55<5<?4?::m14=<722wij84?:283>5}#;=k19=5G3918m4462900e<<=:188k76?2900qoh8:185>5<7s-9?m7;l;I1;7>o6:80;66g>2383>>o6::0;66g>2583>>o6:<0;66a=0983>>{en10;684?:1y'71g=:8;0D>6<;h315?6=3`;9>7>5;h317?6=3`;987>5;n035<3sWli70h6:001?8`2288:70h8:000?xua83:18vPi0:?e=?75927m?7?=2:?e1?75:2wx=o950;0xZ4d034l<6<<:;|q2f<<72;qU=o74=g5957252z\2ff=:n>0:>?5rsg394?4|Vo;01k6521:8yv`52909w0h6:32;?8`?288:7p}i3;296~;a;38;463i8;316>{tn=0;6?u2f4814==:n10:>>5rsg494?4|5o=1>=64=g:957246|,:>j6<>m;hd3>5<5<>d68h0;694?:1y'71g==:1C?5=4i002>5<5<5<3290;w)=;a;77?M5?;2c:><4?::k267<722c:>>4?::m14=<722wi==>50;494?6|,:>j68m4H2:0?l7593:17d?=2;29?l75;3:17d?=4;29?l75=3:17b4?:1y'71g==91C?5=4i002>5<5<53;294~"4<6F<829j577=831b=?<50;9l65>=831vn<>9:180>5<7s-9?m7;?;I1;7>o6:80;66g>2383>>i5810;66sm11:94?2=83:p(>:n:468L6>43`;9=7>5;h316?6=3`;9?7>5;n035}#;=k1><:4H2:0?l7593:17d?=2;29?l75;3:17d?=4;29?l75=3:17d?=6;29?l75?3:17bb69>55g=9;;01<>?:007?87703;9>6s|1c`94?2|V8hi70??a;316>;am3;9?63>098266=z{oh1<79t^g`89cc=9;801<>?:001?877:3;9=63>058267=:99<1=??4=02;>4463ty:n44?:2y]5g?<5oo1=??4=023>4463ty:n54?:3y]5g><58:;6<<:;|q2fd<72;qU=oo4=023>4443ty:=64}rdg>5<5s4;;m76:001?xuan3:1>v3>01814==:9931=?=4}r335?6=:r7:55?=9;>0q~??3;296~;68=09<55211;957352z?243<58116==751348yv77?3:1>v3>09814==:9931=?94}|`25=<7210=6iu+35c954>5<5<>o6jj0;66a>0e83>>d69>0;684?:1y'71g==>1C?5=4i002>5<5<5<55;294~"4:6F<829j577=831b=?<50;9j575=831b=?:50;9l65>=831vn:180>5<7s-9?m7;?;I1;7>o6:80;66g>2383>>i5810;66sm10194?5=83:p(>:n:428L6>43`;9=7>5;h316?6=3f8;47>5;|`250<72<0;6=u+35c91==O;190e<<>:188m4452900e<<<:188m4432900c?>7:188yg76>3:1:7>50z&00d<59;1C?5=4i002>5<5<5<;<321?75;2wx=o750;1xZ4d>34;:;7?=3:?250<6:=1v<>l:186[77k27:=:4>209>547=9;;016s|1c`94?5|V8hi70?>7;310>;68o0:>95rsg`94?5|Voh01<>i:001?87693;9>6s|f183>6}Yn916==h51318947428897p}>bb83>7}Y9ki010d83>7}:98=1>=64=035>4463ty:55`=:9201<58;=6<<<;|q257<72;q6=<=521:89471288?7p}>1583>7}:98?1>=64=035>4423twi>j68;4H2:0?l7593:17d?=2;29?l75;3:17b5<5<N40:1b=??50;9j574=831b=?=50;9l65>=831vn?<=:187>5<7s-9?m7;;;I1;7>o6:80;66g>2383>>o6::0;66a=0983>>{e:;>1<7:50;2x 62f2<90D>6<;h315?6=3`;9>7>5;h317?6=3f8;47>5;|`163<72=0;6=u+35c911=O;190e<<>:188m4452900e<<<:188k76?2900qo<=8;290?6=8r.88l4:3:J0<6=n9;;1<75f13094?=n9;91<75`21:94?=zj;8i6=4<:183!53i3?;7E=73:k264<722c:>?4?::m14=<722wxj=4?:by>b5<5;016jl4i0:?24g;5:=0:><522349575<5;836<<=;<01f?7592wxjo4?:by>bg<5;016jl4ib:?24g;5:=0:>?522349574<5;836<<>;<01f?75:2wx==m50;`x946d2;9270hn:02`?877j3;;o63>19824f=::8i1=??4=33f>4463489<7?=3:?167<6:;16>?:513189741288:70<=8;317>{t9k=1<7:t^0`4?8`f28h<70??b;3a3>;6910:n:5rs0`;>5<5sW;i463>0c82f==z{8h26=4;{_3a=>;ai3;i563>0c82f<=:9821=o74}r3ae?6=:rT:nl5211`95gg53z\2fg=:99h1=ol4=03;>4de3ty:nn4?:2y]5ge<5ok1=om4=03;>4dd3tyjn7>54z\bf>;a83k370hm:`:8946d2h20q~<>b;296~X59k16>vP=1e9>64c=:920q~<>f;296~X59o16>?>521:8yv4593:1>vP=209>674=:920q~<=3;296~X5::16>?:521:8yv45=3:1>vP=249>670=:920q~<=7;296~X5:>16>?6521:8yv45i3:1>vP=2`9>67d=:920q~h>:1818`72hn01ko5f09~wce=838p1kl5ae9>55d=nj1v<>k:181877k3ko70?>8;33`>{z{h21<7b;296~X59k16=vP=1e9>54g=:8n0q~<>f;296~X59o16=vP=209>54g=:;;0q~<=3;296~X5::16=vP=249>54g=:;?0q~<=7;296~X5:>16=vP=289>54g=:;30q~<=a;296~X5:h16=vP>b69>54g=9k=0q~?m8;296~X6j116=vP>b89>54g=9k30q~?ma;296~X6jh16=vP>bc9>54g=9kh0q~?mc;296~X6jj16=d}#<=21:6*;4680f6=#<:l1mi5+453966?<,=9o6l64n51:>4=#<:o1m45a42c95>"3<:08>k5a42`94>{#;=k1=im4i`:94?=ni00;66gnd;29?j4413:17d==f;29?g1=83?1>7;tL2:6>4}O9ko0q)=;a;3`1>ofl3:17b<<9;29?lg?2900el750;9je5<722h<6=4::183!53i3on7E=73:N0<0<6s-;9i7:;5:j==<722cj<7>5;h;7>5<:183!53i3;:j6F<829l54c=831vl650;0xZd><5>0286s|a883>7}Yi016;777;|q147<72;q6?5:510g892==50;0x92<5;01U>>74}r030?6=:rTjh638:`f8yxd4ih0;6>4?:1y'71g=:9i0D>6<;h315?6=3`;9>7>5;n0352z\b<>;02h20q~o6:181[g>349jm7?=2:p66?=838pR?=6;<5966?53z\06c=:?3ko70=na;315>{t;h31<7;4ih09<55r}c05f?6==3819vB<8482M7em2wG?585az&70=<13->?;7=m3:&77c751:&77`;%677?55n2d??o4?;|&00d<6m>1bm54?::kb=?6=3`ko6=44o31:>5<0<52j65<t$26b>`c<@:287A=75;3x 44b2=>>7pg68;29?lg72900e4:50;9jea<722e9?44?::a7=2=83;1<7>t$26b>47a3A93?6a>1d83>>{ti10;6?uQa99>3??33tyj57>52z\b=>;02020q~X5;01v?>;:181[gc34=1mi5r}c1be?6=;3:1:188m4452900c?>7:188yvg?2909wSo7;<59e==z{h31<77}Y::301:4=389~w64a2908wS==f:?4>db<5:kj6<<>;|q0e<<72;q6;7o6;<1be?4702wvn:h50;195?5|D:2>63;p(9:7:79~ 62f28on7dok:188k75>2900el650;9a3?6=;3:1N40:1b594?::kb`?6=3f8857>5;|qbv38:31:?[4412wx>==50;0xZdb<5>0jh6srb350>5<42808wA=75;3xL4db3tF84;4>{%675;hc;>5<0;6>4?:1y'71g=mm1C?5=4L2:6>4}#9;o189;4}h;7>5<<2wx>=<50;0x92<5;01U>>74}r037?6=:rTjh638:`f8yxd5010;6>4>:2yO7=3=9rB:nh5rL2:5>4}#<=21:6s+35c95c65<7s-9?m7kk;I1;7>J40<0:w)?=e;671>{n1=0;66gnd;29?j4413:17p}n8;296~Xf027<64:4}r036?6=:r7<6?=6;_00=>{t:991<74<4sE9397?tH0`f?xJ40?0:w):;8;48y!53i3;m=6gnd;29?j4413:17do7:188f2<72:0;6=u+35c9aa=O;190@>6::0y'57c=<=?0qd7;:188mdb=831d>>750;9~wd>=838pRl64=68:0>{t:981<7uC39795~N6jl1v@>69:0y'01>=>2w/?9o51g08mdb=831d>>750;9je=<722h<6=4<:183!53i3oo7E=73:N0<0<6s-;9i7:;5:j=1<722cjh7>5;n00=?6=3tyj47>52z\b<>;020>0q~{zj:n26=4<:387I5?=3;pD?4784}%17e?7d?2cjh7>5;n00=?6=3`k36=44b683>6<729q/?9o5ee9K7=51<75fae83>>i5;00;66smab83>7<729q/?9o513d8L6>43`;9<7>5;n0352z\b<>;fk3;9<6s|21094?4|5>02863nc;03<>{t:991<75<5<5<5<?4?::k266<722c:>94?::m14=<722wi>5<50;694?6|,:>j68:4H2:0?l7593:17d?=2;29?l75;3:17b4?:1y'71g=:9h0D>6<;h315?6=3`;9>7>5;n037;|q1ag<72;qU>hl4=3:7>4433ty94;4?:3y]6=0<5;2?6<<>;|q13d<72;qU>:o4=3:7>4453ty9;n4?:3y]62e<5;2?6<<<;|q1af<72;qU>hm4=3:1>4443ty9;;4?:3y]620<5;296<<=;|q13`<72;qU>:k4=3:1>4463ty94<4?:3y>6=2=:9201?6<:002?xu50;0;6?u2290965><5;286<<=;|a6<7=833197jt$26b>7?63f8357>5;h0ff?6=3`83:7>5;h04e?6=3`8nh7>5;h0fa?6=3`8m=7>5;h0fg?6=3`8<:7>5;c0:4?6=;3:1N40:1b=??50;9j574=831d>=650;9~f7>e29086=4?{%17e?47j2B84>5f13394?=n9;81<75`21:94?=zj;2h6=4<:183!53i3>m7E=73:k264<722c:>?4?::m14=<722wi>5k50;194?6|,:>j69h4H2:0?l7593:17d?=2;29?j4703:17pl=8g83>3<729q/?9o52008L6>43`;9=7>5;h316?6=3`;9?7>5;h310?6=3`;997>5;n037;|q1ag<72;qU>hl4=3:`>4463ty94;4?:2y]6=0<5;3;6<<>;<0;f?7592wx>:o50;0xZ71f3482<7?=2:p6`b=838pR?kk;<0;b?75:2wx>hk50;0xZ7cb3483j7?=3:p6c7=838pR?h>;<0;b?75<2wx>hm50;0xZ7cd3483i7?=1:p620=838pR?99;<0;a?75:2wx>5o50;0x97?72;:370<7b;316>{t:981<776?3483o7?=2:p655=838p1?6l:32;?84?n3;9=6s|29f94?4|5;2n6?>7;<0;b?75=2wvn?8n:18`>2<6:r.88l4=6`9l636=831b>hl50;9j6=0=831b>:o50;9j62e=831b>hj50;9j6`c=831b>hh50;9j6c6=831b>hm50;9j620=831b>:k50;9a63?=83>1<7>t$26b>02<@:287d?=1;29?l75:3:17d?=3;29?j4703:17pl=6383>6<729q/?9o521`8L6>43`;9=7>5;h316?6=3f8;47>5;|`126<72:0;6=u+35c90c=O;190e<<>:188m4452900c?>7:188yg41=3:1?7>50z&00d<282B84>5f13394?=n9;81<75`21:94?=zj;<=6=4<:183!53i38;n6F<829j577=831b=?<50;9l65>=831vn?88:180>5<7s-9?m7:i;I1;7>o6:80;66g>2383>>i5810;66sm27:94?1=83:p(>:n:330?M5?;2c:><4?::k267<722c:>>4?::k261<722c:>84?::k263<722e9<54?::p636=838pR?8?;<05hl50;0xZ7ce348=?7?=1:p6=0=839pR?69;<05=?759279:?4>209~w71f2909wS<8a:?12<<6:;1v?9l:181[40k279:44>229~w7cc2909wS229~w7ca2909wS249~w7cd2909wS279:84>209>630=9;;0q~<8e;296~X5?l16>;;51308yv4193:1>v3=68814==::?81=?<4}r036?6=:r79:?4=099>635=9;80q~:09<55227:957752z?120<58116>;851308yv47<3:1>v3=67814==::?=1=?<4}r031?6=:r79::4=099>63>=9;<0qpl=9683>1<42?q/?9o52858k7212900e?67:188m61=831b>i4?::`1=3<72:0;6=u+35c90c=O;190e<<>:188m4452900c?>7:188yg4><3:1?7>50z&00d<282B84>5f13394?=n9;81<75`21:94?=zj;3>6=4<:183!53i38;n6F<829j577=831b=?<50;9l65>=831v?:9:181[43>279584=099~w7>?2908wS<78:?1=3<6:816>4:51338yv502909wS=8;<0:2?75:2wx>i4?:3y]6a=::0>1=?<4}r0:6?6=:r795;4=099>6<3=9;;0q~<63;296~;51=09<55228795743}#;=k1>4j4o36b>5<6<729q/?9o54g9K7=55<N40:1b=??50;9j574=831d>=650;9~f7?e29086=4?{%17e?47j2B84>5f13394?=n9;81<75`21:94?=z{;>j6=4={_07e>;51k09<55rs3:;>5<4sW83463=9b8264=::0k1=??4}r1f>5<5sW9n70<6c;316>{t:l0;6?uQ2d9>652z?1=d<58116>4l51308yxd5i:0;694<:7y'71g=:h90c?:m:188m7>?2900e>h50;9j6c<722h9m?4?:283>5}#;=k18k5G3918m4462900e<<=:188k76?2900qo5<4290;w)=;a;03f>N40:1b=??50;9j574=831d>=650;9~w72e2909wS<;b:?1e4<5811v?67:180[4?0279m?4>209>6d6=9;;0q~=i:181[5a348j>7?=2:p6c<72;qU>k522`2957452z?1e7<58116>l?51338yv4>n3:1>v3=a1814==::h;1=?<4}|`1e<<72=086;u+35c96d?h6=44i3:;>5<>d5i10;6>4?:1y'71g=5<5<53;294~"4<6F<829j577=831b=?<50;9l65>=831vn?o8:180>5<7s-9?m75<5sW8?o63=a6814==z{;236=4<{_0;<>;5i10:><522`49577;6=4={_63?84f03;9>6s|3183>7}Y;916>l851308yv4f<3:1>v3=a9814==::h=1=??4}r0b1?6=:r79m;4=099>6d1=9;80qpl=ag83>1<42?q/?9o52`d8k72c2900e?67:188m17=831b?<4?::`1e`<72:0;6=u+35c90c=O;190e<<>:188m4452900c?>7:188yg4fk3:1?7>50z&00d<282B84>5f13394?=n9;81<75`21:94?=zj;ko6=4<:183!53i38;n6F<829j577=831b=?<50;9l65>=831v?:k:181[43l279mi4=099~w7>?2908wS<78:?1e`<6:816>lm51338yv262909wS:>;<0ba?75:2wx?<4?:3y]74=::hi1=?<4}r0be?6=:r79mh4=099>6db=9;;0q~3}#;=k1>o;4o36f>5<6<729q/?9o54g9K7=55<N40:1b=??50;9j574=831d>=650;9~f7d429086=4?{%17e?47j2B84>5f13394?=n9;81<75`21:94?=z{;>n6=4={_07a>;5j:09<55rs3:;>5<4sW83463=b58264=::k81=??4}r61>5<5sW>970{t;;0;6?uQ339>6g4=9;80q~52z?1f7<58116>o=51308yxd5jk0;694<:7y'71g=:kh0c?:i:188m7>?2900e9=50;9j76<722h9nl4?:283>5}#;=k18k5G3918m4462900e<<=:188k76?2900qo5<4290;w)=;a;03f>N40:1b=??50;9j574=831d>=650;9~w72a2909wS<;f:?1f<<5811v?67:180[4?0279nl4>209>6g>=9;;0q~:<:181[24348im7?=2:p76<72;qU?>522c:957452z?1fd<58116>o751338yv4e?3:1>v3=b9814==::k31=?<4}|`1g4<72=086;u+35c96f75<1<75f3583>>d5k90;6>4?:1y'71g=5<5<53;294~"4<6F<829j577=831b=?<50;9l65>=831vn?li:180>5<7s-9?m75<5sW8><63=bg814==z{;236=4<{_0;<>;5k90:><522cg9577?6=4={_67?84d83;9>6s|3583>7}Y;=16>ok51308yv4ek3:1>v3=c1814==::kl1=??4}r0a`?6=:r79nh4=099>6g`=9;80qpl=c883>1<42?q/?9o52b;8k7362900e?m=:188m13=831b?84?::`1g=<72:0;6=u+35c90c=O;190e<<>:188m4452900c?>7:188yg4d>3:1?7>50z&00d<282B84>5f13394?=n9;81<75`21:94?=zj;i<6=4<:183!53i38;n6F<829j577=831b=?<50;9l65>=831v?;>:181[429279o:4=099~w7e52908wSn851338yv222909wS::;<0`6f1=9;;0q~3}#;=k1>nh4o371>5<6<729q/?9o54g9K7=55<N40:1b=??50;9j574=831d>=650;9~f7ec29086=4?{%17e?47j2B84>5f13394?=n9;81<75`21:94?=z{;?96=4={_066>;5km09<55rs3:;>5<4sW83463=cd8264=::ji1=??4}r1;>5<5sW9370{t;?0;6?uQ379>6fe=9;80q~52z?1gf<58116>nj51308yxd5nl0;6>4?:1y'71g=:9i0D>6<;h315?6=3`;9>7>5;n035}#;=k1=?h4H2:0?l7583:17b6<;h314?6=3f8;47>5;|`745<72;0;6=u+35c957`<@:287d?=0;29?j4703:17pl;0583>7<729q/?9o513d8L6>43`;9<7>5;n035}#;=k1=?h4H2:0?l7583:17b6<;h314?6=3f8;47>5;|`137<72:0;6=u+35c965d<@:287d?=1;29?l75:3:17b4?:1y'71g=5<5<53;294~"45<4290;w)=;a;72?M5?;2c:><4?::k267<722e9<54?::a075=83?1<7>t$26b>0g<@:287d?=1;29?l75:3:17d?=3;29?l75<3:17b5<5<5<;?7>53;294~"4<6F<829j577=831b=?<50;9l65>=831vn9>k:187>5<7s-9?m7;;;I1;7>o6:80;66g>2383>>o6::0;66a=0983>>{e<8:1<7=50;2x 62f2;:i7E=73:k264<722c:>?4?::m14=<722wi>;j50;794?6|,:>j6884H2:0?l7593:17d?=2;29?l75;3:17d?=4;29?j4703:17pl=6g83>1<729q/?9o5539K7=55<5<55;294~"446F<829j577=831b=?<50;9j575=831b=?:50;9l65>=831vn?98:180>5<7s-9?m7;?;I1;7>o6:80;66g>2383>>i5810;66sm26;94?2=83:p(>:n:418L6>43`;9=7>5;h316?6=3`;9?7>5;n035}#;=k1>=k4H2:0?l7593:17d?=2;29?l75;3:17b5<5<N40:1b=??50;9j574=831b=?=50;9l65>=831vn?9i:180>5<7s-9?m7:i;I1;7>o6:80;66g>2383>>i5810;66sm3e`94?4=83:p(>:n:00e?M5?;2c:>=4?::m14=<722wx544?:`y]=<=::lk1m5522049e==::?h1m5527g8b<>;5?:0j463=898b<>;5=o0j463<148b<>;4l00j46s|30:94?>|V:;370;5>m0:>?5227d9574<5;=<6<<=;<04=?75:279;i4>229>62`=9;80q~:=1;296~X3:8168?:521:8yv5d83:1:vP040=9;;019<<:002?85an3;9=63;028264=:<9n1=?<4}r620?6=:rT?=952405965>;n7>52z\74g=:<9o1>=64}r1g=?6=:rT8h4523e;966?53z\053=::lk1=o64=356>4433ty5bz\4`>;5mh0:n:52204977`<5;db<5;=>6<<<;<043?759279;44>229>62d=9;901?9k:002?840n3;9=6s|41394?4|V=::70:?4;03<>{t;lo1<770:>6;316>;3::0:>?523gd9574<5=:86<<=;|q75=<72;qU8<64=500>4443ty9994?:cy]602<5;oj6239>6f5=9;8019>k:000?841l3;9863=748264=::>31=??4=35a>445348ik50;0xZ7bb34>:?7?=0:p6c5=838pR?h<;<0ea?4702wx?kj50;0xZ6`c34>;<7ho51cc892`=::301?9::001?xu5=l0;69u2260965><5=886<<;;<1eb?75<27?209~w70d2909w0<81;315>;5>m09<55rs34f>5<5s48<=7?=2:?12c<5811v>?::180[57=278=84=389>046=9;80q~:?2;296~;38=0:>=52411965>9>7>52z?761<6:9168?=521:8yv4d:3:1>v3=c881g7=::j91>=64}r06b?6=:r799k4=389>624=9;;0q~<9b;296~;5>k09?452260957456z?1ad<59k16>5;52d`897?62;oi70<9a;0ff>;5910:><5227f957755z?1ad<59m16>5;52da897?62;oh70<9a;0fg>;5>o0:><5rs3gg>5<4s48nm7<>f:?1=4<5mm16>;o52df8yv40<3:1>v3=74814==::1=1=??4}r0fa?6=;r79il4=209>6<7=:lo01?8n:3gf?xu5??0;68u22979620<5;3:6?99;<05e?40>279;:4=099>6=1=9;80q~>5227c96``52z?13<<58116>5951318yv4a83:1>v3=e`8160=::?k1>k>4}r04e?6=6<7=:>k01?8n:35b?840j38;46s|2g394?4|5;oj6?<8;<0:5?4a92wx>:m50;1x97>22;=h70<9a;04g>;5?m09<55rs335>5<2s48nm7?mc:?153<5;016>;j51318970a288870<8b;315>{t:>o1<7=t=3:6>71b348=m7<8e:?13c<5811v>o7:18184bi389m63<148b`>{t;oo1<7447349mj7k:32;?82683;9=6s|26294?4|5;76?3ty8hl4?:3y>7a?=im16?il521:8yv4?03:1ov3=89817<=::0=1>564=3;g>7>?348j?7<78:?1e<<50116>lh529:897d22;2370;5k80945522bd96=><5;i86<<>;<1gf?7582wx>5750;0x97>?2hn01?7>:3::?xu59>0;6?u22049e<=::821>=64}r0;2?6=6<7=:1<01?8n:3:5?84??38;46s|41d94?4|5=:n6<;>50;0x973a2hn01?8n:343?xu5?:0;6?u2261966?<5;ln6<<=;|q1<5<72;q6>:=5ae9>6=3=:1:0q~:>5;296~;39>0:>=52404965>>7>52z\117=::jl1>8<4$20b>72?3g9957<4}r065?6=:rT99<522b;9607<,:8j6?:7;o11=?5<7>52z\115=::j;1>8>4$20b>72?3g9957:4}r07b?6=:rT98k522c`961`<,:8j6?:7;o11=?352z\10`=::k?1>9k4$20b>72?3g995784}r07`?6=:rT98i522`d961b<,:8j6?:7;o11=?152z\10f=::h31>9m4$20b>72?3g995764}r07f?6=:rT98o522`1961d<,:8j6?:7;o11=??52z\10d=::0n1>9o4$20b>72?3g9957o4}r072?6=:rT98;522859610<,:8j6?:7;o11=?dl4<9:l06<<73ty847>52z\0<>;5ko0846*<2`80=>h4:00:7p}<6;297~X4>2T984522bd973=#;;k1?45a33;96>{t;<0;6?uQ349>6f?=;<1/??o5389m77?=;2wx?94?:3y]71=::j;1?95+33c97<=i;;3186s|3283>7}Y;:16>ol5329'77g=;01e??755:p77<72;qU??522c7977=#;;k1?45a33;92>{t;80;6?uQ309>6d`=;81/??o5389m77?=?2wx?=4?:3y]75=::h31?=5+33c97<=i;;3146s|2g83>7}Y:o16>l=52g9'77g=;01e??759:p6`<72;qU>h5228f96`=#;;k1?45a33;9e>{t:m0;6?uQ2e9>6<1=:m1/??o5389m77?=j2wx884?:3y]00=::j31885+33c97<=i;;31o6s|4583>7}Y<=16>n?5459'77g=;01e??75d:p06<72;qU8>522c`906=#;;k1?45a33;9a>{t<;0;6?uQ439>6g3=<;1/??o5389m77?=n2wx8<4?:3y]04=::hl18<5+33c97<=i;;31==5rs5294?4|V=:01?o6:528 64f2:30b><6:038yv5a2909wS=i;<0b7?5a3-99m7=6;o11=?753ty8i7>52z\0a>;51m08i6*<2`80=>h4:00:?6s|3683>7}Y;>16>495369'77g=;01e??75159~yg5e13:1=>4=7;16I5?=3;pD?57;:;%675;h341?6=3`;8<7>5;h1`4?6=3f2;6=44i6f94?=h9>=1<75f3dg94?=n91<75`1`594?=h>i59m0;66a=1g83>>i5:80;66a=2283>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66l>1`83>g<>2oqG?5;51zJ2f`=z,:>j6?=>;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3`ki6=44o33a>5<5<5<228qC=ok4}%17e?ca3`ko6=44o31:>5<2d8700=za0>1<75fae83>>i5;00;66s|a983>7}Yi116;77;;|q147<72;q6;7<<9:\17<=z{;:86=4={_cg?81=im1vqohm:180>4<4sE9397?tH0`f?x"46::0y'57c=<=?0qd7;:188mdb=831d>>750;9~wd>=838pRl64=68:0>{t:981<7oa83:17d?mb;29?l`e2900ck?50;9ab1<72:0;6=u+35c90c=O;190e<<>:188m4452900c?>7:188yg`429086=4?{%17e?47j2B84>5f13394?=n9;81<75`21:94?=z{o:1<7239~wcd=838pRkl4=g19574{zj8:i6=47:78g!53i3;;n6g>b683>>oa83:17dhm:188m4de2900e2900ej68>4H2:0?l7593:17d?=2;29?j4703:17plie;297?6=8r.88l4:0:J0<6=n9;;1<75f13094?=h:921<75rb023>5<4290;w)=;a;73?M5?;2c:><4?::k267<722e9<54?::a554=83>1<7>t$26b>05<@:287d?=1;29?l75:3:17d?=3;29?j4703:17pl>0583>0<729q/?9o5599K7=55<5<3:1:188m4452900e<<<:188m4432900e<<::188k76?2900q~?m7;296~X6j>16==951308yv`7290>wSh?;<333?75927mi7?=1:?247<6:;16==:51308yv`e290?wShm;44534;;<7?=2:?247<6:81v209>554=9;90q~?m8;296~X6j116==:51318yv7e13:1>vP>b89>552=9;>0q~?ma;296~X6jh16==:51338yv`d2909wShl;<332?4702wxji4?:3y>551=:9201<>9:002?xuam3:1>v3ie;03<>;68?0:>?5rsgd94?4|58:;6?>7;<332?75;2wx==?50;0x94652;:370??6;310>{t9991<776?34;;:7?=5:~f77d29086=4?{%17e?373A93?6g>2083>>o6:;0;66a=0983>>{e:8o1<7=50;2x 62f2=l0D>6<;h315?6=3`;9>7>5;n03=4?:283>5}#;=k19=5G3918m4462900e<<=:188k76?2900qo<=2;297?6=8r.88l4:1:J0<6=n9;;1<75f13094?=h:921<75rb307>5<4290;w)=;a;03f>N40:1b=??50;9j574=831d>=650;9~wc6=832p1k>522;89cg=n916==l5f19>64e=9;;01??j:001?84583;9>63=238267=::;>1=?<4}rda>5cd<58:i6kl4=33`>445348:i7?=1:?165<6:816>?<513389743288:7p}>b683>7}Y9k=01<>m:0`4?xu6j10;6?uQ1c:8946e28h37p}>b883>7}Y9k301<>m:0`:?xu6jh0;6?uQ1cc8946e28hj7p}>bc83>6}Y9kh01ko51c`8946e28hi7p}nb;297~Xfj27m<7o7;d>52z\15g=::8i1>=64}r02`?6=:rT9=i5220g965>52z\15c=::;:1>=64}r015?6=:rT9><52230965>52z\166=::;>1>=64}rd2>5<5s4l;6lj4=gc9b4=z{oi1<75<5sWk370?>a;ca?xu59k0;6?uQ20`8947f2;;i7p}=1e83>7}Y:8n017}Y:;;01b683>7}Y9k=01b883>7}Y9k301bc83>7}Y9kh01228qC=ok4}M1;2?7|,=>3695r$26b>4e?3`ko6=44o31:>5<2d8700=za0>1<75fae83>>i5;00;66s|a983>7}Yi116;77;;|q147<72;q6;7<<9:\17<=z{;:86=4={_cg?81=im1vqo?63;291?4==rF8484>{I3aa>{K;1<1=v*;4987?x"4>i5;00;66gn8;29?lg>2900el>50;9a3?6==3:1N40:1b554?::kb4?6=3`3?6=44i`f94?=h::31<75rb2:7>5<6290;w)=;a;32b>N40:1d==838pRl64=68:0>{ti00;6?uQa89>3???3ty97=2=98o01:4n0:p655=838p1:4=389]66?52z\b`>;02hn0qpl>f583>1<52=qG?5;51zJ2f`=zD:2=65u+45:90>"3<>08n>5+42d9ea=#<=;1>>74$51g>d>4ef3`k36=44i`f94?=h::31<75f33d94?=e?3:1?7?53zN0<0<6sA;ii6s+35c95f35<7s-9?m7kk;I1;7>o><3:17dok:188k75>2900q~o7:181[g?34=1595rs321>5<5s4=1>>74^31:?xu58:0;6?uQae9>3?gc3twi?4j50;094?6|,:>j6<o6:90;66a=0983>>{ti10;6?uQa99>3?g?3ty9?44?:3y]66?<5>09?45rs20e>5<5sW99j63<9e8265=z{:3h6=4={<59ea=:;0n1>=64}|`b1?6=<3;1?vB<8482M7em2wG?5858z&70=<33->?;7=m3:&77c751:&706<4:o1e8>l50:'71g=9jh0el650;9jea<722e9?44?::k06c<722h<6=4<:080I5?=3;pD5;c594?5=83:p(>:n:df8L6>43`3?6=44i`f94?=h::31<75rs`:94?4|Vh201:464:p654=838p1:4=389]66?52z\b`>;02hn0qp}n8;296~Xf027<6l64}r00=?6=:rT9?4527;00=>{t;;l1<77<2sE9397?tH0`f?xJ40?0jw):;8;68 1202:h87):h3;00:7):of03:17do6:188mdb=831d>>750;9j71?=831i;7>55;091~J40<0:wE?me:'71g=9j?0elj50;9l66?=831bm54?::kb=?6=3`k;6=44b683>0<729q/?9o5ed9K7=5>o><3:17dok:188k75>2900qo=74;295?6=8r.88l4>1g9K7=55<5sWk37095959~wd?=838pRl74=68:<>{t:981<747b34=1m=5rs320>5<5s4=1>>74^31:?xu58=0;6?uQae9>3?gc3twi?lm50;194?6|,:>j6?>m;I1;7>o6:80;66g>2383>>i5810;66s|a983>7}Yi116;7o7;|qb=?6=:rTj563;02;927p}<4883>6}Y;=301:4nd:?0ef<6:81v>om:18181=i016?lm521:8yxd6?80;684=:4yO7=3=9rB:nh5rL2:5>4}#<=2186s+35c95f?5}#;=k1ih5G3918m<>=831bm=4?::k:0?6=3`ko6=44o31:>5<51;294~"46;:03f?81=i91v?><:18181=::30R?=6;|q141<72;qUmi527;cg?x{e9ho1<7;52;7xH6>228qC=ok4}M1;2?7|,=>3695r$26b>4e>3`ko6=44o31:>5<>of83:17o950;794?6|,:>j6hk4H2:0?l??2900el>50;9j=1<722cjh7>5;n00=?6=3th8494?:083>5}#;=k1=5<5sWk27095999~w7652909w0=74;32a>;02h:0q~{zj8=<6=4<:080I5?=3;pD?47:4}%17e?7bm2cjh7>5;n00=?6=3`k36=44b683>6<729q/?9o5ee9K7=51<75fae83>>i5;00;66s|a983>7}Yi116;77;;|q147<72;q6;7<<9:\17<=z{;:86=4={_cg?81=im1vqo?74;291?4==rF8484>{I3aa>{K;1<1=v*;4987?x"4>i5;00;66gn8;29?lg>2900el>50;9a3?6==3:1N40:1b554?::kb4?6=3`3?6=44i`f94?=h::31<75rb2:7>5<6290;w)=;a;32b>N40:1d==838pRl64=68:0>{ti00;6?uQa89>3???3ty97=2=98o01:4n0:p655=838p1:4=389]66?52z\b`>;02hn0qpl;6;297?7=;rF8484>{I3aa>{K;1<1=v*;4987?x"4>i5;00;66gn8;29?g1=8391<7>t$26b>`b<@:287d7;:188mdb=831d>>750;9~wd>=838pRl64=68:0>{t:981<769:0y'01>=<2w/?9o51b;8mdb=831d>>750;9je=<722cj57>5;hc3>5<0;684?:1y'71g=ml1C?5=4i8:94?=ni90;66g64;29?lgc2900c?=6:188yg5?<3:1=7>50z&00d<69o1C?5=4o03f>5<0246s|21094?4|5:2?67}Yim16;7ok;|a5d1=83?1>7;tL2:6>4}O9ko0qA=76;3x 12?2=1v(>:n:0a:?lgc2900c?=6:188md>=831bm44?::kb4?6=3k=1<7;50;2x 62f2lo0D>6<;h;;>5<>ofl3:17b<<9;29?xd40=0;6<4?:1y'71g=98l0D>6<;n32a?6=3tyj47>52z\b<>;020>0q~o6:181[g>34=1555rs321>5<5s49387?>e:?4>d652z?4>75>3W8856s|21694?4|Vhn01:4nd:~f771290>6?4:{M1;1?7|@8hn7pB<878b!2303>0(9:8:2`0?!24n3ko7):;1;00=>"3;m0j46`;3882?!24m3k27c:2900elj50;9l66?=831b??h50;9a3?6==3819vB<8482M7em2w/?9o51b78mdb=831d>>750;9je=<722cj57>5;hc3>5<0;684?:1y'71g=ml1C?5=4L2:6>4}#9;o189;4}h;;>5<>ofl3:17b<<9;29?xd40=0;6<4?:1y'71g=98l0D>6<;n32a?6=3tyj47>52z\b<>;020>0q~o6:181[g>34=1555rs321>5<5s49387?>e:?4>d652z?4>75>3W8856s|21694?4|Vhn01:4nd:~f6gf29086=4?{%17e?47k2B84>5f13394?=n9;81<75`21:94?=z{h21<7{t;;l1<7=t^20e?81=im16?lo51338yv5f13:1>v38:`;896gf2;:37psm18:94?3=;3=p@>6::0yK5gc1=#<==1?o=4$51e>db<,=>:6?=6;%60`?g?3g>857?4$51f>d?4eb3`k36=44i`;94?=nim0;66a=3883>>o4:o0;66l8:186>7<2sE9397?tH0`f?x"4>i5;00;66gn8;29?lg>2900el>50;9a3?6==3:1N40:1b554?::kb4?6=3`3?6=44i`f94?=h::31<75rb2:7>5<6290;w)=;a;32b>N40:1d==838pRl64=68:0>{ti00;6?uQa89>3???3ty97=2=98o01:4n0:p655=838p1:4=389]66?52z\b`>;02hn0qpl<9e83>6<729q/?9o54g9K7=55<:188m4452900c?>7:188yvg?2909wSo7;<59e==z{h31<7239~w75>2909wS<<9:?4>75>3ty8>k4?:2y]77`<5:3o6<<>;<1be?7592wx?4m50;0x922909w095a89>7dg=:920qpl70;291?5=?rF8484>{I3aa>{K;1<1mv*;4987?!23?39i?6*;3g8b`>"3<809?45+42f9e==i<:31=6*;3d8b=>h3;h0:7):;3;11b>h3;k0;7p*<4`82g`=ni10;66gn9;29?lgc2900c?=6:188m64a2900n:4?:481>0}K;1?1=vF>bd9~ 62f28i>7dok:188k75>2900el650;9je<<722cj<7>5;c594?3=83:p(>:n:dg8L6>43`336=44i`294?=n1=0;66gnd;29?j4413:17pl<8583>4<729q/?9o510d8L6>43f;:i7>5;|qb2909wSo6;<59===z{;:96=4={<1;0?76m27<6l>4}r037?6=:r7<6?=6;_00=>{t:9>1<77k:180>5<7s-9?m7:i;I1;7>o6:80;66g>2383>>i5810;66sm3`c94?5=83:p(>:n:32`?M5?;2c:><4?::k267<722e9<54?::pe=<72;qUm5527;c;?xuf13:1>vPn9:?0ed<6:;1vlj50;0xZdb<5:3o6<<=;|q17<<72;qU>>74=6817<=z{:8m6=4<{_11b>;41m0:><523`c957752z?4>db<5:3o6?>7;|q0e<<72;q6;7o6;<1be?4702wvn<6=:184>05;h006?6=3`88?7>5;h30`?6=3k;3=7>53;294~"4<6F<829j577=831b=?<50;9l65>=831vn<9l:180>5<7s-9?m75<4290;w)=;a;6e?M5?;2c:><4?::k267<722e9<54?::a52`=8391<7>t$26b>06<@:287d?=1;29?l75:3:17b6<;h315?6=3`;9>7>5;h317?6=3f8;47>5;|q23d<72;qU=:o4=0:3>76?3ty9?84?:3y]663<58=o6<<>;|q7a?6=;rT?i63>808264=:9>i1=??4}r347>52z\177=:91:1=?<4}r007?6=:rT9?>5216d957452z\27a=:9>l1=??4}r34f?6=:r7:4<4=099>52e=9;80q~52z?23a<58116=5>51338yv70m3:1>v3>7g814==:91:1=?=4}|`26<;h315?6=3`;9>7>5;n035}#;=k19=5G3918m4462900e<<=:188k76?2900qo?7a;297?6=8r.88l4=0c9K7=55<n6=4<{_6f?87?j3;9=63>888264=z{8386=4={_3:7>;60k0:>?5rs516>5<5sW>8963>888267=z{8236=4={<3;f?47027:4l4>209~w4>>2909w0?79;03<>;60h0:>?5r}c344?6=<391:v*<4`8235=h9?h1<75f4d83>>o6?80;66g>d6>o0;6>4?:1y'71g=5<5<53;294~"4=6F<829j577=831b=?<50;9l65>=831vn<8j:180>5<7s-9?m75<5sW;=n63>6d814==z{=o1<7=t^5g8940a288:70?9d;315>{t9>;1<76s|3dg94?4|V:on70?9d;316>{t9?i1<776?34;=i7?=1:p53b=838p1<8k:32;?871m3;9>6srb0cg>5<32:0=w)=;a;3b`>i6i10;66g;e;29?l7fm3:17d:>8;29?g7fk3:1?7>50z&00d<3n2B84>5f13394?=n9;81<75`21:94?=zj8kj6=4<:183!53i3?:7E=73:k264<722c:>?4?::m14=<722wi=ll50;194?6|,:>j6?>m;I1;7>o6:80;66g>2383>>i5810;66s|1`:94?4|V8k370?nb;03<>{tuQ4d9>5de=9;;017}Y<8201<58ki6<<>;|q2ed<72;q6=lo521:894ge28897psm2gg94?2=83:p(>:n:32e?M5?;2c:><4?::k267<722c:>>4?::m14=<722wi?h;50;694?6|,:>j68=4H2:0?l7593:17d?=2;29?l75;3:17b5<5<5<5<4290;w)=;a;6e?M5?;2c:><4?::k267<722e9<54?::a64>=8391<7>t$26b>1`<@:287d?=1;29?l75:3:17b5<5<5<53;294~"4<6F<829j577=831b=?<50;9l65>=831vn<78:187>5<7s-9?m7?4?::k266<722e9<54?::a5<6=83>1<7>t$26b>7773A93?6g>2083>>o6:;0;66g>2283>>i5810;66sm19494?5=83:p(>:n:428L6>43`;9=7>5;h316?6=3f8;47>5;|`2=7<72:0;6=u+35c965d<@:287d?=1;29?l75:3:17b4?:1y'71g=:9h0D>6<;h315?6=3`;9>7>5;n035}#;=k1>=l4H2:0?l7593:17d?=2;29?j4703:17pl>f783>7<729q/?9o513d8L6>43`;9<7>5;n034?:383>5}#;=k1=?h4H2:0?l7583:17b6<;h314?6=3f8;47>5;|`2<`<72;0;6=u+35c957`<@:287d?=0;29?j4703:17pl>9b83>7<729q/?9o513d8L6>43`;9<7>5;n03510y]=<=:::;1m55212f9e==:9091m5521g69e==:i<0j463;e;c;?87093k370?ne;c;?870?3k370?74;c;?8212h201<8n:`:894g02h201??9:`:894??2h2015>5a99~w45b2908wS?>50;0xZ45734;8h7ok;|q0g5<72;qU?n>4=2g6>4463ty3<7>52z\;4>;?838856s|7e83>=}Y?m16>>?51c:897712:8m70?68;11b>;?8399j63>948266=:9>31=?=4=0;3>4463ty:;:4?:3y]521<58=<6?=6;|q0a`<72:qU?hk4=053>6cb34;209>7`3=9;801<7::006?84603;9=63>788267=:90:1=?<4}r3;0?6=:rT:4952196966?897>54z\770=:9091mi5219a9063<5:o>6<<<;|q75=<72:qU8<64=0cg>17?34;i<7?=0:p53g=838pR<8n;<35e?4412wx>k=50;0xZ7`4348mi7k;;<1f1?4702wx=l950;0xZ4g034;j;7<<9:p03<72;qU8;5247817<=z{=o1<7mt^5g8975628h<70:j:31:?87?:3>n70?7c;6f?87083>n70?nd;6f?87>=3;9=63n7;316>;61h0:>?522gf9577<582=6<<>;|q2=g<72;q6=465ae9>50jh63>ae82e==z{83?6=4<{<3:7?g>34;29738;463>938264=z{89o6=4;{<005?7ej27:?i4=389>5=4=9:n01<7n:002?xu6?10;6?u2190952><58=26?>7;|qb2?6=:r7j97==f:?b3?4702wx><850;4x975628hj70<>6;00=>;61<0:>?5218c9575<58=26<<>;<3:4?75;2wxm84?:3y>e0<5;0168h4n9:p5c3=838p138;46s|20;94?4|5;;36<<=;<02e?4702wx>>850;0x97562;8870?62;316>{t9031<7=t=0;;>d?<51:1m45218c965>7>52z?2344=099~w4?c2909w0?6a;310>;61l09<55rs0:g>5<5s4;387o6;<3;a?4702wx>><50;5x97562;;i70:9:`f8940f2h30152;9970o8:002?87>?3;9=6s|18494?4|583>6<<;;<3:3?4702wx>>=50;1x97562;;o70?72;007>;61>0:>?5rs317>5<4s488=7<>f:?2=2<6::16=4k51308yv44=3:18v3=308164=:9181>>;4=33b>44634;2i7?=1:p5<5=838p1<7<:31:?87?k3;2?6s|20594?4|5;;=6l74=33;>76?3ty:j94?:5y>5c2=::3019k535;894162h301v3>70817<=:9>:1=:?4}r35f?6=:r7::l4nd:?235<6>k1v<68:18187?<3ko70?7c;3;3>{t9>k1<7db<58296<9n;|q2521:894>128897p}=fb83>6}::oo1=?=4=3dg>76?348:m7?=2:p5<7=838p1<7=:32;?87?m3;9<6s|1`d94?4|58kn6lj4=0`3>76?3ty:mh4?:3y>5dc=::301=83;269=55cyO7=3=9rB:nh5rL2:5>7}i<=31:l5+45:91>{#;=k1=8=4Z20;>4}613wd=>k50;9j07`=831d?n650;9j7ae=831b=o<50;9l566=831b?i;50;9l7a1=831bh<4?::k754<722c:;:4?::m0ga<722c2:7>5;h;6>5<5<5<5<5<1e9je=<722e9=o4?::m15a<722e9=k4?::m164<722e9>>4?::m160<722e9>:4?::m16<<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::k2ff<722c:ni4?::`25d<728:1h7?:{M1;1?7|@8hn7p*<4`82=c=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75f1ca94?=n9kn1<75fac83>>i59k0;66a=1e83>>i59o0;66a=2083>>i5::0;66a=2483>>i5:>0;66a=2883>>da83:1?7?53zN0<0<6sA;ii6s+35c9ac=nim0;66a=3883>>of03:17o950;194?6|,:>j6hj4H2:0?I5?=3;p(<<3:17dok:188k75>2900q~o7:181[g?34=1595rs321>5<5s4=1>>74^31:?xu58:0;6?uQae9>3?gc3twijo4?:282>6}K;1?1=vF>bd9~ 62f2ll0elj50;9l66?=831bm54?::`4>5<4290;w)=;a;gg?M5?;2F8484>{%31a?23=2wb594?::kb`?6=3f8857>5;|qbv38:31:?[4412wx>==50;0xZdb<5>0jh6srb02`>5<42808wA=75;3xL4db3t.88l4jf:kb`?6=3f8857>5;hc;>5<0;6>4?:1y'71g=mm1C?5=4L2:6>4}#9;o189;4}h;7>5<<2wx>=<50;0x92<5;01U>>74}r037?6=:rTjh638:`f8yxdai3:187=56z&00d4?:1y'71g==91C?5=4i002>5<5<m7E=73:k264<722c:>?4?::m14=<722wij84?:283>5}#;=k1>=l4H2:0?l7593:17d?=2;29?j4703:17p}>0b83>6}Y99i01k8513389c5=9;;0q~hm:181[`e34l=6<<=;|qe4?6=:rTm<63i3;316>{tn80;6?uQf09>b0<5811vk<50;0x9c0=:9201k;51338yv`42909w0h<:32;?8`228897psm11`94??==3np(>:n:02a?l`e2900e50;9j5gg=831b=ol50;9j5ge=831b=oj50;9lbf<722h:<84?:283>5}#;=k19=5G3918m4462900e<<=:188k76?2900qohj:180>5<7s-9?m7;?;I1;7>o6:80;66g>2383>>i5810;66sm11294?5=83:p(>:n:428L6>43`;9=7>5;h316?6=3f8;47>5;|`247<72?0;6=u+35c91a=O;190e<<>:188m4452900e<<<:188m4432900e<<::188k76?2900qo??4;291?6=8r.88l4=109K7=55<5<5<3sWli70??5;316>;6890:>?52110957352z\2f<=:99?1=??4}r33g?6=;rT:5<5sWl;70hj:002?xu6jh0;6?uQ1cc89465288:7p}>bc83>7}Y9kh01<>=:001?xu6jj0;6?uQ1ca8946528887p}>be83>7}Y9kn01<>=:007?xuak3:1>vPic:?241<5811vkj50;0x94622;:370??4;315>{tnl0;6?u2fd814==:99>1=?<4}rde>5<5s4;;<7>:181877:38;463>058261=zuk;:47>57;69e~"4>oa83:17d??c;29?l7e13:17d?m7;29?l7e03:17b??d;29?g76<3:1?7>50z&00d<3n2B84>5f13394?=n9;81<75`21:94?=zj8:m6=4;:183!53i3??7E=73:k264<722c:>?4?::k266<722e9<54?::a547=83<1<7>t$26b>0e<@:287d?=1;29?l75:3:17d?=3;29?l75<3:17d?=5;29?j4703:17pl>1283>1<729q/?9o521g8L6>43`;9=7>5;h316?6=3`;9?7>5;n0354z\ef>;69=0:><5211d9574<58;:6<<>;|qe4?6=158267=:99l1=??4=032>4443ty:4453ty:n:4?:3y]5g1<58;:6<<;;|q2f=<72;qU=o64=032>4423ty:7;|q24`<72;q6=<:521:89474288:7p}>0g83>7}:99l1>=64=030>4453ty:==4?:3y>547=:92016<;h315?6=3`;9>7>5;h317?6=3f8;47>5;|`15`<72=0;6=u+35c911=O;190e<<>:188m4452900e<<<:188k76?2900qo<=0;290?6=8r.88l4:3:J0<6=n9;;1<75f13094?=n9;91<75`21:94?=zj;896=4;:183!53i3??7E=73:k264<722c:>?4?::k266<722e9<54?::a672=83>1<7>t$26b>05<@:287d?=1;29?l75:3:17d?=3;29?j4703:17pl=2783>1<729q/?9o5539K7=55<5<54;294~"4?6F<829j577=831b=?<50;9j575=831d>=650;9~wc6=83hp1k>522;89cg=n916==l5f19>54>=n916>;5:;0:><522369575<5;8=6<<<;<01bg<5;016jl4ib:?24g;5:=0:>?522349574<5;836<<>;|q24f<72kq6==m522;89cg=99i01<>m:02`?87603;;o63=1b8264=::8o1=?=4=303>4443489>7?=2:?161<6:816>?851338974?28887p}>b683>7}Y9k=01b883>6}Y9k301<>m:0`:?87603;i56s|1cc94?4|V8hj70??b;3ae>{t9kh1<7{t9kn1<71}Yik16j=4n8:?ef?g?34;;o7o7;|q15g<72;qU>76?3ty9=i4?:3y]64b<5;;n6?>7;|q15c<72;qU>76?3ty9><4?:3y]677<5;896?>7;|q166<72;qU>?=4=307>76?3ty9>84?:3y]673<5;8=6?>7;|q162<72;qU>?94=30;>76?3tym=7>52z?e4?gc34lj6k?4}rd`>5<5s4li6lj4=02a>ce52z?24f0e9~yvg?2909wSo7;<32e?ge3ty9=o4?:3y]64d<58;j6??m;|q15a<72;qU>77c3ty9=k4?:3y]64`<58;j6??i;|q164<72;qU>??4=03b>7463ty9>>4?:3y]675<58;j6?<<;|q160<72;qU>?;4=03b>7423ty9>:4?:3y]671<58;j6?<8;|q16<<72;qU>?74=03b>74>3ty:n:4?:3y]5g1<58;j64d?3ty:n44?:3y]5g?<58;j64df3ty:no4?:3y]5gd<58;j64dd3ty:ni4?:3y]5gb<58;j67:tL2:6>4}O9ko0qA=76;3x 12?2:1v(>:n:0ae?lgc2900c?=6:188md>=831i;7>53;294~"4h4;449~m<2=831bmi4?::m17<<722wimn4?:383>5}#;=k1=?h4H2:0?l7583:17bvPn8:?bg?7582wx>=<50;0x92<><27jo752z\b`>;02hn0qplmb;297?7=;rF8484>{I3aa>{K;1<1=v*;4980?x"4>i5;00;66gn8;29?g1=8391<7>t$26b>`b<@:287d7;:188mdb=831d>>750;9~wd>=838pRl64=68:0>{t:981<7uC39795~N6jl1v@>69:0y'01>=;2w/?9o51dg8mdb=831d>>750;9je=<722h<6=4<:183!53i3oo7E=73:k:0?6=3`ko6=44o31:>5<=:18181=::30R?=6;|q146<72;qUmi527;cg?x{e010;6>4>:2yO7=3=9rB:nh5rL2:5>4}#<=21?6s+35c95`c5<7s-9?m7kk;I1;7>o><3:17dok:188k75>2900q~o7:181[g?34=1595rs321>5<5s4=1>>74^31:?xu58:0;6?uQae9>3?gc3twi>il50;195?5|D:2>63;p(9:7:29~ 62f28on7dok:188k75>2900el650;9a3?6=;3:1N40:1b594?::kb`?6=3f8857>5;|qbv38:31:?[4412wx>==50;0xZdb<5>0jh6srbcc94?5=:3>p@>6::0yK5gc6=z,:>j65<50z&00d5f9583>>ofl3:17b<<9;29?xdfk3:1>7>50z&00d<6:o1C?5=4i003>5<5<5sWk370ol:003?xu58;0;6?u27;;7?8gd2;:37p}=0283>7}:?38856P=389~w7632909wSok;<59ea=zuk9>57>53;090~J40<0:wE?me:O7=0=9r.?854<;|&00d<6k>1bmi4?::m17<<722cj47>5;c594?5=83:p(>:n:df8L6>43`3?6=44i`f94?=h::31<75rb`a94?4=83:p(>:n:00e?M5?;2c:>=4?::m14=<722wxm54?:3y]e==:ij0:>=5rs321>5<5s4=15952ab814==z{;:86=4={<5966?7}Yim16;7ok;|a<2<72:0969uC39795~N6jl1v@>69:0y'01>=;2w/?9o51b58mdb=831d>>750;9je=<722h<6=4<:183!53i3oo7E=73:k:0?6=3`ko6=44o31:>5<=650;9~wd>=838pRl64=`a95767>52z?4><2<5hi1>=64}r037?6=:r7<6?=6;_00=>{t:9>1<77<3sE9397?tH0`f?xJ40?0:w):;8;18y!53i3;h;6gnd;29?j4413:17do7:188f2<72:0;6=u+35c9aa=O;190e4:50;9jea<722e9?44?::aef<72;0;6=u+35c957`<@:287d?=0;29?j4703:17p}n8;296~Xf027jo7?=0:p654=838p1:464:?bg?4702wx>==50;0x92<5;01U>>74}r030?6=:rTjh638:`f8yxdbi3:1?7<54zN0<0<6sA;ii6sC39495~"3<1087p*<4`82g2=nim0;66a=3883>>of03:17o950;194?6|,:>j6hj4H2:0?l?32900elj50;9l66?=831vnlm50;094?6|,:>j6<o6:90;66a=0983>>{ti10;6?uQa99>ef<6:91v?>=:18181=1=16mn4=099~w7642909w09522;8Z75>3ty9<94?:3y]ea=:?3ko7psmec83>6<62:qG?5;51zJ2f`=zD:2=6{#;=k1=hk4i`f94?=h::31<75fa983>>d029086=4?{%17e?cc3A93?6g64;29?lgc2900c?=6:188yvg?2909wSo7;<59=1=z{;:96=4={<5966?7}Yim16;7ok;|a`7<721096iuC39795~N6jl1v@>69:9y'01>=;2.?8:4:31:?!24l3k37c:<9;38 1242:8m7c:e;03>xo4;90;66gn8;29?l55n3:17b<4}O9ko0qA=76;5x 1202:h87):h3;00:7):;3;11b>h3;k0:7p*<4`82`5=]1:09w5;h11b?6=3f88j7>5$313>75b3g89j7>4;n00`?6=,;9;6?=j;o01b?7<3f88o7>5$313>75b3g89j7<4;n00f?6=,;9;6?=j;o01b?5<3f88m7>5$313>75b3g89j7:4;h`0>5<#:::1n?5a23d94>=nj80;6)<<0;`1?k45n3;07dl?:18'666=j;1e>?h52:9jec<72-88<7l=;o01b?5<3`kn6=4+2229f7=i:;l1865m7;291?5=?rF8484>{I3aa>{K;1<15v*;4680f6=#<:l1mi5+453966?<,=9o6l64n51:>4=#<=91??h4n51a>4=#<=>1?974n51`>4=z,:>j65<5<7s-9?m7kk;I1;7>o><3:17dok:188k75>2900q~o7:181[g?34=1595rs321>5<5s4=1>>74^31:?xu58:0;6?uQae9>3?gc3twi?4j50;194?6|,:>j68>4H2:0?l7593:17d?=2;29?j4703:17pl6<729q/?9o521`8L6>43`;9=7>5;h316?6=3f8;47>5;|qb>750;0xZ75>34=1>>74}r17=?6=:rT884523`d957752z\06c=:;0n1=??4}r1ba?6=:r785i4>239>7d`=:920q~=6c;296~;02hn01>7k:32;?x{e03:187<55zN0<0<6sA;ii6sC39493~"3<>08n>5+42d9ea=#<=;1>>74$51g>d>4ef3`k36=44i`f94?=h::31<75f33d94?=e?3:1?7?53zN0<0<6sA;ii6s+35c95f35<7s-9?m7kk;I1;7>o><3:17dok:188k75>2900q~o7:181[g?34=1595rs321>5<5s4=1>>74^31:?xu58:0;6?uQae9>3?gc3twi?4j50;194?6|,:>j68>4H2:0?l7593:17d?=2;29?j4703:17p}n8;296~Xf027<6l64}rcg>5<5sWko70=6d;316>{t::31<7k3:1>v38:`f896?c2;:37psmd;290?4==rF8484>{I3aa>{K;1<1;v*;4680f6=#<:l1mi5+453966?<,=9o6l64n51:>4=#<=91??h4n51a>4=z,:>j65<{I3aa>{#;=k1=n;4i`f94?=h::31<75fa983>>d029086=4?{%17e?cc3A93?6g64;29?lgc2900c?=6:188yvg?2909wSo7;<59=1=z{;:96=4={<5966?7}Yim16;7ok;|a7t$26b>06<@:287d?=1;29?l75:3:17bvPn8:?4>d>l3;9>6s|22;94?4|V;92709522;8yv55n3:1>vP<2g9>77k:32;?x{e9;0;694=:4yO7=3=9rB:nh5rL2:5>2}#<==1?o=4$51e>db<,=>:6?=6;%60`?g?3g>857?4$560>64a3g>8n7?4}%17e?7di2cj47>5;hcg>5<5<0;6>4>:2yO7=3=9rB:nh5r$26b>4e23`ko6=44o31:>5<{t:991<77k:180>5<7s-9?m7;?;I1;7>o6:80;66g>2383>>i5810;66s|a983>7}Yi116;7o7;|qb`?6=:rTjh63<9e8267=z{;926=4={_00=>;02;927p}<2g83>7}Y;;l01>7k:002?xu41j0;6?u27;cg?85>l38;46srb0794?2=:3?p@>6::0yK5gc6d43->8j7ok;%675?4412.??i4n8:l77<<63->??7==f:l77g<63t.88l4>c`9je=<722cjh7>5;n00=?6=3`99j7>5;c594?5=939p@>6::0yK5gc5;hc;>5<0;6>4?:1y'71g=mm1C?5=4i8694?=nim0;66a=3883>>{ti10;6?uQa99>3??33ty93?4412T9?45rs320>5<5sWko7095ae9~yg5>l3:1?7>50z&00d<282B84>5f13394?=n9;81<75`21:94?=z{h21<7{t;;l1<7l3;9=6s|38a94?4|5>0jh63<9e814==zuk8?97>53;294~"4<5>0j4637:`:89a7o7;<36>d>53z\06c=:?399j63=448264=z{;>?6=4:{<:977`<5m08>k5213806c=:9<08>k52257965>52z\17c=:9<09?45+33c966c5<5sW88h63>2;00=>"4:h09?h5a33;95>{t::i1<7291vo?50;0xZg7<5881mi5+33c9f7=i;;31=6s|b183>7}Yj916h7ok;%11e?d53g9957<4}rce>5<5sWkm7065ae9'77g=j;1e??753:pe`<72;qUmh527;cg?!55i3h97c==9;68yxd6n10;6l47:eyO7=3=9rB:nh5rL2:5>0}#<==1?lj4$563>f6<,=>h655+450974g<,=>i6:5r$26b>4e73S386?u>d;3a>x\4:109w>>530d8j74a2910c>?j:18'666=;8l0b?>>530d8j74a2;10c>?l:18'666=;8l0b?>>530d8j74a2=10en850;&175k4?;:k`0?6=,;9;6n;4n30e>4=h5:o0976gl2;29 7572j?0b?6}K;1?1=vF>bd9~ 62f28l87dlj:188mg`=831d?6>a3`hn6=44icd94?=h;8k1<75m39a94?5=83:p(>:n:428L6>43`;9=7>5;h316?6=3f8;47>5;|`0:188m4452900c?>7:188yg5?m3:1?7>50z&00d<58k1C?5=4i002>5<5<63<8e8264=z{kl1<7=t^cd896>d288:70=7d;316>{t;8k1<77;<1;a?7592wx?5m50;0x96>c2;:370=7e;316>{z{ko1<7a2ko0q~li:181[da3493j7li;|q05d<72;qU?67f3twi=km50;195?5|D:2>6?n:188f6>a29086>4:{%17e?5?n2cii7>5;h`e>5<5<4290;w)=;a;73?M5?;2c:><4?::k267<722e9<54?::a7=b=8391<7>t$26b>06<@:287d?=1;29?l75:3:17b4?:1y'71g=:9h0D>6<;h315?6=3`;9>7>5;n0353z\aa>;40j0:>?5239f9577;40l09<55rs2:a>5<5s493o76l:18185?l38;463<8d8267=zuzhn6=4={_`f?85?n3hn7p}mf;296~Xen2784k4mf:p74g=838pR>?n;<1;b?56i2wvn4<4sE9397?tH0`f?x"45fbd83>>oen3:17b=>a;29?g5?n3:1?7=55z&00d<40o1bnh4?::kab?6=3f9:m7>5;c1;g?6=;3:1N40:1b=??50;9j574=831d>=650;9~f6>c29086=4?{%17e?373A93?6g>2083>>o6:;0;66a=0983>>{e;1o1<7=50;2x 62f2;:i7E=73:k264<722c:>?4?::m14=<722wxnh4?:2y]f`=:;1i1=?<4=2:g>4463tyij7>53z\ab>;40j0:><5239f957452z\05d=:;1o1>=64}r1;f?6=:r784n4=099>7=c=9;;0q~=7c;296~;40m09<55239g957452z\aa>;40o0ii6s|bg83>7}Yjo16?5h5bg9~w67f2909wS=>a:?0{I3aa>{#;=k1=k=4icg94?=njo0;66a<1`83>>d40o0;6>4<:4y'71g=;1l0eok50;9jfc<722e8=l4?::`0:188m4452900c?>7:188yg5?l3:1?7>50z&00d<282B84>5f13394?=n9;81<75`21:94?=zj:2n6=4<:183!53i38;n6F<829j577=831b=?<50;9l65>=831vok50;1xZgc<5:2h6<<=;<1;`?7592wxnk4?:2y]fc=:;1i1=??4=2:g>4453ty8=l4?:3y]74g<5:2n6?>7;|q0b288:7p}<8b83>7}:;1n1>=64=2:f>4453twxnh4?:3y]f`=:;1l1nh5rscd94?4|Vkl01>6i:cd8yv56i3:1>vP<1`9>7=`=;8k0qpl<9e83>6<729q/?9o54g9K7=55<N40:1b=??50;9j574=831d>=650;9~f6g629086=4?{%17e?2a3A93?6g>2083>>o6:;0;66a=0983>>{e;;?1<7<50;2x 62f288m7E=73:k265<722e9<54?::p7{t;0o1<7=t=0dg>gc<5:3m6?>7;<1b5?75:2wx?l>50;0x94`b2ko01>o>:32;?xu4:90;6?uQ332894`b2:;j7)==a;12b>h4:00;7p}<1d83>7}Y;8o01h4:0097p}<1b83>7}Y;8i01h4:00?7p}l6;296~Xd>27:jh4mf:&06d44?;|q`0?6=;rTh863>fe8ab>;4i80:><5+33c9g0=i;;31=6s|c283>6}Yk:16=km5bg9>7<`=9;;0(>2;1vn<50;1xZf4<58li6oh4=2;g>4463-99m7m:;o11=?5;4:<0:>=5+33c9g0=i;;3186srs213>5<5sW98<638:213?xuf03:1>vPn8:?4>d>52z\06c=:?399j6s|22d94?5|V;9m709522d894`?2j<0(>l4=3d9m77?=92wx>>m50;1xZ75d34=1>>m4=0d;>f5<,:8j6?=j;o11=?453z\17g=:?388n63>f98`6>"4:h09?h5a33;97>{t::k1<7=t^31b?81=::k01?3:1>v38:c1894`?2:8;7)==a;1:2>h4:00;7p}<9483>7}:?3h:70?i8;12a>"4:h085;5a33;95>{t;0>1<7;6n108=i5+33c97<05<5s4=1mk521g:974e<,:8j6>79;o11=?57>52z?4>dc<58l36>?m;%11e?5>>2d8>44;;|aa6<72:0:6>uC39795~N6jl1v@>69:0y'01>=;2w/?9o51e18mdb=831d>>750;9je=<722h<6=4<:183!53i3oo7E=73:N0<0<6s-;9i7:;5:j=1<722cjh7>5;n00=?6=3tyj47>52z\b<>;020>0q~{zj=8>6=4::285I5?=3;pD?47=4$564>6d43->8j7ok;%675?4412.??i4n8:l77<<63->8i7o6;o60e?6<,=>86>5}#;=k1ih5G3918m<>=831bm=4?::k:0?6=3`ko6=44o31:>5<51;294~"46;:03f?81=i91v?><:18181=::30R?=6;|q141<72;qUmi527;cg?x{e;0n1<7<50;2x 62f288m7E=73:k265<722e9<54?::a7dg=8391<7>t$26b>76d3A93?6g>2083>>o6:;0;66a=0983>>{ti10;6?uQa99>3?g?3tyj57>52z\b=>;4ih0:><5rs31:>5<5sW885638:31:?xu4:o0;6>uQ33d896?c288;70=na;316>{t;0i1<7;41m09<55rs2c:>5<5s4=1m4523`c965>53;397~J40<0:wE?me:O7=0=9r.?854<;|&00d<6l<1bmi4?::m17<<722cj47>5;c594?5=83:p(>:n:df8L6>43E9397?t$00f>1223tc287>5;hcg>5<5<5sWk37095959~w7652909w09522;8Z75>3ty9<>4?:3y]ea=:?3ko7psm9083>6<62:qG?5;51zJ2f`=zD:2=6{#;=k1=i84i`f94?=h::31<75fa983>>d029086=4?{%17e?cc3A93?6B<8482!75m3>?96sf9583>>ofl3:17b<<9;29?xuf03:1>vPn8:?4><27>52z?4>75>3W8856s|21194?4|Vhn01:4nd:~f=d=83?1>7;tL2:6>4}O9ko0qA=76;cx 12?2:1/89953c18 15a2hn0(9:>:31:?!24l3k37c:<9;38 15b2h30b9=n:19'012=;=30b9=l:09~ 62f28n<7do7:188md?=831bmi4?::m17<<722c8844?::`4>5<22;0>wA=75;3xL4db3t.88l4>c49jea<722e9?44?::kb50z&00d5C39795~"6:l0?885ri8:94?=ni90;66g64;29?lgc2900c?=6:188yg5?<3:1=7>50z&00d<69o1C?5=4o03f>5<0246s|21094?4|5:2?67}Yim16;7ok;|a7de=8391<7>t$26b>76d3A93?6g>2083>>o6:;0;66a=0983>>{ti10;6?uQa99>3?g?3tyj57>52z\b=>;4ij0:><5rs31:>5<5sW885638:31:?xu4<00;6>uQ35;892239~w6ge2909w095a89>7de=:920qpl>3183>6<62:qG?5;51zJ2f`=zD:2=6{#;=k1=hk4i`f94?=h::31<75fa983>>d029086=4?{%17e?cc3A93?6g64;29?lgc2900c?=6:188yvg?2909wSo7;<59=1=z{;:96=4={<5966?7}Yim16;7ok;|a03<72:0:6>uC39795~N6jl1v@>69:0y'01>=;2w/?9o51dg8mdb=831d>>750;9je=<722h<6=4<:183!53i3oo7E=73:k:0?6=3`ko6=44o31:>5<=:18181=::30R?=6;|q146<72;qUmi527;cg?x{e9:o1<7=51;1xH6>228qC=ok4}M1;2?7|,=>36>5r$26b>4cb3`ko6=44o31:>5<{t:991<7k>:180>7<3sE9397?tH0`f?xJ40?0:w):;8;18y!53i3;h;6gnd;29?j4413:17do7:188f2<72:0;6=u+35c9aa=O;190e4:50;9jea<722e9?44?::aef<72;0;6=u+35c957`<@:287d?=0;29?j4703:17p}n8;296~Xf027jo7?=0:p654=838p1:464:?bg?4702wx>==50;0x92<5;01U>>74}r030?6=:rTjh638:`f8yxd4m?0;6>4>:2yO7=3=9rB:nh5rL2:5>4}#<=21?6s+35c95`c5<7s-9?m7kk;I1;7>o><3:17dok:188k75>2900q~o7:181[g?34=1595rs321>5<5s4=1>>74^31:?xu58:0;6?uQae9>3?gc3twi?n650;196?2|D:2>63;p(9:7:29~ 62f28i<7dok:188k75>2900el650;9a3?6=;3:1N40:1b594?::kb`?6=3f8857>5;|`bg?6=:3:176?3ty9<>4?:3y>3?4412T9?45rs327>5<5sWko7095ae9~yg5bm3:1?7<54zN0<0<6sA;ii6sC39495~"3<1087p*<4`82g2=nim0;66a=3883>>of03:17o950;194?6|,:>j6hj4H2:0?l?32900elj50;9l66?=831vnlm50;094?6|,:>j6<o6:90;66a=0983>>{ti10;6?uQa99>ef<6:91v?>=:18181=1=16mn4=099~w7642909w09522;8Z75>3ty9<94?:3y]ea=:?3ko7psm40:94?5=:3>p@>6::0yK5gc6=z,:>j65<50z&00d5f9583>>ofl3:17b<<9;29?xdfk3:1>7>50z&00d<6:o1C?5=4i003>5<5<5sWk370ol:003?xu58;0;6?u27;;7?8gd2;:37p}=0283>7}:?38856P=389~w7632909wSok;<59ea=zuk;8m7>59;19f~"46<;h315?6=3`;9>7>5;n035}#;=k19=5G3918m4462900e<<=:188k76?2900qo?<8;293?6=8r.88l4=129K7=55<5<6=44i005>5<52z\2e5=:9:31=??4}r305?6=:rT:?<5212;957452z\2e4=:9:21=?<4}r3b6?6=:rT:m?5212:957552z\2e6=:9:21=?:4}r3b0?6=:rT:m95212:957352z\2e0=:9:=1=?<4}r307?6=:rT:?>52125957752z?27<<58116=>651338yv74?3:1>v3>36814==:9:21=?84}|`7`?6=03815v*<4`87`>i313:17d?n0;29?l7493:17d?n1;29?l7f:3:17d?n3;29?l7f<3:17d?n5;29?g2d29086=4?{%17e?2a3A93?6g>2083>>o6:;0;66a=0983>>{e6<;h315?6=3`;9>7>5;h317?6=3`;987>5;h311?6=3`;9:7>5;n0352z\7=>;3j38;46s|1`294?4|V8k;70:l:002?xu6;80;6?uQ123891e=9;80q~?n1;296~X6i8168o4>239~w4g52909wS?n2:?7f?75;2wx=l=50;0xZ4g434>i6<<;;|q2e1<72;qU=l:4=5`957352z\2e0=:;5rs5c94?4|5=i1>=64=5`957745|,:>j6<;?;n30b?6=3`;j<7>5;h30a?6=3`;j>7>5;h3b7?6=3`;j87>5;h3b1?6=3`;8?7>5;h1`b?6=3`;>=7>5;c375?6=;3:1N40:1b=??50;9j574=831d>=650;9~f42529086=4?{%17e?2a3A93?6g>2083>>o6:;0;66a=0983>>{e9=>1<7=50;2x 62f2=l0D>6<;h315?6=3`;9>7>5;n035}#;=k18k5G3918m4462900e<<=:188k76?2900qo?;8;297?6=8r.88l4:0:J0<6=n9;;1<75f13094?=h:921<75rb06b>5<4290;w)=;a;73?M5?;2c:><4?::k267<722e9<54?::a51d=8391<7>t$26b>76e3A93?6g>2083>>o6:;0;66a=0983>>{e9=i1<7=50;2x 62f2=l0D>6<;h315?6=3`;9>7>5;n035}#;=k19=5G3918m4462900e<<=:188k76?2900qo?;f;293?6=8r.88l4=129K7=55<5<6=44i005>5<52z\2e5=:9=;1=??4}r30a?6=?rT:?h521539574<58>96<<=;<370?75:27:8;4>239>51g=9;801<:j:001?xu6i;0;6?uQ1`089425288:7p}>a283>7}Y9h901<:;:002?xu6i=0;6?uQ1`689421288:7p}>a483>7}Y9h?01<:l:002?xu6;:0;6>uQ1218942?288970?;a;315>{t;jl1<7{t9=:1<776?34;?j7?=1:p514=838p1<:=:32;?873n3;9>6s|15194?4|58>?6?>7;<37b?75;2wx=9;50;0x94212;:370?;f;310>{t9==1<776?34;?n7?=1:p51?=838p1<:n:32;?873j3;9>6s|21094?4|58>i6?>7;<37g?75:2wx>==50;0x942d2;:370?;f;311>{t9=n1<776?34;?j7?=6:~f6eb29096=4?{%17e?75n2B84>5f13294?=h:921<75rb3f7>5<4290;w)=;a;6e?M5?;2c:><4?::k267<722e9<54?::a70>=8391<7>t$26b>1`<@:287d?=1;29?l75:3:17b3:1?7>50z&00d<3n2B84>5f13394?=n9;81<75`21:94?=zjk31<7=50;2x 62f2=l0D>6<;h315?6=3`;9>7>5;n0353;294~"4<6F<829j577=831b=?<50;9l65>=831vnim50;194?6|,:>j68>4H2:0?l7593:17d?=2;29?j4703:17plj5;297?6=8r.88l4:0:J0<6=n9;;1<75f13094?=h:921<75rb50b>5<3290;w)=;a;71?M5?;2c:><4?::k267<722c:>>4?::m14=<722wi4i4?:283>5}#;=k18k5G3918m4462900e<<=:188k76?2900qo=j3;297?6=8r.88l4=0c9K7=55<5<1290;w)=;a;7f?M5?;2c:><4?::k267<722c:>>4?::k261<722c:>84?::m14=<722wii=4?:783>5}#;=k19o5G3918m4462900e<<=:188m4442900e<<;:188m4422900c?>7:188ygc0290=6=4?{%17e?3c3A93?6g>2083>>o6:;0;66g>2283>>o6:=0;66g>2483>>i5810;66sm43a94?0=83:p(>:n:4`8L6>43`;9=7>5;h316?6=3`;9?7>5;h310?6=3`;997>5;n037>53;294~"45<2290;w)=;a;025>N40:1b=??50;9j574=831b=?=50;9j572=831d>=650;9~f45329086=4?{%17e?2a3A93?6g>2083>>o6:;0;66a=0983>>{e9<81<7950;2x 62f2;;87E=73:k264<722c:>?4?::k266<722c:>94?::k260<722c:>;4?::m14=<722wi?hm50;594?6|,:>j6??<;I1;7>o6:80;66g>2383>>o6::0;66g>2583>>o6:<0;66g>2783>>i5810;66sm3d:94?5=83:p(>:n:5d8L6>43`;9=7>5;h316?6=3f8;47>5;|`0gf<72?0;6=u+35c9644<@:287d?=1;29?l75:3:17d?=3;29?l75<3:17d?=5;29?j4703:17pl6<729q/?9o521`8L6>43`;9=7>5;h316?6=3f8;47>5;|`0b1<72:0;6=u+35c915=O;190e<<>:188m4452900c?>7:188yg5ak3:197>50z&00d<5981C?5=4i002>5<5<5<53;294~"4=831vn9?j:180>5<7s-9?m7:i;I1;7>o6:80;66g>2383>>i5810;66smbe83>7<729q/?9o513d8L6>43`;9<7>5;n035}#;=k1=?h4H2:0?l7583:17b7>50z&00d<6:o1C?5=4i003>5<2g9K7=55<=650;9~f6cf29096=4?{%17e?75n2B84>5f13294?=h:921<75rb2ab>5<5290;w)=;a;31b>N40:1b=?>50;9l65>=831vn>h7:181>5<7s-9?m7?=f:J0<6=n9;:1<75`21:94?=zj=8;6=4=:183!53i3;9j6F<829j576=831d>=650;9~w45b2908wS?512g8yv25n3:1>vP;2g9>70e=9;:0q~=l8;296~X4k116?n6522;8yv5ck3:1>vPfa<6:91v209>7c2=9;;0q~?<0;297~X6;916=>>522;8914f28897p}7}Y;m?014>51328yvb62909wSj>;db:=7>52z\754=::mn1=?>4}r343?6=:rT:;:521919ea=z{:io6=4={_1``>;4kl09<55rs8494?2|V0<01<7i:0`:?8cf2h201<=;:002?xu>=3:1=luQ949>5<`=i116=5=5a99>fgd><5:?26l64=959e==::mk1m552ec8b<>;c:3k370k<:`:891422h2015k5a99>=4=6l64=01f>d><5:o:6l64=2g5>d><5:i36l64=2gf>d><5=;36l64}r1fa?6=:rT8ih523dg966?52z\231=:;o<1=??4}r6252z\0g2=:0m0:>?5rs314>5<5sW88;6Pmi:180[5dn27:9=4k>:181[5b9278i<4=389~w6c12909wS=j6:?0a3<5;01v9850;0xZ10<5=<1>>74}r611?6=;rT?>852437966?<5l?1=??4}rg5>5<5s4o>6<<=;76?3ty3j7>52z?;a?gc343;6?>7;|q;f?6=b99>7}:;li1>=64=2g;>4453tyi47>53z?2=c<6jh16n44=099>56e=9;;0q~:>d;296~;39l09<552432957675>34oi6lj4=ea957757>52z?01<<5;016?8651308yv74j3:1>v3>3b814==:9:>1=?<4}r:3>5<4s4;2j7?mc:?;2?47027:?n4>229~w`2=838p1h=5ae9>a0<5811v5m50;0x9=d=;=3015j521:8yv52i3:1>v3<5`817<=:;<21=??4}r``>5<4s4hi6lj4=cc9ea=:jm09<55rse;94?4|5m81??h4=ec965>65734nj6<<>;76?3tyn=7>52z?ge?75:27n>7ml:32;?85di3;9<6s|8d83>6}:0l09?452908b`>;?j3k27p};2883>7}:<;?1??h4=50b>76?3ty3;7>52z?;3?441273:7?=2:pag<72;q6io4=389>`f<6:;1v4?50;0x9<7=::3015j51338yv5a;3:1>v37c>=9;:0q~ln:1818df2;9270l6:001?xu?03:1>v378;00=>;?>3;9=6s|3d094?4|5:o:6lj4=2g0>76?3tyn?7>53z?2=c<6jk16i>4=389>56e=9;80q~?73;297~;61o0:n:52191966?<58996<<>;|qaf?6=:r7in7<<9:?a=?7592wx=>h50;0x945b2hn01<;?:01e?xu5lh0;6?u22ec966?<5;n?6<<=;|q0a2<72;q6?h6521:896cf288;7p}<5c83>6}:;=64}r301?6=:r7:?=4nd:?27d<6;<1v?jm:18184cj388563=d58264=z{:?<6=4={<16l4>229~w1?=838p1985ae9>0a<312wxhi4?:2y>``<58116i?4>209>07c=9;:0q~ji:1818c72;:370k=:001?xu6i90;68u218d964d<589j64g734;><7?n0:?217<6:81v:18487>n38:h63>3`82e4=:;<1`g?759278jl4>209~w4g52903w0?6f;02b>;6;h0:m?524e82e7=:9<:1=l<4=071>444349no7?=2:?0gf<6:;16?ko51308yv7f;3:14v3>9g8164=:9:k1=l=4=5f95d5<58?;6229>7fe=9;901>hl:001?xu6i=0;64u218d9675<589j64g334;><7?n4:?0a6<6:816=8<5137896cd288?70=lc;310>;4nj0:>>5rs0c6>5<>s4;2j7<=5:?27d<6i<168i4>a49>506=9h?01>k<:001?872:3;9:634433ty:m;4?:3y>5<`=:;=01>kl:005?xu4k00;6?u23b:9ea=:;jk1>=64}r62b?6=:r7?=54nd:?765<5811v?jl:18084cj3ko706}:010jh6377;cg?8>f2;:37p};2e83>7}:<;?1m45243g965>52z?0bd<58116?k:51308yv5aj3:1?v34453ty8j:4?:3y>7`c=im16?k6521:8yv4c83:1?v3>9g82fa=::m>1>=64=01`>4433ty:?<4?:2y>56g=9:;019j5123894552;:37p}>5083>7}:9<:1=8?4=071>76?3ty:?>4?:2y>56g=9:901<;?:010?874<38;46s|3d;94?4|5:o=6lj4=2gb>76?3ty?>o4?:3y>07g=9;;019;cm3;9963j0;311>;b?3;9963;2b8264=#;;k1h:5a33;94>{tl?0;68u2d3817a=:ll0:>952e18264=:m>0:>95243a9574<,:8j6i94n20:>4=z{m?1<7;t=e0966e<5mo1=?=4=d29574<5l=1=?=4=50`>4423-99m7j8;o11=?475e34nn6<<=;44434o<6<<=;<61g?75;2.8>l4k7:l06<<43tyo?7>55z?g6?44i27oi7?=1:?f4?75<27n;7?=1:?76f<6:=1/??o5d69m77?=<2wvn>l?:184>f<6>rF8484>{I3aa>{K;1<1>v`;48842>"3<10>7p*<4`8b5>\4:10:w?o5}n125;n122?6=3`oh6=44o26a>5<?47>4$564>6d63-9i87:;a:&704<5;01/8>j5a99m06?=92w/?9o51b68^<5=9r8<6pT<29817?=:j0vel650;9l615=83.9?=4=439m67`=821d>9?50;&175<5<;1e>?h51:9l616=83.9?=4=439m67`=:21d>>h50;&175<5<;1e>?h53:9l66b=83.9?=4=439m67`=<21d>>m50;&175<5<;1e>?h55:9l66d=83.9?=4=439m67`=>21d>>o50;&175<5<;1e>?h57:9a3?6=980364}O9ko0qA=76;7x 1202:h87):h3;00:7p*<4`82`==]1:09w?95248~^64?2;q957<<:|kb5$313>7253g89j7>4;n075?6=,;9;6?:=;o01b?7<3f8?<7>5$313>7253g89j7<4;n00b?6=,;9;6?:=;o01b?5<3f88h7>5$313>7253g89j7:4;n00g?6=,;9;6?:=;o01b?3<3f88n7>5$313>7253g89j784;n00e?6=,;9;6?:=;o01b?1<3`h<6=4+2229f3=i:;l1<65fb483>!4483h=7c<=f;38?ld3290/>>>5b79m67`=:21bn>4?:%004?d13g89j7=4;h`2>5<#:::1n;5a23d90>=nj90;6)<<0;`5?k45n3?07doi:18'666=j?1e>?h56:9je`<72-88<7l9;o01b?1<3k=1<7=51;1xH6>228qC=ok4}%17e?7c12cjh7>5;n00=?6=3`k36=44b683>6<729q/?9o5ee9K7=5652z\b`>;02hn0qpl7:180>4<4sE9397?tH0`f?x"4>i5;00;66gn8;29?g1=8391<7>t$26b>`b<@:287A=75;3x 44b2=>>7pg64;29?lgc2900c?=6:188yvg?2909wSo7;<59=1=z{;:96=4={<5966?7}Yim16;7ok;|a`?6=;3;1?vB<8482M7em2w/?9o51e`8mdb=831d>>750;9je=<722h<6=4<:183!53i3oo7E=73:N0<0<6s-;9i7:;5:j=1<722cjh7>5;n00=?6=3tyj47>52z\b<>;020>0q~{zj881<7=51;1xH6>228qC=ok4}%17e?7cl2cjh7>5;n00=?6=3`k36=44b683>6<729q/?9o5ee9K7=5652z\b`>;02hn0qpl>5;297?7=;rF8484>{I3aa>{#;=k1=ik4i`f94?=h::31<75fa983>>d029086=4?{%17e?cc3A93?6B<8482!75m3>?96sf9583>>ofl3:17b<<9;29?xuf03:1>vPn8:?4><27>52z?4>75>3W8856s|21194?4|Vhn01:4nd:~f40=8391=7=tL2:6>4}O9ko0q)=;a;3gb>ofl3:17b<<9;29?lg?2900n:4?:283>5}#;=k1ii5G3918H6>228q/=?k54578yl?32900elj50;9l66?=831vl650;0xZd><5>0286s|21094?4|5>09?45Q22;8yv47;3:1>vPnd:?4>db53;397~J40<0:wE?me:'71g=9l:0elj50;9l66?=831bm54?::`4>5<4290;w)=;a;gg?M5?;2F8484>{%31a?23=2wb594?::kb`?6=3f8857>5;|qbv38:31:?[4412wx>==50;0xZdb<5>0jh6srb3094?5=939p@>6::0yK5gc5;hc;>5<0;6>4?:1y'71g=mm1C?5=4L2:6>4}#9;o189;4}h;7>5<<2wx>=<50;0x92<5;01U>>74}r037?6=:rTjh638:`f8yxuf03:15vPn8:?4>d><510j463k:`:8944=i116=84n8:?22?g?348:6l64=309e==z{;>86=4={_077>;5:38856*<2`8107=i;;31<6s|25394?4|V;>:70<>:31:?!55i38?>6`<2882?xu5<90;6?uQ2528940=::30(><6:29~w75c2909wS<l4=439m77?=<2wx>>m50;0xZ75d34n1>>74$20b>7253g9957;4}r00f?6=:rT9?o528;00=>"4:h098?5a33;92>{t::k1<7g0"4:h0i:6`<2881?xue;3:1>vPm3:?21?gc3-99m7l9;o11=?52=1vo>50;0xZg6<5m0jh6*<2`8a2>h4:00>7p}nf;296~Xfn2736lj4$20b>g02d8>448;|a5c>=83;;6h4>6zN0<0<6sA;ii6sC39491~"3<>08mi5+4529g5=#<=i146*;43805d=#<=h1;6s+35c95f7v<7:349y_55038p>o4=4;l772=83.9?=4<229m67`=821d??<50;&175<4::1e>?h51:9l777=83.9?=4<229m67`=:21d??>50;&175<4::1e>?h53:9l74c=83.9?=4<229m67`=<21d??h55:9l74e=83.9?=4<229m67`=>21d??h57:9jgd<72-88<7m6;o01b?6<3`i36=4+2229g<=i:;l1=65fc683>!4483i27c<=f;08?le1290/>>>5c89m67`=;21bo94?:%004?e>3g89j7:4;ha0>5<#:::1o45a23d91>=nk;0;6)<<0;a:?k45n3<07dm>:18'666=k01e>?h57:9a5cd=8391=7=tL2:6>4}O9ko0q)=;a;3e7>oem3:17dli:188k67f2900n>6i:180>6<2s-9?m7=7f:kaa?6=3`hm6=44o23b>5<?4?::m14=<722wi?5j50;194?6|,:>j68>4H2:0?l7593:17d?=2;29?j4703:17pl<8d83>6<729q/?9o521`8L6>43`;9=7>5;h316?6=3f8;47>5;|qaa?6=;rTii63<8b8267=:;1n1=??4}r`e>5<4sWhm70=7c;315>;40m0:>?5rs23b>5<5sW9:m63<8d814==z{:2i6=4={<1;g?4702784h4>209~w6>d2909w0=7d;03<>;40l0:>?5r}r`f>5<5sWhn70=7f;`f?xuen3:1>vPmf:?0a:~f4`d29086<4<{M1;1?7|@8hn7p*<4`82b6=njl0;66gmf;29?j56i3:17o=7f;297?5==r.88l4<8g9jf`<722cij7>5;n12e?6=3k93o7>53;294~"4<6F<829j577=831b=?<50;9l65>=831vn>6k:180>5<7s-9?m7;?;I1;7>o6:80;66g>2383>>i5810;66sm39g94?5=83:p(>:n:32a?M5?;2c:><4?::k267<722e9<54?::pf`<72:qUnh5239a9574<5:2o6<<>;|qab?6=;rTij63<8b8264=:;1n1=?<4}r12e?6=:rT8=l5239g965>52z?0v3<8e814==:;1o1=?<4}|qaa?6=:rTii63<8g8aa>{tjo0;6?uQbg9>7=`=jo1v>?n:181[56i2784k4<1`9~yg7al3:1?7?53zN0<0<6sA;ii6s+35c95c5>i49h0;66l<8g83>6<425}#;=k19=5G3918m4462900e<<=:188k76?2900qo=7d;297?6=8r.88l4:0:J0<6=n9;;1<75f13094?=h:921<75rb2:f>5<4290;w)=;a;03f>N40:1b=??50;9j574=831d>=650;9~wgc=839pRok4=2:`>4453493h7?=1:pfc<72:qUnk5239a9577<5:2o6<<=;|q05d<72;qU?76?3ty84o4?:3y>7=e=:9201>6j:002?xu40j0;6?u239f965><5:2n6<<=;|pf`<72;qUnh5239d9f`=z{kl1<7a2kl0q~=>a;296~X49h16?5h530c8yxd6nl0;6>4>:2yO7=3=9rB:nh5r$26b>4`43`hn6=44icd94?=h;8k1<75m39d94?5=;3?p(>:n:2:e?ldb2900eoh50;9l74g=831i?5m50;194?6|,:>j68>4H2:0?l7593:17d?=2;29?j4703:17pl<8e83>6<729q/?9o5519K7=55<:188m4452900c?>7:188yvdb2908wSlj;<1;g?75:2784i4>209~wg`=839pRoh4=2:`>4463493h7?=2:p74g=838pR>?n;<1;a?4702wx?5l50;0x96>d2;:370=7e;315>{t;1i1<776?3493i7?=2:~wgc=838pRok4=2:e>gc7}Y;8k01>6i:23b?x{e9ol1<7=51;1xH6>228qC=ok4}%17e?7a;2cii7>5;h`e>5<5<42:0>w)=;a;1;b>oem3:17dli:188k67f2900n>6l:180>5<7s-9?m7;?;I1;7>o6:80;66g>2383>>i5810;66sm39f94?5=83:p(>:n:428L6>43`;9=7>5;h316?6=3f8;47>5;|`0<`<72:0;6=u+35c965d<@:287d?=1;29?l75:3:17b239~w67f2909wS=>a:?0<`<5811v>6m:18185?k38;463<8d8264=z{:2h6=4={<1;`?4702784h4>239~yvdb2909wSlj;<1;b?db3tyij7>52z\ab>;40o0ij6s|30c94?4|V:;j70=7f;12e>{zj;:;6=4<:080I5?=3;pD5;c1;b?6=;3919v*<4`805<4290;w)=;a;73?M5?;2c:><4?::k267<722e9<54?::a7=c=8391<7>t$26b>76e3A93?6g>2083>>o6:;0;66a=0983>>{tjl0;6>uQbd9>7=e=9;801>6k:002?xuen3:1?vPmf:?0vP<1`9>7=c=:920q~=7b;296~;40j09<55239g957752z?0vPme:?05<5sW9:m63<8g805d=zuk8;=7>53;397~J40<0:wE?me:'71g=9o90eok50;9jfc<722e8=l4?::`0>i49h0;66l<8b83>6<729q/?9o5519K7=55<N40:1b=??50;9j574=831d>=650;9~f6>b29086=4?{%17e?47j2B84>5f13394?=n9;81<75`21:94?=z{ko1<7=t^cg896>d288970=7d;315>{tjo0;6>uQbg9>7=e=9;;01>6k:001?xu49h0;6?uQ30c896>b2;:37p}<8c83>7}:;1i1>=64=2:f>4463ty84n4?:3y>7=b=:9201>6j:001?x{tjl0;6?uQbd9>7=`=jl1voh50;0xZg`<5:2m6oh4}r12e?6=:rT8=l5239d974g5}#;=k18k5G3918m4462900e<<=:188k76?2900qo=6f;297?6=8r.88l4;f:J0<6=n9;;1<75f13094?=h:921<75rb2c2>5<4290;w)=;a;6e?M5?;2c:><4?::k267<722e9<54?::a7d5=8391<7>t$26b>1`<@:287d?=1;29?l75:3:17b4?:1y'71g=5<5<53;294~"4=831vn><::181>5<7s-9?m7?=f:J0<6=n9;:1<75`21:94?=z{:3h6=4<{<3eg?db3492h77j:18087al3hn70=6f;03<>;4i80:>?5rs2c3>5<4s4;mi7lj;<1b5?470278m>4>239~w6g52908w0?if;`f?85f;38;463o9:18184793hn70=n7;03<>{t;;>1<7"4:h08>>5a33;95>{t;;;1<76s|33294?4|V:8;70?ie;12e>"4:h08>>5a33;97>{t;8o1<7"4:h08>>5a33;91>{t;8i1<7"4:h08>>5a33;93>{tkh0;6?uQc`9>657=jo1/??o5c89m77?=82wxo54?:2y]g==::9:1nk523`59577<,:8j6n74n20:>4=z{j=1<7=t^b5894`a2kl01>o::002?!55i3i27c==9;08yve12908wSm9;<3ea?da349j?7?=1:&06d44<;|q`0?6=;rTh863>fe8ab>;4i80:><5+33c9g<=i;;3186s|c283>6}Yk:16=km5bg9>7<`=9;;0(>2<1vn<50;1xZf4<58li6oh4=2;g>4463-99m7m6;o11=?0;4:<0:>=5+33c9g<=i;;31;6srs`:94?4|Vh201:4n8:p615=839pR?:<;<59615<58l36no4$20b>7253g9957>4}r075?6=;rT98<527;075>;6n10h46*<2`8107=i;;31=6s|25294?5|V;>;7095252894`?2j=0(>2.8>l4=439m77?=;2wx>>j50;1xZ75c34=1>>j4=0d;>f2<,:8j6?:=;o11=?253z\17f=:?388o63>f98`7>"4:h098?5a33;91>{t::h1<7=t^31a?81=::h0197c==9;48yv44i3:1?vP=3`9>3?44i27:j54l1:&06d<5<;1e??757:p7291v>76:18181=j<16=k653308 64f2:3j7c==9;38yv5>03:1>v38:c6894`?2:8:7)==a;1:e>h4:0097p}<9683>7}:?3h870?i8;114>"4:h085l5a33;97>{t;0?1<7;6n108=h5+33c975<5s4=1n=521g:974b<,:8j6>7n;o11=?352z?4>d`<58l36>?l;%11e?5>i2d8>449;|q0=7<72;q6;7oj;<3el4<9`9m77?=?2wvn>??:186>7<2sE9397?tH0`f?xJ40?0jw):;8;28 1202:h87):h3;00:7):of03:17do6:188mdb=831d>>750;9j77`=831i;7>55;091~J40<0:wE?me:'71g=9j?0elj50;9l66?=831bm54?::kb=?6=3`k;6=44b683>0<729q/?9o5ed9K7=564<729q/?9o510d8L6>43f;:i7>5;|qb2909wSo6;<59===z{;:96=4={<1;0?76m27<6l>4}r037?6=:r7<6?=6;_00=>{t:9>1<7on:180>5<7s-9?m752z\17<=:?38856s|33d94?5|V:8m7095ae9>7dg=9;;0q~=n9;296~;02h301>on:32;?x{e;=h1<7;52;7xH6>228qC=ok4}M1;2?g|,=>36=5+45597g5<,=9m6lj4$562>75>3->8h7o7;o60=?7<,=9n6l74n51b>5=#<=91??h4n51a>5=z,:>j65<>i5;00;66g<2g83>>d0290>6?4:{M1;1?7|@8hn7p*<4`82g0=nim0;66a=3883>>of03:17do6:188md6=831i;7>55;294~"45;h;7>5<:183!53i3;:j6F<829l54c=831vl650;0xZd><5>0286s|a883>7}Yi016;777;|q147<72;q6?5:510g892==50;0x92<5;01U>>74}r030?6=:rTjh638:`f8yxd4ih0;6>4?:1y'71g=:9n0D>6<;h315?6=3`;9>7>5;n0352z\b<>;02h20q~o6:181[g>349jm7?=2:p66?=838pR?=6;<5966?53z\06c=:?3ko70=na;315>{t;h31<7;4ih09<55r}c165?6==3819vB<8482M7em2wG?585az&70=<73->?;7=m3:&77c751:&77`5<0<52j65<t$26b>`c<@:287d77:188md6=831b594?::kb`?6=3f8857>5;|`0<1<7280;6=u+35c954`<@:287b?>e;29?xuf03:1>vPn8:?4><2=:18185?<3;:i638:`28yv47;3:1>v38:31:?[4412wx>=:50;0xZdb<5>0jh6srb2cb>5<4290;w)=;a;03`>N40:1b=??50;9j574=831d>=650;9~wd>=838pRl64=68b<>{ti00;6?uQa89>7dg=9;80q~<<9;296~X5;016;7<<9:p77`=839pR>76?3twi?8>50;796?3|D:2>63kp(9:7:19'011=;k90(9=i:`f8 1262;927)::n:0af?lg?2900el750;9jea<722e9?44?::k06c<722h<6=4::386I5?=3;pD5;hc:>5<6;:182>5<7s-9?m7?>f:J0<6=h98o1<75rs`:94?4|Vh201:464:pe<<72;qUm4527;;;?xu58;0;6?u2396954c<5>0j<6s|21194?4|5>09?45Q22;8yv47<3:1>vPnd:?4>db5}#;=k1>=m4H2:0?l7593:17d?=2;29?j4703:17p}n8;296~Xf027<6l64}rc:>5<5sWk270=na;316>{t::31<77>50z&00d<6:o1C?5=4i003>5<N40:1b=??50;9j574=831b=?=50;9j572=831d>=650;9~f63429086=4?{%17e?2a3A93?6g>2083>>o6:;0;66a=0983>>{e;881<7750;2x 62f2;:<7E=73:k264<722c:>?4?::k266<722c:>94?::k260<722c:>;4?::k262<722c:>54?::m14=<722wi?9j50;:94?6|,:>j6?>9;I1;7>o6:80;66g>2383>>o6::0;66g>2583>>o6:<0;66g>2783>>o6:>0;66a=0983>>{e;8>1<7<50;2x 62f288m7E=73:k265<722e9<54?::a71`=8381<7>t$26b>44a3A93?6g>2183>>i5810;66s|30:94?4|V:;370=>9;03<>{t;9?1<77}Y;8<01>?8:32;?xubk3:1:vPjc:?043;?;<164?4412wx5:4?:5y]=2=:;=h1??h4=272>64a349><7==f:p707=838p1>;>:31:?852;3;9>6s|30294?5|5:;;6?=6;<123?758278=44>209~w6742908w0=>0;11b>;4=80j563<15814==z{:>n6=4={<17f?g>349?j7;<:002?856:38;463<158265=z{:?96=4={<164?g>349>?7:k:32;?853n3;9<6s|31d94?5|5::=6?:<;<12=?75:278=?4>299'77g=;9o0b><6:19~w66c290?w0=?6;075>;4900:>>523009571<5:>o6<<8;%11e?57m2d8>44>;|q04f<72=q6?=852528967>288?70=>2;312>;4;5+33c975c5<4s49;:7<4433-99m7=?e:l06<<33ty8<44?:2y>750=::i01>?=:000?853l3;9?6*<2`804`=i;;3196s|31:94?5|5::=6?=m;<126?75:2788i4>239'77g=;9o0b><6:79~w6602908w0=?6;00e>;49;0:><5235f9577<,:8j6>>j;o11=?1i3:o0;66a>o4k90;66a8d;29?lcd2900e5?50;9j<6<722c9j94?::k1b3<722c9j54?::m0gc<722c:>44?::k`f?6=3k<;6=4<:387I5?=3;pD?47<4}%17e?7b<2cjh7>5;n00=?6=3`k36=44b683>6<729q/?9o5ee9K7=56:n:00e?M5?;2c:>=4?::m14=<722wxm54?:3y]e==:ij0:>=5rs321>5<5s4=15952ab814==z{;:86=4={<5966?7}Yim16;7ok;|a6c3=83?1>7;tL2:6>4}O9ko0qA=76;cx 12?2;1/89953c18 15a2hn0(9:>:31:?!24l3k37c:<9;38 15b2h30b9=n:09'015=;;l0b9=m:19~ 62f28in7do7:188md?=831bmi4?::m17<<722c8>k4?::`4>5<22;0>wA=75;3xL4db3t.88l4>c49jea<722e9?44?::kb50z&00d5f9983>>of83:17d7;:188mdb=831d>>750;9~f6>3290:6=4?{%17e?76n2B84>5`10g94?=z{h21<7<2wxm44?:3y]e<=:?3337p}=0383>7}:;1>1={t:991<7vPn8:?4>d>6s|22;94?4|V;92709522;8yv55n3:1?vP<2g9>3?gc349jm7?=1:p7d?=838p1:4n9:?0ed<5811vqo{I3aa>{K;1<1mv*;4981?!23?39i?6*;3g8b`>"3<809?45+42f9e==i<:31=6*;3d8b=>h3;h0:7):;3;11b>h3;k0;7p*<4`82g`=ni10;66gn9;29?lgc2900c?=6:188m64a2900n:4?:481>0}K;1?1=vF>bd9~ 62f28i>7dok:188k75>2900el650;9je<<722cj<7>5;c594?3=83:p(>:n:dg8L6>43`336=44i`294?=n1=0;66gnd;29?j4413:17pl<8583>4<729q/?9o510d8L6>43f;:i7>5;|qb2909wSo6;<59===z{;:96=4={<1;0?76m27<6l>4}r037?6=:r7<6?=6;_00=>{t:9>1<7on:180>5<7s-9?m752z\17<=:?38856s|33d94?5|V:8m7095ae9>7dg=9;;0q~=n9;296~;02h301>on:32;?x{e:o31<7;52;7xH6>228qC=ok4}M1;2?g|,=>36?5+45597g5<,=9m6lj4$562>75>3->8h7o7;o60=?7<,=9n6l74n51b>4=#<=91??h4n51a>5=z,:>j65<>i5;00;66g<2g83>>d0290>6?4:{M1;1?7|@8hn7p*<4`82g0=nim0;66a=3883>>of03:17do6:188md6=831i;7>55;294~"45;h;7>5<:183!53i3;:j6F<829l54c=831vl650;0xZd><5>0286s|a883>7}Yi016;777;|q147<72;q6?5:510g892==50;0x92<5;01U>>74}r030?6=:rTjh638:`f8yxd4ih0;6>4?:1y'71g=:9i0D>6<;h315?6=3`;9>7>5;n0352z\b<>;02h20q~o6:181[g>349jm7?=2:p66?=838pR?=6;<5966?53z\06c=:?3ko70=na;315>{t;h31<7;4ih09<55r}c:1>5<22;0>wA=75;3xL4db3tF84;4n{%67<6>l<;%60b?gc3->?=7<<9:&77a;%60a?g>3g>8m7?4$560>64a3g>8n7>4}%17e?7dm2cj47>5;hc:>5<{I3aa>{#;=k1=n;4i`f94?=h::31<75fa983>>of13:17do?:188f2<72<0;6=u+35c9a`=O;190e4650;9je5<722c287>5;hcg>5<02wx>=<50;0x96>328;n7095a19~w7642909w09522;8Z75>3ty9<94?:3y]ea=:?3ko7psm3`c94?5=83:p(>:n:32`?M5?;2c:><4?::k267<722e9<54?::pe=<72;qUm5527;c;?xuf13:1>vPn9:?0ed<6:;1v?=6:181[44127<6?=6;|q06c<72:qU??h4=68b`>;4ih0:><5rs2c:>5<5s4=1m4523`c965>55;091~J40<0:wE?me:O7=0=ir.?854=;%673?5e;2.??k4nd:&704<5;01/8>j5a99m06?=92.??h4n9:l77d<63->??7==f:l77g<73t.88l4>cd9je=<722cj57>5;hcg>5<5<0;684=:4yO7=3=9rB:nh5r$26b>4e23`ko6=44o31:>5<>of83:17o950;794?6|,:>j6hk4H2:0?l??2900el>50;9j=1<722cjh7>5;n00=?6=3th8494?:083>5}#;=k1=5<5sWk27095999~w7652909w0=74;32a>;02h:0q~{zj:kj6=4<:183!53i38;o6F<829j577=831b=?<50;9l65>=831vl650;0xZd><5>0j46s|a883>7}Yi016?lo51308yv4413:1>vP=389>3?4412wx??h50;1xZ64a34=1mi523`c957752z?4>d?<5:kj6?>7;|a7ae=83?1?79tL2:6>4}O9ko0qA=76;cx 12?2;1/89953c18 15a2hn0(9:>:31:?!24l3k37c:<9;38 15b2h30b9=n:09'012=;=30b9=l:19~ 62f28o>7do7:188md?=831bmi4?::m17<<722c8844?::`4>5<22;0>wA=75;3xL4db3t.88l4>c49jea<722e9?44?::kb50z&00d5f9983>>of83:17d7;:188mdb=831d>>750;9~f6>3290:6=4?{%17e?76n2B84>5`10g94?=z{h21<7<2wxm44?:3y]e<=:?3337p}=0383>7}:;1>1={t:991<74?:1y'71g=:9i0D>6<;h315?6=3`;9>7>5;n0352z\b<>;02h20q~o6:181[g>349jo7?=2:pea<72;qUmi523`c957452z\17<=:?38856s|35;94?5|V:>270=na;315>;4ij0:><5rs2ca>5<5s4=1m4523`a965>52z?4>db<5:kj6?>7;|a701=83?1?79tL2:6>4}O9ko0qA=76;cx 12?2;1/89953c18 15a2hn0(9:>:31:?!24l3k37c:<9;38 15b2h30b9=n:09'015=;;l0b9=m:19~ 62f28in7do7:188md?=831bmi4?::m17<<722c8>k4?::`4>5<22;0>wA=75;3xL4db3t.88l4>c49jea<722e9?44?::kb50z&00d5f9983>>of83:17d7;:188mdb=831d>>750;9~f6>3290:6=4?{%17e?76n2B84>5`10g94?=z{h21<7<2wxm44?:3y]e<=:?3337p}=0383>7}:;1>1={t:991<7:188m4452900c?>7:188yg5fi3:1?7>50z&00d<58j1C?5=4i002>5<5<;02;927p}<2g83>6}Y;;l01>7k:002?85fi3;9=6s|38a94?4|5>0jh63<9e814==z{:k26=4={<59e<=:;hk1>=64}|`4a?6=;3:1:188m4452900c?>7:188yg4am3:197>50z&00d<2?2B84>5f13394?=n9;81<75f13194?=n9;>1<75`21:94?=zj1<1<7;50;2x 62f2;;:7E=73:k264<722c:>?4?::k266<722c:>94?::m14=<722wi?i:50;094?6|,:>j6<o6:90;66a=0983>>{e<:>1<7<50;2x 62f288m7E=73:k265<722e9<54?::a7`6=8391<7>t$26b>06<@:287d?=1;29?l75:3:17b4?:1y'71g=:9h0D>6<;h315?6=3`;9>7>5;n035}#;=k1>=l4H2:0?l7593:17d?=2;29?j4703:17pl<0583>6<729q/?9o521`8L6>43`;9=7>5;h316?6=3f8;47>5;|`0`6<72:0;6=u+35c915=O;190e<<>:188m4452900c?>7:188yg24;3:1?7>50z&00d<282B84>5f13394?=n9;81<75`21:94?=zj?81<7<50;2x 62f288m7E=73:k265<722e9<54?::p07`=838pR9m?;<5f>446349o?7?=1:?776<6:816:?4>219~w2b=833pR:j4=3d6>64a348m;7==f:?1b<<4:o164?4<2g9><1<4:o16?im535;896302:8m709j:32;?xubk3:15vPjc:?54?g?348m97o7;<0e3?g?348m57o7;<:1>d><51>1m5523ea9e==:;<=1m55rs9394?5|V1;015<5a89><3<6:81v5=50;1xZ=5<51>1m452878266=z{;l?6=4<{_0e0>;5n<0j563<018264=z{;l=6=4<{_0e2>;5n>0j563<038264=z{;l36=4<{_0e<>;5n00j563<058264=z{:im6=4={_1`b>;4l=09<55rs00:>5<4sW;9563;4=>0jh6s|cc83>7}Ykk16>kk51338yv>72908w069:32;?85b83;9=634=099~w36=838p1;>522;892c=9;80q~?52312965>52z?1b`<6::16?=<521:8yv57;3:1>v3=fd8261=:;9>1>=64}r:1>5<5s4296?=6;<:5>4453ty387>52z?;0?441273:7?=4:p701=838p1>;8:31:?824;3;9>6s|2g794?4|5;l>6?=6;<134?75:2wx>k950;0x97`02;9270=?2;316>{t:o31<775>349;87?=2:p064=838p19=;:003?824;38;46s|2g194?4|5;ln6?>7;<1f4?75:2wx:<4?:3y>257jl:`;896302h301>k?:32;?x{e:m?1<7=51;1xH6>228qC=ok4}M1;2?7|,=>3685r$26b>4c13`ko6=44o31:>5<2d8700=za0>1<75fae83>>i5;00;66s|a983>7}Yi116;77;;|q147<72;q6;7<<9:\17<=z{;:86=4={_cg?81=im1vqo{I3aa>{K;1<1=v*;4986?x"4>i5;00;66gn8;29?g1=8391<7>t$26b>`b<@:287A=75;3x 44b2=>>7pg64;29?lgc2900c?=6:188yvg?2909wSo7;<59=1=z{;:96=4={<5966?7}Yim16;7ok;|a6a?=8391=7=tL2:6>4}O9ko0qA=76;3x 12?2<1v(>:n:0g:?lgc2900c?=6:188md>=831i;7>53;294~"4h4;449~m<2=831bmi4?::m17<<722wxm54?:3y]e==:?33?7p}=0383>7}:?38856P=389~w7642909wSok;<59ea=zuk;i?7>53;397~J40<0:wE?me:O7=0=9r.?854:;|&00d<6mh1bmi4?::m17<<722cj47>5;c594?5=83:p(>:n:df8L6>43E9397?t$00f>1223tc287>5;hcg>5<5<5sWk37095959~w7652909w09522;8Z75>3ty9<>4?:3y]ea=:?3ko7psm1c494?5=939p@>6::0yK5gc0=z,:>j65<50z&00d5C39795~"6:l0?885ri8694?=nim0;66a=3883>>{ti10;6?uQa99>3??33ty93?4412T9?45rs320>5<5sWko7095ae9~ygee290>6?4:{M1;1?7|@8hn7pB<8782!2303?0q)=;a;3fg>ofl3:17b<<9;29?lg?2900el750;9je5<722h<6=4::183!53i3on7E=73:N0<0<6s-;9i7:;5:j==<722cj<7>5;h;7>5<:183!53i3;:j6F<829l54c=831vl650;0xZd><5>0286s|a883>7}Yi016;777;|q147<72;q6?5:510g892==50;0x92<5;01U>>74}r030?6=:rTjh638:`f8yxd5l80;684=:4yO7=3=9rB:nh5rL2:5>4}#<=2196s+35c95`b5}#;=k1ih5G3918H6>228q/=?k54578yl??2900el>50;9j=1<722cjh7>5;n00=?6=3th8494?:083>5}#;=k1=5<5sWk27095999~w7652909w0=74;32a>;02h:0q~{zj:i86=4<:080I5?=3;pD?47;4}%17e?7d:2cn57>5;h160?6=3f9:m7>5;c594?5=83:p(>:n:808L6>43`;9<7>5;hf2>5<5<5sWo27095d09~w7652909w09521:8Z67f3ty9<>4?:3y]702<5>0:>=5r}c1e5?6=;3;1?vB<8482M7em2wG?5851z&70=<23t.88l4>c39ja<<722c8994?::m05d<722h<6=4<:183!53i3397E=73:k265<722co=7>5;n0352z\f=>;02m;0q~a:p655=838pR>;;;<595766}K;1?1=vF>bd9~H6>128q/89655:'71g=9j80eh750;9j702=831d?N40:1b=?>50;9j`4<722e9<54?::pa<<72;qUi4527;f2?xu58;0;6?u27;03<>X49h1v?><:181[52<27<6<4}O9ko0qA=76;3x 12?2<1v(>:n:0a1?lc>2900e>;;:188k67f2900n:4?:283>5}#;=k15?5G3918m4472900ei?50;9l65>=831vh750;0xZ`?<5>0o=6s|21094?4|5>09<55Q30c8yv47;3:1>vP<559>3?7582wvni>50;597??|,:>j6i>4oba94?=n:m?1<75f2e:94?=n:m31<75f2e394?=n9k91<75f1c494?=ekm0;684?:1y'71g==?1C?5=4i002>5<5<5<?4?::m14=<722wiok4?:283>5}#;=k18k5G3918m4462900e<<=:188k76?2900q~ml:181[ed34im6?>7;|q1`0<72;qU>i;4=bf957452z\1`==:km0:><5rs3f:>5<5sW8o563ld;317>{t:m;1<7b283>7}Y9k901nj51368yv7e>3:1>vP>b79>g`<6:;1vnj50;0x9fb=:9201nh51338yveb2909w0mj:32;?8ea28897psm9b83>7<729q/?9o513d8L6>43`;9<7>5;n035}#;=k1=?h4H2:0?l7583:17b6<;h314?6=3f8;47>5;|`0fc<72;0;6=u+35c957`<@:287d?=0;29?j4703:17pl=3983>7<729q/?9o513d8L6>43`;9<7>5;n035}#;=k19=5G3918m4462900e<<=:188k76?2900qo=831vn9=7:180>5<7s-9?m7;?;I1;7>o6:80;66g>2383>>i5810;66sm3c594?4=83:p(>:n:00e?M5?;2c:>=4?::m14=<722wi>i950;094?6|,:>j6<o6:90;66a=0983>>{e9k?1<7<50;2x 62f288m7E=73:k265<722e9<54?::a7f3=8381<7>t$26b>44a3A93?6g>2183>>i5810;66s|9g83>7}Y1o16?o65979~w6bc2909wS=kd:?0f0<4lj1v>m>:187[5d927?8;47g?=;j:01>l::2a3?xu>j3:1>vP6b:?:g?4702wx8>>50;0xZ157349i97:=f:p04?=839pR9?6;<672?260278n44;199~w1732909wS:>4:?703<39=1v9>m:181[27j27?8;4;0c9~w6b62909wS=k1:?0f=<4ko1v9>6:181[27127?8;42909wS=k9:?703<4l01v>j7:181[5c0278n541:?0f7<39816?o65403897b0288;7p}7}Y;mo01>l7:2f`?xu4k;0;6>uQ3b0896d?2:i<70=l3;12e>{t;jn1<7=t^2ag?85e039hh63;6j<0:>=5rs52b>5<5sW>;m63;478764=z{=9:6=4={_605>;4j10?>k5rs53b>5<5sW>:m63;1c805d=z{=::6=4={_635>;35<5sW9im63;4jo09<55rs2ge>5<4sW9nj63;4780a`=:;k31?hk4}r;g>5<5sW3o70=m8;;6?xu4l?0;6?uQ3e4896d?2:n>7p}6e;29`~X>m278n?469:?703<>1278n4469:?0f54n8:?2f3=69?=;|qf{t;l;1<7{t<;<1<7{t;l<1<7;352z\0`5=:;k?1?nh4}r127g6=;820q~=?5;296~;37>52z?1`44=099~w45b2909w0=m9;30a>;4j10:?h5rs056>5<5s49i>7?85:?0f<<6?<1v>m;:18685d;3o270=i1;g:?826j3o270:=7;g:?85d=38;46s|1c194?5|58h86?=6;<3a2?gc34n;652z?0f7<4:?16?4j51338yv5493:1>v3`5<5l81v9950;0x96d?2=<01>lk:001?xu303:1>v3;4jm0:><5rs2d1>5<5s49i47=je:?0b4<4==1v>?9:181823>39::63n1<7;t=2`1>2b<5=>=6:j4=2`:>2b<5:h;6494=2`6>2b52z?0f0<5n116?o9521:8yv4c=3:18v3=d4817<=::m21mi52d181`0=::m91=??4}r0g6a?=im16h=4=d99>6a5=9;80q~769>7g>=9>=0q~ml:1818ee2h301i>5cb9~w62e2909w0=m0;17f>;3;10:><5rs273>5<5s49i<7=:0:?0=a<6:;1v9=8:181824038;463;4j00:985rs0:7>5<5s49i57?74:?0f=<6j;1v?hn:18185e138m?63;4jm09<55rs04b>5<5s49i57?9a:?0f=<6?=1v?=8:18185e0388;63=39814==z{;??6=4={<1a6?42<27?8;4=559~w4d32909w0?m3;cg?87e=38;46s|3b494?4|5:h36>m7;<1`7?52<2wx?4m50;0x96d221;01>7k:32;?xu6n00;6?u23c095c?<58lj6?>7;|q1``<72;q6?o<52eg891212;nn7p}>2883>7}:;k81=?74=2`6>44>3ty?>54?:3y>7g>=<;?019<8:277?xu?=3:1>v3;4j<03?6s|cc83>6}:;k?1oo52cc817<=:::21=?>4}r3b3?6=:r78n44>a69>7g>=9k;0q~1c<5:hm6<i?5ae9~w72>2909wS<;9:?703<5<01/??o525:8j64>291v?:8:181[43?27?8;4=469'77g=:=20b><6:09~w7352909wS<:2:?703<5=;1/??o525:8j64>2;1v?;>:181[42927?8;4=509'77g=:=20b><6:29~w7372909wS<:0:?703<5=91/??o525:8j64>2=1v?:i:181[43n27?8;4=4g9'77g=:=20b><6:49~w72b2909wS<;e:?703<52?1v?:k:181[43l27?8;4=4e9'77g=:=20b><6:69~w72d2909wS<;c:?703<5211v?:m:181[43j27?8;4=4c9'77g=:=20b><6:89~w72f2909wS<;a:?703<5

2h1v?:9:181[43>27?8;4=479'77g=:=20b><6:c9~w24=838pR:<4=2`1>6b<,:8j6:?4n20:>5=z{>:1<7281v;h50;1xZ3`<5:h96>o4=565>6g<,:8j6:?4n20:>7=z{?o1<7=t^7g896d52:2019:9:2:8 64f2>;0b><6:29~w3e=839pR;m4=2`1>60<5=>=6>84$20b>27l=:27891212:?0(>2<1v;o50;1xZ3g<5:h96>:4=565>62<,:8j6:?4n20:>3=z{?31<7=t^7;896d52:9019:9:218 64f2>;0b><6:69~w3>=839pR;64=2`1>64<5=>=6><4$20b>27l=:23891212:;0(>201v;850;1xZ30<5:h96>>4=565>66<,:8j6:?4n20:>d=z{??1<7=t^77896d52;l019:9:3d8 64f2>;0b><6:c9~w32=839pR;:4=2`1>7c<5=>=6?k4$20b>27l=:3f891212;n0(>2m1v:o50;1xZ2g<5:h969;4=565>13<,:8j6:?4n20:>`=z{>31<7=t^6;896d52=>019:9:568 64f2>;0b><6:g9~w2>=838pR:64=565>15<,:8j6:?4n20:>463>97)==a;52?k5513;:7p}86;296~X0>27?8;4;1:&06d<092d8>44>2:p30<72;qU;852454905=#;;k1;<5a33;956=z{>>1<728>0q~9<:181[1434>?:7=j;%11e?163g9957?:;|q5`?6=:rT=h63;47803>"4:h0<=6`<28822>{t;;i1<76}Y;;h0R4o4=8a9576'. The input(s) are unused - after optimization. Please verify functionality via simulation. - ************************* Summary of Mapped Logic ************************ - -** 35 Outputs ** - -Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init -Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 23 32 FB3_9 28 I/O O STD FAST RESET -nROMWE 1 2 FB3_17 34 I/O O STD FAST -nAoutOE 3 4 FB4_2 87 I/O O STD FAST SET -nDoutOE 2 3 FB4_5 89 I/O O STD FAST RESET -nDinOE 3 7 FB4_6 90 I/O O STD FAST -nVPA_FSB 1 2 FB4_11 93 I/O O STD FAST -nROMCS 3 6 FB5_2 35 I/O O STD FAST -nCAS 1 1 FB5_5 36 I/O O STD FAST RESET -nOE 1 2 FB5_6 37 I/O O STD FAST -RA<4> 2 3 FB5_9 40 I/O O STD FAST -RA<3> 2 3 FB5_11 41 I/O O STD FAST -RA<5> 2 3 FB5_12 42 I/O O STD FAST -RA<2> 2 3 FB5_14 43 I/O O STD FAST -RA<6> 2 3 FB5_15 46 I/O O STD FAST -nVMA_IOB 3 10 FB6_2 74 I/O O STD FAST RESET -nLDS_IOB 4 6 FB6_9 79 I/O O STD FAST RESET -nUDS_IOB 4 6 FB6_11 80 I/O O STD FAST RESET -nAS_IOB 3 4 FB6_12 81 I/O O STD FAST RESET -nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET -nADoutLE0 1 2 FB6_15 85 I/O O STD FAST -nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET -RA<1> 2 3 FB7_2 50 I/O O STD FAST -RA<7> 2 3 FB7_5 52 I/O O STD FAST -RA<0> 2 3 FB7_6 53 I/O O STD FAST -RA<8> 7 7 FB7_8 54 I/O O STD FAST -RA<10> 1 1 FB7_9 55 I/O O STD FAST -RA<9> 2 3 FB7_11 56 I/O O STD FAST -CLK25EN 1 1 FB7_12 58 I/O O STD FAST -CLK20EN 1 1 FB7_14 59 I/O O STD FAST -RA<11> 1 1 FB8_2 63 I/O O STD FAST -nRAS 3 8 FB8_5 64 I/O O STD FAST -nRAMLWE 1 5 FB8_6 65 I/O O STD FAST -nRAMUWE 1 5 FB8_8 66 I/O O STD FAST -nBERR_FSB 3 9 FB8_12 70 I/O O STD FAST -nBR_IOB 1 6 FB8_15 72 I/O O STD FAST SET - -** 80 Buried Nodes ** - -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -ram/BACTr 1 2 FB1_1 STD RESET -iobm/RESrr 1 1 FB1_2 STD RESET -iobm/RESrf 1 1 FB1_3 STD RESET -fsb/ASrf 1 1 FB1_4 STD RESET -cnt/RefCnt<2> 1 2 FB1_5 STD RESET -cnt/RefCnt<1> 1 1 FB1_6 STD RESET -RefAck 1 2 FB1_7 STD RESET -RESr2 1 1 FB1_8 STD RESET -RESr1 1 1 FB1_9 STD RESET -RESr0 1 1 FB1_10 STD RESET -IPL2r1 1 1 FB1_11 STD RESET -IPL2r0 1 1 FB1_12 STD RESET -ALE0S 1 2 FB1_13 STD RESET -$OpTx$FX_DC$708 1 2 FB1_14 STD -iobs/IOU1 2 2 FB1_15 STD RESET -iobs/IOL1 2 2 FB1_16 STD RESET -iobm/IOS_FSM_FFd1 2 3 FB1_17 STD RESET -IOU0 3 5 FB1_18 STD RESET -iobs/IOACTr 1 1 FB2_6 STD RESET -iobm/VPArr 1 1 FB2_7 STD RESET -iobm/VPArf 1 1 FB2_8 STD RESET -iobm/IOREQr 1 1 FB2_9 STD RESET -iobm/Er2 1 1 FB2_10 STD RESET -iobm/Er 1 1 FB2_11 STD RESET -iobm/DTACKrr 1 1 FB2_12 STD RESET -iobm/DTACKrf 1 1 FB2_13 STD RESET -iobm/BGr1 1 1 FB2_14 STD RESET -iobm/BGr0 1 1 FB2_15 STD RESET -iobm/BERRrr 1 1 FB2_16 STD RESET -iobm/BERRrf 1 1 FB2_17 STD RESET -cnt/RefCnt<0> 0 0 FB2_18 STD RESET -fsb/Ready1r 8 18 FB3_1 STD RESET -iobs/IORW1 17 20 FB3_3 STD RESET -fsb/Ready2r 9 22 FB3_5 STD RESET -fsb/VPA 22 31 FB3_15 STD RESET -iobs/Clear1 1 3 FB3_18 STD RESET -cnt/RefCnt<6> 1 6 FB4_1 STD RESET -cnt/RefCnt<5> 1 5 FB4_3 STD RESET -cnt/RefCnt<4> 1 4 FB4_4 STD RESET -cnt/RefCnt<3> 1 3 FB4_7 STD RESET - -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -iobs/PS_FSM_FFd1 2 3 FB4_8 STD RESET -fsb/BERR1r 2 4 FB4_9 STD RESET -cs/nOverlay1 2 3 FB4_10 STD RESET -cnt/RefDone 2 10 FB4_12 STD RESET -cs/nOverlay0 3 8 FB4_13 STD RESET -cnt/TimeoutBPre 3 11 FB4_14 STD RESET -TimeoutA 3 10 FB4_15 STD RESET -IOL0 3 5 FB4_16 STD RESET -iobs/IOReady 4 8 FB4_17 STD RESET -BERR_IOBS 4 8 FB4_18 STD RESET -ram/RASEL 20 15 FB5_1 STD RESET -cnt/RefCnt<7> 1 7 FB5_3 STD RESET -ram/RAMDIS2 7 15 FB5_4 STD RESET -ram/RAMDIS1 18 15 FB5_8 STD RESET -iobs/Load1 15 19 FB5_13 STD RESET -ram/Once 5 10 FB5_16 STD RESET -iobm/ETACK 1 6 FB6_1 STD RESET -iobm/IOS_FSM_FFd3 3 6 FB6_3 STD RESET -iobm/ES<3> 3 6 FB6_4 STD RESET -iobm/ES<1> 3 4 FB6_5 STD RESET -iobm/ES<0> 3 7 FB6_6 STD RESET -ALE0M 3 5 FB6_7 STD RESET -iobm/ES<4> 4 7 FB6_8 STD RESET -iobm/IOS_FSM_FFd2 5 11 FB6_10 STD RESET -iobm/ES<2> 5 7 FB6_13 STD RESET -IOACT 7 13 FB6_16 STD RESET -IOBERR 9 13 FB6_18 STD RESET -ram/RAMReady 16 15 FB7_1 STD RESET -TimeoutB 3 12 FB7_3 STD RESET -fsb/Ready0r 3 8 FB7_4 STD RESET -ram/RS_FSM_FFd1 5 10 FB7_7 STD RESET -$OpTx$$OpTx$FX_DC$182_INV$783 6 8 FB7_10 STD -ram/RS_FSM_FFd3 11 14 FB7_13 STD RESET -ram/RS_FSM_FFd2 13 14 FB7_17 STD RESET -iobs/PS_FSM_FFd2 15 20 FB8_4 STD RESET -RESDone 1 3 FB8_7 STD RESET -IOREQ 15 20 FB8_9 STD RESET -iobs/Once 18 19 FB8_14 STD RESET -fsb/BERR0r 3 8 FB8_16 STD RESET -IORW0 19 21 FB8_18 STD RESET - -** 39 Inputs ** - -Signal Loc Pin Pin Pin -Name No. Type Use -A_FSB<13> FB1_2 11 I/O I -A_FSB<14> FB1_3 12 I/O I -A_FSB<15> FB1_5 13 I/O I -A_FSB<16> FB1_6 14 I/O I -A_FSB<17> FB1_8 15 I/O I -A_FSB<18> FB1_9 16 I/O I -A_FSB<19> FB1_11 17 I/O I -A_FSB<20> FB1_12 18 I/O I -A_FSB<21> FB1_14 19 I/O I -A_FSB<22> FB1_15 20 I/O I -CLK2X_IOB FB1_17 22 GCK/I/O GCK -A_FSB<5> FB2_6 2 GTS/I/O I -A_FSB<6> FB2_8 3 GTS/I/O I -A_FSB<7> FB2_9 4 GTS/I/O I -A_FSB<8> FB2_11 6 I/O I -A_FSB<9> FB2_12 7 I/O I -A_FSB<10> FB2_14 8 I/O I -A_FSB<11> FB2_15 9 I/O I -A_FSB<12> FB2_17 10 I/O I -CLK_IOB FB3_2 23 GCK/I/O GCK/I -A_FSB<23> FB3_5 24 I/O I -E_IOB FB3_6 25 I/O I -CLK_FSB FB3_8 27 GCK/I/O GCK -nWE_FSB FB3_11 29 I/O I -nLDS_FSB FB3_12 30 I/O I -nAS_FSB FB3_14 32 I/O I -nUDS_FSB FB3_15 33 I/O I -nRES FB4_8 91 I/O I -nIPL2 FB4_9 92 I/O I -A_FSB<1> FB4_12 94 I/O I -A_FSB<2> FB4_14 95 I/O I -A_FSB<3> FB4_15 96 I/O I -A_FSB<4> FB4_17 97 I/O I -nBERR_IOB FB6_5 76 I/O I -nVPA_IOB FB6_6 77 I/O I -nDTACK_IOB FB6_8 78 I/O I -SW<1> FB7_15 60 I/O I -SW<0> FB7_17 61 I/O I -nBG_IOB FB8_17 73 I/O I - -Legend: -Pin No. - ~ - User Assigned - ************************** Function Block Details ************************ -Legend: -Total Pt - Total product terms used by the macrocell signal -Imp Pt - Product terms imported from other macrocells -Exp Pt - Product terms exported to other macrocells - in direction shown -Unused Pt - Unused local product terms remaining in macrocell -Loc - Location where logic was mapped in device -Pin Type/Use - I - Input GCK - Global Clock - O - Output GTS - Global Output Enable - (b) - Buried macrocell GSR - Global Set/Reset -X - Signal used as input to the macrocell logic. -Pin No. - ~ - User Assigned - *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 21/33 -Number of signals used by logic mapping into function block: 21 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -ram/BACTr 1 0 0 4 FB1_1 (b) (b) -iobm/RESrr 1 0 0 4 FB1_2 11 I/O I -iobm/RESrf 1 0 0 4 FB1_3 12 I/O I -fsb/ASrf 1 0 0 4 FB1_4 (b) (b) -cnt/RefCnt<2> 1 0 0 4 FB1_5 13 I/O I -cnt/RefCnt<1> 1 0 0 4 FB1_6 14 I/O I -RefAck 1 0 0 4 FB1_7 (b) (b) -RESr2 1 0 0 4 FB1_8 15 I/O I -RESr1 1 0 0 4 FB1_9 16 I/O I -RESr0 1 0 0 4 FB1_10 (b) (b) -IPL2r1 1 0 0 4 FB1_11 17 I/O I -IPL2r0 1 0 0 4 FB1_12 18 I/O I -ALE0S 1 0 0 4 FB1_13 (b) (b) -$OpTx$FX_DC$708 1 0 0 4 FB1_14 19 I/O I -iobs/IOU1 2 0 0 3 FB1_15 20 I/O I -iobs/IOL1 2 0 0 3 FB1_16 (b) (b) -iobm/IOS_FSM_FFd1 2 0 0 3 FB1_17 22 GCK/I/O GCK -IOU0 3 0 0 2 FB1_18 (b) (b) - -Signals Used by Logic in Function Block - 1: IPL2r0 8: iobm/IOS_FSM_FFd2 15: nAS_FSB - 2: RESr0 9: iobm/IOS_FSM_FFd3 16: nIPL2 - 3: RESr1 10: iobs/IOU1 17: nLDS_FSB - 4: cnt/RefCnt<0> 11: iobs/Load1 18: nRES - 5: cnt/RefCnt<1> 12: iobs/PS_FSM_FFd1 19: nUDS_FSB - 6: fsb/ASrf 13: iobs/PS_FSM_FFd2 20: ram/RS_FSM_FFd1 - 7: iobm/IOS_FSM_FFd1 14: nADoutLE1 21: ram/RS_FSM_FFd2 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -ram/BACTr .....X........X......................... 2 -iobm/RESrr .................X...................... 1 -iobm/RESrf .................X...................... 1 -fsb/ASrf ..............X......................... 1 -cnt/RefCnt<2> ...XX................................... 2 -cnt/RefCnt<1> ...X.................................... 1 -RefAck ...................XX................... 2 -RESr2 ..X..................................... 1 -RESr1 .X...................................... 1 -RESr0 .................X...................... 1 -IPL2r1 X....................................... 1 -IPL2r0 ...............X........................ 1 -ALE0S ...........XX........................... 2 -$OpTx$FX_DC$708 .....X........X......................... 2 -iobs/IOU1 ..........X.......X..................... 2 -iobs/IOL1 ..........X.....X....................... 2 -iobm/IOS_FSM_FFd1 ......XXX............................... 3 -IOU0 .........X.XXX....X..................... 5 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 9/45 -Number of signals used by logic mapping into function block: 9 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 0 5 FB2_1 (b) -(unused) 0 0 0 5 FB2_2 99 GSR/I/O -(unused) 0 0 0 5 FB2_3 (b) -(unused) 0 0 0 5 FB2_4 (b) -(unused) 0 0 0 5 FB2_5 1 GTS/I/O -iobs/IOACTr 1 0 0 4 FB2_6 2 GTS/I/O I -iobm/VPArr 1 0 0 4 FB2_7 (b) (b) -iobm/VPArf 1 0 0 4 FB2_8 3 GTS/I/O I -iobm/IOREQr 1 0 0 4 FB2_9 4 GTS/I/O I -iobm/Er2 1 0 0 4 FB2_10 (b) (b) -iobm/Er 1 0 0 4 FB2_11 6 I/O I -iobm/DTACKrr 1 0 0 4 FB2_12 7 I/O I -iobm/DTACKrf 1 0 0 4 FB2_13 (b) (b) -iobm/BGr1 1 0 0 4 FB2_14 8 I/O I -iobm/BGr0 1 0 0 4 FB2_15 9 I/O I -iobm/BERRrr 1 0 0 4 FB2_16 (b) (b) -iobm/BERRrf 1 0 0 4 FB2_17 10 I/O I -cnt/RefCnt<0> 0 0 0 5 FB2_18 (b) (b) - -Signals Used by Logic in Function Block - 1: E_IOB 4: iobm/BGr0 7: nBG_IOB - 2: IOACT 5: iobm/Er 8: nDTACK_IOB - 3: IOREQ 6: nBERR_IOB 9: nVPA_IOB - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -iobs/IOACTr .X...................................... 1 -iobm/VPArr ........X............................... 1 -iobm/VPArf ........X............................... 1 -iobm/IOREQr ..X..................................... 1 -iobm/Er2 ....X................................... 1 -iobm/Er X....................................... 1 -iobm/DTACKrr .......X................................ 1 -iobm/DTACKrf .......X................................ 1 -iobm/BGr1 ...X.................................... 1 -iobm/BGr0 ......X................................. 1 -iobm/BERRrr .....X.................................. 1 -iobm/BERRrf .....X.................................. 1 -cnt/RefCnt<0> ........................................ 0 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 38/16 -Number of signals used by logic mapping into function block: 38 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -fsb/Ready1r 8 4<- \/1 0 FB3_1 (b) (b) -(unused) 0 0 \/5 0 FB3_2 23 GCK/I/O GCK/I -iobs/IORW1 17 12<- 0 0 FB3_3 (b) (b) -(unused) 0 0 /\5 0 FB3_4 (b) (b) -fsb/Ready2r 9 5<- /\1 0 FB3_5 24 I/O I -(unused) 0 0 /\5 0 FB3_6 25 I/O I -(unused) 0 0 \/3 2 FB3_7 (b) (b) -(unused) 0 0 \/5 0 FB3_8 27 GCK/I/O GCK -nDTACK_FSB 23 18<- 0 0 FB3_9 28 I/O O -(unused) 0 0 /\5 0 FB3_10 (b) (b) -(unused) 0 0 /\5 0 FB3_11 29 I/O I -(unused) 0 0 0 5 FB3_12 30 I/O I -(unused) 0 0 \/5 0 FB3_13 (b) (b) -(unused) 0 0 \/5 0 FB3_14 32 I/O I -fsb/VPA 22 17<- 0 0 FB3_15 33 I/O I -(unused) 0 0 /\5 0 FB3_16 (b) (b) -nROMWE 1 0 /\2 2 FB3_17 34 I/O O -iobs/Clear1 1 0 \/4 0 FB3_18 (b) (b) - -Signals Used by Logic in Function Block - 1: $OpTx$$OpTx$FX_DC$182_INV$783 14: A_FSB<21> 27: fsb/Ready2r - 2: $OpTx$FX_DC$708 15: A_FSB<22> 28: fsb/VPA - 3: A_FSB<10> 16: A_FSB<23> 29: iobs/IORW1 - 4: A_FSB<11> 17: A_FSB<8> 30: iobs/IOReady - 5: A_FSB<12> 18: A_FSB<9> 31: iobs/Once - 6: A_FSB<13> 19: BERR_IOBS 32: iobs/PS_FSM_FFd1 - 7: A_FSB<14> 20: SW<1> 33: iobs/PS_FSM_FFd2 - 8: A_FSB<15> 21: TimeoutA 34: nADoutLE1 - 9: A_FSB<16> 22: cs/nOverlay1 35: nAS_FSB - 10: A_FSB<17> 23: fsb/ASrf 36: nBR_IOB - 11: A_FSB<18> 24: fsb/BERR0r 37: nDTACK_FSB - 12: A_FSB<19> 25: fsb/BERR1r 38: nWE_FSB - 13: A_FSB<20> 26: fsb/Ready1r - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -fsb/Ready1r .....XX.XXXXXXXX...X.XX..X...X...XX..X.. 18 -iobs/IORW1 .....XX.XXXXXXXX...X.XX.....X.XXXXX..X.. 20 -fsb/Ready2r ..XXXXXXXXXXXXXXXX..XXX...X.......X..X.. 22 -nDTACK_FSB X.XXXXXXXXXXXXXXXXXXXXXXXXX..X...XXXXX.. 32 -fsb/VPA XXXXXXXXXXXXXXXXXXXXXX.XXXXX.X...X.X.X.. 31 -nROMWE ..................................X..X.. 2 -iobs/Clear1 ...............................XXX...... 3 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 41/13 -Number of signals used by logic mapping into function block: 41 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -cnt/RefCnt<6> 1 0 0 4 FB4_1 (b) (b) -nAoutOE 3 0 0 2 FB4_2 87 I/O O -cnt/RefCnt<5> 1 0 0 4 FB4_3 (b) (b) -cnt/RefCnt<4> 1 0 0 4 FB4_4 (b) (b) -nDoutOE 2 0 0 3 FB4_5 89 I/O O -nDinOE 3 0 0 2 FB4_6 90 I/O O -cnt/RefCnt<3> 1 0 0 4 FB4_7 (b) (b) -iobs/PS_FSM_FFd1 2 0 0 3 FB4_8 91 I/O I -fsb/BERR1r 2 0 0 3 FB4_9 92 I/O I -cs/nOverlay1 2 0 0 3 FB4_10 (b) (b) -nVPA_FSB 1 0 0 4 FB4_11 93 I/O O -cnt/RefDone 2 0 0 3 FB4_12 94 I/O I -cs/nOverlay0 3 0 0 2 FB4_13 (b) (b) -cnt/TimeoutBPre 3 0 0 2 FB4_14 95 I/O I -TimeoutA 3 0 0 2 FB4_15 96 I/O I -IOL0 3 0 0 2 FB4_16 (b) (b) -iobs/IOReady 4 0 0 1 FB4_17 97 I/O I -BERR_IOBS 4 0 0 1 FB4_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<20> 15: cnt/RefCnt<4> 29: iobs/IOACTr - 2: A_FSB<21> 16: cnt/RefCnt<5> 30: iobs/IOL1 - 3: A_FSB<22> 17: cnt/RefCnt<6> 31: iobs/IOReady - 4: A_FSB<23> 18: cnt/RefCnt<7> 32: iobs/Once - 5: BERR_IOBS 19: cnt/RefDone 33: iobs/PS_FSM_FFd1 - 6: IOBERR 20: cnt/TimeoutBPre 34: iobs/PS_FSM_FFd2 - 7: IORW0 21: cs/nOverlay0 35: nADoutLE1 - 8: RefAck 22: fsb/ASrf 36: nAS_FSB - 9: SW<1> 23: fsb/BERR1r 37: nAS_IOB - 10: TimeoutA 24: fsb/VPA 38: nAoutOE - 11: cnt/RefCnt<0> 25: iobm/BGr0 39: nLDS_FSB - 12: cnt/RefCnt<1> 26: iobm/BGr1 40: nRES - 13: cnt/RefCnt<2> 27: iobm/IOS_FSM_FFd2 41: nWE_FSB - 14: cnt/RefCnt<3> 28: iobm/IOS_FSM_FFd3 - -Signal 1 2 3 4 5 FB -Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -cnt/RefCnt<6> ..........XXXXXX.................................. 6 -nAoutOE ........................XX..........XX............ 4 -cnt/RefCnt<5> ..........XXXXX................................... 5 -cnt/RefCnt<4> ..........XXXX.................................... 4 -nDoutOE ......X...................XX...................... 3 -nDinOE XXXX....X..........................X....X......... 7 -cnt/RefCnt<3> ..........XXX..................................... 3 -iobs/PS_FSM_FFd1 ............................X...XX................ 3 -fsb/BERR1r ....X................XX............X.............. 4 -cs/nOverlay1 ....................XX.............X.............. 3 -nVPA_FSB .......................X...........X.............. 2 -cnt/RefDone .......X..XXXXXXXXX............................... 10 -cs/nOverlay0 XXXX................XX.............X...X.......... 8 -cnt/TimeoutBPre ..........XXXXXXXX.X.X.............X.............. 11 -TimeoutA .........XXXXXXXX....X.............X.............. 10 -IOL0 .............................X..XXX...X........... 5 -iobs/IOReady .....X...............X......X.XX.XXX.............. 8 -BERR_IOBS ....XX...............X......X..X.XXX.............. 8 - 0----+----1----+----2----+----3----+----4----+----5 - 0 0 0 0 0 - *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 42/12 -Number of signals used by logic mapping into function block: 42 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -ram/RASEL 20 15<- 0 0 FB5_1 (b) (b) -nROMCS 3 3<- /\5 0 FB5_2 35 I/O O -cnt/RefCnt<7> 1 0 /\3 1 FB5_3 (b) (b) -ram/RAMDIS2 7 2<- 0 0 FB5_4 (b) (b) -nCAS 1 0 /\2 2 FB5_5 36 I/O O -nOE 1 0 \/3 1 FB5_6 37 I/O O -(unused) 0 0 \/5 0 FB5_7 (b) (b) -ram/RAMDIS1 18 13<- 0 0 FB5_8 39 I/O (b) -RA<4> 2 2<- /\5 0 FB5_9 40 I/O O -(unused) 0 0 /\2 3 FB5_10 (b) (b) -RA<3> 2 0 \/2 1 FB5_11 41 I/O O -RA<5> 2 2<- \/5 0 FB5_12 42 I/O O -iobs/Load1 15 10<- 0 0 FB5_13 (b) (b) -RA<2> 2 2<- /\5 0 FB5_14 43 I/O O -RA<6> 2 0 /\2 1 FB5_15 46 I/O O -ram/Once 5 0 0 0 FB5_16 (b) (b) -(unused) 0 0 \/5 0 FB5_17 49 I/O (b) -(unused) 0 0 \/5 0 FB5_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<12> 15: A_FSB<5> 29: fsb/ASrf - 2: A_FSB<13> 16: A_FSB<6> 30: iobs/Once - 3: A_FSB<14> 17: A_FSB<7> 31: iobs/PS_FSM_FFd1 - 4: A_FSB<15> 18: SW<1> 32: iobs/PS_FSM_FFd2 - 5: A_FSB<16> 19: cnt/RefCnt<0> 33: nADoutLE1 - 6: A_FSB<17> 20: cnt/RefCnt<1> 34: nAS_FSB - 7: A_FSB<18> 21: cnt/RefCnt<2> 35: nWE_FSB - 8: A_FSB<19> 22: cnt/RefCnt<3> 36: ram/BACTr - 9: A_FSB<20> 23: cnt/RefCnt<4> 37: ram/Once - 10: A_FSB<21> 24: cnt/RefCnt<5> 38: ram/RAMDIS2 - 11: A_FSB<22> 25: cnt/RefCnt<6> 39: ram/RASEL - 12: A_FSB<23> 26: cnt/RefCnt<7> 40: ram/RS_FSM_FFd1 - 13: A_FSB<3> 27: cnt/RefDone 41: ram/RS_FSM_FFd2 - 14: A_FSB<4> 28: cs/nOverlay1 42: ram/RS_FSM_FFd3 - -Signal 1 2 3 4 5 FB -Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -ram/RASEL .........XXX...........XXXXXX....X.XX..XXX........ 15 -nROMCS ........XXXX.....X.........X...................... 6 -cnt/RefCnt<7> ..................XXXXXXX......................... 7 -ram/RAMDIS2 .........XXX...........XXXXXX....X..XX.XXX........ 15 -nCAS ......................................X........... 1 -nOE .................................XX............... 2 -ram/RAMDIS1 .........XXX...........XXXXXX....X.XX..XXX........ 15 -RA<4> ..X...........X.......................X........... 3 -RA<3> .X...........X........................X........... 3 -RA<5> ...X...........X......................X........... 3 -iobs/Load1 .XX.XXXXXXXX.....X.........XXXXXXXX............... 19 -RA<2> X...........X.........................X........... 3 -RA<6> ....X...........X.....................X........... 3 -ram/Once .........XXX...............XX....X..X..XXX........ 10 - 0----+----1----+----2----+----3----+----4----+----5 - 0 0 0 0 0 - *********************************** FB6 *********************************** -Number of function block inputs used/remaining: 34/20 -Number of signals used by logic mapping into function block: 34 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -iobm/ETACK 1 0 /\4 0 FB6_1 (b) (b) -nVMA_IOB 3 0 0 2 FB6_2 74 I/O O -iobm/IOS_FSM_FFd3 3 0 0 2 FB6_3 (b) (b) -iobm/ES<3> 3 0 0 2 FB6_4 (b) (b) -iobm/ES<1> 3 0 0 2 FB6_5 76 I/O I -iobm/ES<0> 3 0 0 2 FB6_6 77 I/O I -ALE0M 3 0 0 2 FB6_7 (b) (b) -iobm/ES<4> 4 0 0 1 FB6_8 78 I/O I -nLDS_IOB 4 0 0 1 FB6_9 79 I/O O -iobm/IOS_FSM_FFd2 5 0 0 0 FB6_10 (b) (b) -nUDS_IOB 4 0 0 1 FB6_11 80 I/O O -nAS_IOB 3 0 0 2 FB6_12 81 I/O O -iobm/ES<2> 5 0 0 0 FB6_13 (b) (b) -nADoutLE1 2 0 0 3 FB6_14 82 I/O O -nADoutLE0 1 0 \/1 3 FB6_15 85 I/O O -IOACT 7 2<- 0 0 FB6_16 (b) (b) -nDinLE 1 0 /\1 3 FB6_17 86 I/O O -IOBERR 9 4<- 0 0 FB6_18 (b) (b) - -Signals Used by Logic in Function Block - 1: ALE0M 13: iobm/ES<0> 24: iobm/IOS_FSM_FFd3 - 2: ALE0S 14: iobm/ES<1> 25: iobm/RESrf - 3: CLK_IOB 15: iobm/ES<2> 26: iobm/RESrr - 4: IOACT 16: iobm/ES<3> 27: iobm/VPArf - 5: IOBERR 17: iobm/ES<4> 28: iobm/VPArr - 6: IOL0 18: iobm/ETACK 29: iobs/Clear1 - 7: IORW0 19: iobm/Er 30: iobs/Load1 - 8: IOU0 20: iobm/Er2 31: nADoutLE1 - 9: iobm/BERRrf 21: iobm/IOREQr 32: nAoutOE - 10: iobm/BERRrr 22: iobm/IOS_FSM_FFd1 33: nBERR_IOB - 11: iobm/DTACKrf 23: iobm/IOS_FSM_FFd2 34: nVMA_IOB - 12: iobm/DTACKrr - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/ETACK ............XXXXX................X...... 6 -nVMA_IOB ...X........XXXXX.........XX...X.X...... 10 -iobm/IOS_FSM_FFd3 ..X.................XXXX.......X........ 6 -iobm/ES<3> ............XXXX..XX.................... 6 -iobm/ES<1> ............XX....XX.................... 4 -iobm/ES<0> ............XXXXX.XX.................... 7 -ALE0M ....................XXXX.......X........ 5 -iobm/ES<4> ............XXXXX.XX.................... 7 -nLDS_IOB .....XX..............XXX.......X........ 6 -iobm/IOS_FSM_FFd2 ..X.....XXXX.....X...XXXXX.............. 11 -nUDS_IOB ......XX.............XXX.......X........ 6 -nAS_IOB .....................XXX.......X........ 4 -iobm/ES<2> ............XXXXX.XX.................... 7 -nADoutLE1 ............................XXX......... 3 -nADoutLE0 XX...................................... 2 -IOACT ..X.....XXXX.....X..XXXXXX.....X........ 13 -nDinLE .....................XX................. 2 -IOBERR ..X.X...XXXX.....X...XXXXX......X....... 13 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 36/18 -Number of signals used by logic mapping into function block: 36 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -ram/RAMReady 16 11<- 0 0 FB7_1 (b) (b) -RA<1> 2 2<- /\5 0 FB7_2 50 I/O O -TimeoutB 3 0 /\2 0 FB7_3 (b) (b) -fsb/Ready0r 3 0 0 2 FB7_4 (b) (b) -RA<7> 2 0 0 3 FB7_5 52 I/O O -RA<0> 2 0 0 3 FB7_6 53 I/O O -ram/RS_FSM_FFd1 5 0 0 0 FB7_7 (b) (b) -RA<8> 7 2<- 0 0 FB7_8 54 I/O O -RA<10> 1 0 /\2 2 FB7_9 55 I/O O -$OpTx$$OpTx$FX_DC$182_INV$783 - 6 1<- 0 0 FB7_10 (b) (b) -RA<9> 2 0 /\1 2 FB7_11 56 I/O O -CLK25EN 1 0 \/4 0 FB7_12 58 I/O O -ram/RS_FSM_FFd3 11 6<- 0 0 FB7_13 (b) (b) -CLK20EN 1 0 /\2 2 FB7_14 59 I/O O -(unused) 0 0 \/4 1 FB7_15 60 I/O I -(unused) 0 0 \/5 0 FB7_16 (b) (b) -ram/RS_FSM_FFd2 13 9<- \/1 0 FB7_17 61 I/O I -(unused) 0 0 \/5 0 FB7_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<10> 13: A_FSB<9> 25: cnt/TimeoutBPre - 2: A_FSB<11> 14: SW<0> 26: cs/nOverlay1 - 3: A_FSB<17> 15: TimeoutB 27: fsb/ASrf - 4: A_FSB<18> 16: cnt/RefCnt<0> 28: fsb/Ready0r - 5: A_FSB<19> 17: cnt/RefCnt<1> 29: nAS_FSB - 6: A_FSB<1> 18: cnt/RefCnt<2> 30: ram/BACTr - 7: A_FSB<20> 19: cnt/RefCnt<3> 31: ram/Once - 8: A_FSB<21> 20: cnt/RefCnt<4> 32: ram/RAMReady - 9: A_FSB<22> 21: cnt/RefCnt<5> 33: ram/RASEL - 10: A_FSB<23> 22: cnt/RefCnt<6> 34: ram/RS_FSM_FFd1 - 11: A_FSB<2> 23: cnt/RefCnt<7> 35: ram/RS_FSM_FFd2 - 12: A_FSB<8> 24: cnt/RefDone 36: ram/RS_FSM_FFd3 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RAMReady .......XXX..........XXXX.XX.XXX..XXX.... 15 -RA<1> .X........X.....................X....... 3 -TimeoutB ..............XXXXXXXXX.X.X.X........... 12 -fsb/Ready0r .......XXX...............XXXX..X........ 8 -RA<7> ..X........X....................X....... 3 -RA<0> X....X..........................X....... 3 -ram/RS_FSM_FFd1 .......XXX...............XX.X.X..XXX.... 10 -RA<8> ...X...XXX..X............X......X....... 7 -RA<10> .......X................................ 1 -$OpTx$$OpTx$FX_DC$182_INV$783 - ......XXXX....X..........X.X...X........ 8 -RA<9> ....X.X.........................X....... 3 -CLK25EN .............X.......................... 1 -ram/RS_FSM_FFd3 .......XXX..........XXXX.XX.X.X..XXX.... 14 -CLK20EN .............X.......................... 1 -ram/RS_FSM_FFd2 .......XXX..........XXXX.XX.XX...XXX.... 14 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 37/17 -Number of signals used by logic mapping into function block: 37 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB8_1 (b) (b) -RA<11> 1 0 /\4 0 FB8_2 63 I/O O -(unused) 0 0 \/5 0 FB8_3 (b) (b) -iobs/PS_FSM_FFd2 15 10<- 0 0 FB8_4 (b) (b) -nRAS 3 3<- /\5 0 FB8_5 64 I/O O -nRAMLWE 1 0 /\3 1 FB8_6 65 I/O O -RESDone 1 0 \/1 3 FB8_7 (b) (b) -nRAMUWE 1 1<- \/5 0 FB8_8 66 I/O O -IOREQ 15 10<- 0 0 FB8_9 67 I/O (b) -(unused) 0 0 /\5 0 FB8_10 (b) (b) -(unused) 0 0 \/2 3 FB8_11 68 I/O (b) -nBERR_FSB 3 2<- \/4 0 FB8_12 70 I/O O -(unused) 0 0 \/5 0 FB8_13 (b) (b) -iobs/Once 18 13<- 0 0 FB8_14 71 I/O (b) -nBR_IOB 1 0 /\4 0 FB8_15 72 I/O O -fsb/BERR0r 3 0 0 2 FB8_16 (b) (b) -(unused) 0 0 \/5 0 FB8_17 73 I/O I -IORW0 19 14<- 0 0 FB8_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<13> 14: IPL2r1 26: iobs/IOACTr - 2: A_FSB<14> 15: RESDone 27: iobs/IORW1 - 3: A_FSB<16> 16: RESr0 28: iobs/Once - 4: A_FSB<17> 17: RESr1 29: iobs/PS_FSM_FFd1 - 5: A_FSB<18> 18: RESr2 30: iobs/PS_FSM_FFd2 - 6: A_FSB<19> 19: RefAck 31: nADoutLE1 - 7: A_FSB<20> 20: SW<1> 32: nAS_FSB - 8: A_FSB<21> 21: TimeoutB 33: nLDS_FSB - 9: A_FSB<22> 22: cs/nOverlay1 34: nUDS_FSB - 10: A_FSB<23> 23: fsb/ASrf 35: nWE_FSB - 11: BERR_IOBS 24: fsb/BERR0r 36: ram/RAMDIS1 - 12: IORW0 25: fsb/BERR1r 37: ram/RAMDIS2 - 13: IPL2r0 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -RA<11> .....X.................................. 1 -iobs/PS_FSM_FFd2 XXXXXXXXXX.........X.XX..X.XXXXX..X..... 20 -nRAS .......XXX........X..X.........X...XX... 8 -nRAMLWE ...............................XX.XXX... 5 -RESDone ...............XXX...................... 3 -nRAMUWE ...............................X.XXXX... 5 -IOREQ XXXXXXXXXX.........X.XX..X.XXXXX..X..... 20 -nBERR_FSB ......XXXXX.........X..XX......X........ 9 -iobs/Once XXXXXXXXXX.........X.XX....XXXXX..X..... 19 -nBR_IOB ............XXXXXX...................... 6 -fsb/BERR0r ......XXXX..........X.XX.......X........ 8 -IORW0 XXXXXXXXXX.X.......X.XX...XXXXXX..X..... 21 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - ******************************* Equations ******************************** - -********** Mapped Logic ********** - - -$OpTx$$OpTx$FX_DC$182_INV$783 <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady) - OR (A_FSB(23) AND TimeoutB) - OR (NOT A_FSB(22) AND TimeoutB) - OR (A_FSB(21) AND TimeoutB) - OR (NOT A_FSB(20) AND TimeoutB) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT fsb/Ready0r AND NOT ram/RAMReady)); - - -$OpTx$FX_DC$708 <= (nAS_FSB AND NOT fsb/ASrf); - -FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); -ALE0M_D <= ((iobm/IOS_FSM_FFd2) - OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1) - OR (NOT iobm/IOS_FSM_FFd1 AND iobm/IOREQr AND NOT nAoutOE)); - -FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0'); -ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); - -FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); -BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) - OR (iobs/Once AND BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) - OR (iobs/Once AND NOT BERR_IOBS AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1) - OR (iobs/Once AND NOT BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1)); - - -CLK20EN <= SW(0); - - -CLK25EN <= NOT SW(0); - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); -IOACT_D <= ((CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/DTACKrf AND iobm/DTACKrr) - OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/RESrf AND iobm/RESrr) - OR (iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND - NOT iobm/IOREQr) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND nAoutOE) - OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/ETACK) - OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/BERRrf AND iobm/BERRrr)); - -FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); -IOBERR_T <= ((CLK_IOB AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/RESrf AND - iobm/RESrr) - OR (CLK_IOB AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/DTACKrf AND - iobm/DTACKrr) - OR (CLK_IOB AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/BERRrf AND - iobm/BERRrr) - OR (CLK_IOB AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/RESrf AND - iobm/RESrr) - OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND - NOT iobm/IOS_FSM_FFd2 AND IOBERR) - OR (CLK_IOB AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/ETACK) - OR (CLK_IOB AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/ETACK) - OR (CLK_IOB AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/DTACKrf AND - iobm/DTACKrr) - OR (CLK_IOB AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/BERRrf AND - iobm/BERRrr)); - -FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); -IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) - OR (iobs/IOL1 AND NOT nADoutLE1)); -IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); - -FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); -IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(20) AND SW(1) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1) - OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) - OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1)); - -FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); -IORW0_T <= ((A_FSB_19_IBUF$BUF0.EXP) - OR (IORW0 AND iobs/IORW1 AND NOT nADoutLE1) - OR (NOT IORW0 AND NOT iobs/IORW1 AND NOT nADoutLE1) - OR (nAS_FSB AND NOT fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT IORW0 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(20) AND SW(1) AND nADoutLE1) - OR (NOT IORW0 AND NOT nWE_FSB AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND - nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20) AND nADoutLE1) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND - nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1 AND nADoutLE1)); - -FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE); -IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) - OR (iobs/IOU1 AND NOT nADoutLE1)); -IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); - -FDCPE_IPL2r0: FDCPE port map (IPL2r0,NOT nIPL2,CLK_FSB,'0','0'); - -FDCPE_IPL2r1: FDCPE port map (IPL2r1,IPL2r0,CLK_FSB,'0','0'); - - -RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(1))); - - -RA(1) <= ((A_FSB(11) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(2))); - - -RA(2) <= ((A_FSB(12) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(3))); - - -RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(4))); - - -RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(5))); - - -RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(6))); - - -RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(7))); - - -RA(7) <= ((A_FSB(8) AND ram/RASEL) - OR (A_FSB(17) AND NOT ram/RASEL)); - - -RA(8) <= ((A_FSB(9) AND NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - ram/RASEL) - OR (A_FSB(9) AND NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND ram/RASEL) - OR (A_FSB(23) AND A_FSB(18)) - OR (A_FSB(18) AND NOT ram/RASEL) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(18)) - OR (A_FSB(22) AND A_FSB(18) AND cs/nOverlay1) - OR (NOT A_FSB(22) AND A_FSB(18) AND NOT cs/nOverlay1)); - - -RA(9) <= ((A_FSB(20) AND ram/RASEL) - OR (A_FSB(19) AND NOT ram/RASEL)); - - -RA(10) <= A_FSB(21); - - -RA(11) <= A_FSB(19); - -FDCPE_RESDone: FDCPE port map (RESDone,'1',CLK_FSB,'0','0',RESDone_CE); -RESDone_CE <= (NOT RESr0 AND NOT RESr1 AND RESr2); - -FDCPE_RESr0: FDCPE port map (RESr0,NOT nRES,CLK_FSB,'0','0'); - -FDCPE_RESr1: FDCPE port map (RESr1,RESr0,CLK_FSB,'0','0'); - -FDCPE_RESr2: FDCPE port map (RESr2,RESr1,CLK_FSB,'0','0'); - -FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0'); -RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); - -FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0'); -TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND - NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND - NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4)) - OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND - NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND - NOT cnt/RefCnt(4) AND fsb/ASrf)); - -FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0'); -TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND - NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND - NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) - OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND - NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND - NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); - -FTCPE_cnt/RefCnt0: FTCPE port map (cnt/RefCnt(0),'1',CLK_FSB,'0','0'); - -FTCPE_cnt/RefCnt1: FTCPE port map (cnt/RefCnt(1),cnt/RefCnt(0),CLK_FSB,'0','0'); - -FTCPE_cnt/RefCnt2: FTCPE port map (cnt/RefCnt(2),cnt/RefCnt_T(2),CLK_FSB,'0','0'); -cnt/RefCnt_T(2) <= (cnt/RefCnt(0) AND cnt/RefCnt(1)); - -FTCPE_cnt/RefCnt3: FTCPE port map (cnt/RefCnt(3),cnt/RefCnt_T(3),CLK_FSB,'0','0'); -cnt/RefCnt_T(3) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2)); - -FTCPE_cnt/RefCnt4: FTCPE port map (cnt/RefCnt(4),cnt/RefCnt_T(4),CLK_FSB,'0','0'); -cnt/RefCnt_T(4) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND - cnt/RefCnt(3)); - -FTCPE_cnt/RefCnt5: FTCPE port map (cnt/RefCnt(5),cnt/RefCnt_T(5),CLK_FSB,'0','0'); -cnt/RefCnt_T(5) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND - cnt/RefCnt(3) AND cnt/RefCnt(4)); - -FTCPE_cnt/RefCnt6: FTCPE port map (cnt/RefCnt(6),cnt/RefCnt_T(6),CLK_FSB,'0','0'); -cnt/RefCnt_T(6) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(1) AND - cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); - -FTCPE_cnt/RefCnt7: FTCPE port map (cnt/RefCnt(7),cnt/RefCnt_T(7),CLK_FSB,'0','0'); -cnt/RefCnt_T(7) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND - cnt/RefCnt(1) AND cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); - -FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0'); -cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck) - OR (NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND - NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND - NOT cnt/RefCnt(7))); - -FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0'); -cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND - NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND - NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) - OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND - NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND - NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); - -FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0'); -cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay0 AND NOT nAS_FSB) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay0 AND fsb/ASrf)); - -FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE); -cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf); - -FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0'); - -FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0'); -fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND - NOT fsb/BERR0r)); - -FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0'); -fsb/BERR1r_D <= ((NOT BERR_IOBS AND NOT fsb/BERR1r) - OR (nAS_FSB AND NOT fsb/ASrf)); - -FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0'); -fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT fsb/Ready0r AND NOT ram/RAMReady) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); - -FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); -fsb/Ready1r_D <= ((A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady) - OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady) - OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - NOT nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - NOT nADoutLE1) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND - NOT iobs/IOReady) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND - NOT iobs/IOReady AND NOT SW(1))); - -FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); -fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); - -FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); -fsb/VPA_D <= ((EXP21_.EXP) - OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND - NOT iobs/IOReady AND NOT $OpTx$FX_DC$708) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND - fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$708) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND fsb/VPA AND - NOT iobs/IOReady AND NOT SW(1) AND NOT $OpTx$FX_DC$708) - OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$708) - OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$708) - OR (nROMWE_OBUF.EXP) - OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND - NOT nADoutLE1 AND NOT $OpTx$FX_DC$708) - OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND - NOT nADoutLE1 AND NOT $OpTx$FX_DC$708) - OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND - fsb/VPA AND NOT $OpTx$FX_DC$708) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND - fsb/VPA AND NOT $OpTx$FX_DC$708) - OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND - fsb/VPA AND NOT $OpTx$FX_DC$708) - OR (BERR_IOBS AND fsb/VPA AND NOT $OpTx$FX_DC$708) - OR (fsb/BERR0r AND fsb/VPA AND NOT $OpTx$FX_DC$708) - OR (fsb/BERR1r AND fsb/VPA AND NOT $OpTx$FX_DC$708) - OR (fsb/VPA AND NOT nBR_IOB AND NOT $OpTx$FX_DC$708) - OR (fsb/VPA AND NOT $OpTx$FX_DC$708 AND - $OpTx$$OpTx$FX_DC$182_INV$783)); - -FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); - -FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0'); - -FDCPE_iobm/BGr0: FDCPE port map (iobm/BGr0,NOT nBG_IOB,CLK2X_IOB,'0','0'); - -FDCPE_iobm/BGr1: FDCPE port map (iobm/BGr1,iobm/BGr0,CLK2X_IOB,'0','0'); - -FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0'); - -FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0'); - -FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),CLK2X_IOB,'0','0'); -iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) - OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) - OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); - -FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),CLK2X_IOB,'0','0'); -iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) - OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) - OR (NOT iobm/Er AND iobm/Er2)); - -FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),CLK2X_IOB,'0','0'); -iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) - OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) - OR (NOT iobm/Er AND iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) - OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); - -FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),CLK2X_IOB,'0','0'); -iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); - -FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),CLK2X_IOB,'0','0'); -iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND - iobm/ES(3) AND iobm/Er) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND - iobm/ES(3) AND NOT iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND iobm/ES(4))); - -FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,'0','0'); -iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND iobm/ES(4)); - -FDCPE_iobm/Er: FDCPE port map (iobm/Er,E_IOB,NOT CLK_IOB,'0','0'); - -FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1) - OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2)); - -FTCPE_iobm/IOS_FSM_FFd2: FTCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd2_T <= ((iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND - NOT iobm/IOS_FSM_FFd2) - OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/IOS_FSM_FFd2 AND iobm/ETACK) - OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/IOS_FSM_FFd2 AND iobm/DTACKrf AND iobm/DTACKrr) - OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/IOS_FSM_FFd2 AND iobm/BERRrf AND iobm/BERRrr) - OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/IOS_FSM_FFd2 AND iobm/RESrf AND iobm/RESrr)); - -FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd3_D <= ((iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) - OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND - NOT iobm/IOS_FSM_FFd2) - OR (NOT CLK_IOB AND NOT iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2 AND - iobm/IOREQr AND NOT nAoutOE)); - -FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0'); - -FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES,CLK2X_IOB,'0','0'); - -FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0'); - -FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0'); - -FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0'); -iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); - -FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0'); - -FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1); - -FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); -iobs/IORW1_T <= ((iobs/Once) - OR (NOT nADoutLE1) - OR (fsb/Ready1r.EXP) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20)) - OR (fsb/Ready2r.EXP) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) - OR (NOT A_FSB(23) AND NOT A_FSB(20) AND SW(1)) - OR (nWE_FSB AND iobs/IORW1) - OR (NOT nWE_FSB AND NOT iobs/IORW1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); - -FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); -iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) - OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/IOACTr AND IOBERR AND nADoutLE1) - OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) - OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)); - -FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1); - -FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0'); -iobs/Load1_D <= ((iobs/Once) - OR (NOT nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) - OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) - OR (NOT A_FSB(23) AND NOT A_FSB(20) AND SW(1)) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21)) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1)); - -FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); -iobs/Once_D <= ((nBERR_FSB_OBUF.EXP) - OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) - OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Once AND - NOT cs/nOverlay1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20) AND NOT iobs/Once) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) - OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) - OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) - OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1)); - -FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0'); -iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) - OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); - -FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); -iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(20) AND SW(1) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND - iobs/IOACTr) - OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND - NOT iobs/IOACTr) - OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - nADoutLE1) - OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - NOT fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); - - -nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); - -FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0'); -nADoutLE1_D <= ((iobs/Load1) - OR (NOT iobs/Clear1 AND NOT nADoutLE1)); - -FDCPE_nAS_IOB: FDCPE port map (nAS_IOB_I,nAS_IOB,NOT CLK2X_IOB,'0','0'); -nAS_IOB <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2) - OR (iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2)); -nAS_IOB <= nAS_IOB_I when nAS_IOB_OE = '1' else 'Z'; -nAS_IOB_OE <= NOT nAoutOE; - -FDCPE_nAoutOE: FDCPE port map (nAoutOE,nAoutOE_D,CLK2X_IOB,'0','0'); -nAoutOE_D <= ((NOT iobm/BGr0 AND NOT iobm/BGr1) - OR (NOT iobm/BGr1 AND nAoutOE) - OR (NOT nAS_IOB AND NOT iobm/BGr0 AND NOT nAoutOE)); - - -nBERR_FSB <= ((nAS_FSB) - OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND - NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); - -FDCPE_nBR_IOB: FDCPE port map (nBR_IOB,'0',CLK_FSB,'0','0',nBR_IOB_CE); -nBR_IOB_CE <= (RESr0 AND RESr1 AND IPL2r0 AND RESr2 AND NOT RESDone AND - IPL2r1); - -FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); - -FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); -nDTACK_FSB_D <= ((EXP17_.EXP) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - nDTACK_FSB) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND - NOT iobs/IOReady AND nDTACK_FSB) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND - NOT iobs/IOReady AND NOT SW(1) AND nDTACK_FSB) - OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) - OR (EXP20_.EXP) - OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) - OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - nDTACK_FSB AND NOT nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - nDTACK_FSB AND NOT nADoutLE1) - OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND - nDTACK_FSB) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND - nDTACK_FSB) - OR (BERR_IOBS AND nDTACK_FSB) - OR (fsb/BERR0r AND nDTACK_FSB) - OR (fsb/BERR1r AND nDTACK_FSB) - OR (nDTACK_FSB AND NOT nBR_IOB) - OR (nDTACK_FSB AND $OpTx$$OpTx$FX_DC$182_INV$783)); - -FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0'); -nDinLE_D <= (iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2); - - -nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND - NOT nAS_FSB) - OR (A_FSB(22) AND NOT A_FSB(21) AND nWE_FSB AND NOT nAS_FSB AND - NOT SW(1))); - -FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0'); -nDoutOE_D <= ((NOT IORW0) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2)); - -FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT CLK2X_IOB,'0','0'); -nLDS_IOB <= ((IOL0 AND NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) - OR (IOL0 AND iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) - OR (NOT IORW0 AND IOL0 AND iobm/IOS_FSM_FFd3 AND - NOT iobm/IOS_FSM_FFd1)); -nLDS_IOB <= nLDS_IOB_I when nLDS_IOB_OE = '1' else 'Z'; -nLDS_IOB_OE <= NOT nAoutOE; - - -nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); - - -nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND - NOT ram/RAMDIS1)); - - -nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND - NOT ram/RAMDIS1)); - - -nRAS <= NOT (((RefAck) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); - - -nROMCS <= NOT (((A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT SW(1)) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - SW(1)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay1))); - - -nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); - -FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB_I,nUDS_IOB,NOT CLK2X_IOB,'0','0'); -nUDS_IOB <= ((IOU0 AND NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) - OR (IOU0 AND iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) - OR (NOT IORW0 AND IOU0 AND iobm/IOS_FSM_FFd3 AND - NOT iobm/IOS_FSM_FFd1)); -nUDS_IOB <= nUDS_IOB_I when nUDS_IOB_OE = '1' else 'Z'; -nUDS_IOB_OE <= NOT nAoutOE; - -FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,CLK2X_IOB,'0','0'); -nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4)) - OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr)); -nVMA_IOB <= nVMA_IOB_I when nVMA_IOB_OE = '1' else 'Z'; -nVMA_IOB_OE <= NOT nAoutOE; - - -nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB)); - -FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0'); -ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); - -FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); -ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND - NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND - NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); - -FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); -ram/RAMDIS1_D <= ((nOE_OBUF.EXP) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) - OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) - OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) - OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf) - OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) - OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) - OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND - NOT ram/BACTr AND fsb/ASrf)); - -FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0'); -ram/RAMDIS2_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND ram/Once AND - NOT cnt/RefDone AND NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND ram/Once AND - NOT cnt/RefDone AND NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND - cnt/RefCnt(7) AND fsb/ASrf) - OR (ram/RAMDIS2 AND nAS_FSB AND NOT fsb/ASrf) - OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND - ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) - OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND - ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/Once AND NOT cnt/RefDone AND - cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND - cnt/RefCnt(7)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/Once AND NOT cnt/RefDone AND - cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND - fsb/ASrf)); - -FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0'); -ram/RAMReady_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) - OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1) - OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND - NOT ram/BACTr AND fsb/ASrf) - OR (ram/RS_FSM_FFd2.EXP) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND - NOT ram/RS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND - NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (NOT A_FSB(21) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (NOT A_FSB(21) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND - NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); - -FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); -ram/RASEL_D <= ((A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND - NOT fsb/ASrf) - OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND - ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND - NOT fsb/ASrf) - OR (EXP26_.EXP) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))); - -FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0'); -ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND - NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND - NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); - -FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0'); -ram/RS_FSM_FFd2_T <= ((EXP28_.EXP) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT cnt/RefCnt(5) AND ram/BACTr) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT cnt/RefCnt(5) AND NOT fsb/ASrf) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT cnt/RefCnt(6) AND NOT fsb/ASrf) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT cnt/RefCnt(7) AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) - OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT cnt/RefCnt(6) AND ram/BACTr) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND - NOT cnt/RefCnt(7))); - -FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0'); -ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) - OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND - ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND - cnt/RefCnt(7)) - OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND - ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND - fsb/ASrf) - OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (ram/Once AND cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(5)) - OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6)) - OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7))); - -Register Legend: - FDCPE (Q,D,C,CLR,PRE,CE); - FTCPE (Q,D,C,CLR,PRE,CE); - LDCP (Q,D,G,CLR,PRE); - - ****************************** Device Pin Out ***************************** - -Device : XC95144XL-10-TQ100 - - - -------------------------------------------------- - /100 98 96 94 92 90 88 86 84 82 80 78 76 \ - | 99 97 95 93 91 89 87 85 83 81 79 77 | - | 1 75 | - | 2 74 | - | 3 73 | - | 4 72 | - | 5 71 | - | 6 70 | - | 7 69 | - | 8 68 | - | 9 67 | - | 10 66 | - | 11 65 | - | 12 64 | - | 13 XC95144XL-10-TQ100 63 | - | 14 62 | - | 15 61 | - | 16 60 | - | 17 59 | - | 18 58 | - | 19 57 | - | 20 56 | - | 21 55 | - | 22 54 | - | 23 53 | - | 24 52 | - | 25 51 | - | 27 29 31 33 35 37 39 41 43 45 47 49 | - \26 28 30 32 34 36 38 40 42 44 46 48 50 / - -------------------------------------------------- - - -Pin Signal Pin Signal -No. Name No. Name - 1 KPR 51 VCC - 2 A_FSB<5> 52 RA<7> - 3 A_FSB<6> 53 RA<0> - 4 A_FSB<7> 54 RA<8> - 5 VCC 55 RA<10> - 6 A_FSB<8> 56 RA<9> - 7 A_FSB<9> 57 VCC - 8 A_FSB<10> 58 CLK25EN - 9 A_FSB<11> 59 CLK20EN - 10 A_FSB<12> 60 SW<1> - 11 A_FSB<13> 61 SW<0> - 12 A_FSB<14> 62 GND - 13 A_FSB<15> 63 RA<11> - 14 A_FSB<16> 64 nRAS - 15 A_FSB<17> 65 nRAMLWE - 16 A_FSB<18> 66 nRAMUWE - 17 A_FSB<19> 67 KPR - 18 A_FSB<20> 68 KPR - 19 A_FSB<21> 69 GND - 20 A_FSB<22> 70 nBERR_FSB - 21 GND 71 KPR - 22 CLK2X_IOB 72 nBR_IOB - 23 CLK_IOB 73 nBG_IOB - 24 A_FSB<23> 74 nVMA_IOB - 25 E_IOB 75 GND - 26 VCC 76 nBERR_IOB - 27 CLK_FSB 77 nVPA_IOB - 28 nDTACK_FSB 78 nDTACK_IOB - 29 nWE_FSB 79 nLDS_IOB - 30 nLDS_FSB 80 nUDS_IOB - 31 GND 81 nAS_IOB - 32 nAS_FSB 82 nADoutLE1 - 33 nUDS_FSB 83 TDO - 34 nROMWE 84 GND - 35 nROMCS 85 nADoutLE0 - 36 nCAS 86 nDinLE - 37 nOE 87 nAoutOE - 38 VCC 88 VCC - 39 KPR 89 nDoutOE - 40 RA<4> 90 nDinOE - 41 RA<3> 91 nRES - 42 RA<5> 92 nIPL2 - 43 RA<2> 93 nVPA_FSB - 44 GND 94 A_FSB<1> - 45 TDI 95 A_FSB<2> - 46 RA<6> 96 A_FSB<3> - 47 TMS 97 A_FSB<4> - 48 TCK 98 VCC - 49 KPR 99 KPR - 50 RA<1> 100 GND - - -Legend : NC = Not Connected, unbonded pin - PGND = Unused I/O configured as additional Ground pin - TIE = Unused I/O floating -- must tie to VCC, GND or other signal - KPR = Unused I/O with weak keeper (leave unconnected) - VCC = Dedicated Power Pin - GND = Dedicated Ground Pin - TDI = Test Data In, JTAG pin - TDO = Test Data Out, JTAG pin - TCK = Test Clock, JTAG pin - TMS = Test Mode Select, JTAG pin - PROHIBITED = User reserved pin - **************************** Compiler Options **************************** - -Following is a list of all global compiler options used by the fitter run. - -Device(s) Specified : xc95144xl-10-TQ100 -Optimization Method : SPEED -Multi-Level Logic Optimization : ON -Ignore Timing Specifications : OFF -Default Register Power Up Value : LOW -Keep User Location Constraints : ON -What-You-See-Is-What-You-Get : OFF -Exhaustive Fitting : OFF -Keep Unused Inputs : OFF -Slew Rate : FAST -Power Mode : STD -Ground on Unused IOs : OFF -Set I/O Pin Termination : KEEPER -Global Clock Optimization : ON -Global Set/Reset Optimization : ON -Global Ouput Enable Optimization : ON -Input Limit : 54 -Pterm Limit : 25 diff --git a/cpld/XC95144XL/MXSE.stx b/cpld/XC95144XL/MXSE.stx deleted file mode 100644 index e69de29..0000000 diff --git a/cpld/XC95144XL/MXSE.svf b/cpld/XC95144XL/MXSE.svf deleted file mode 100644 index 9966c9a..0000000 --- a/cpld/XC95144XL/MXSE.svf +++ /dev/null @@ -1,5207 +0,0 @@ -// Created using Xilinx Cse Software [ISE - 14.7] -// Date: Sun Mar 27 10:20:02 2022 - -TRST OFF; -ENDIR IDLE; -ENDDR IDLE; -STATE RESET; -STATE IDLE; -FREQUENCY 1E6 HZ; -//Operation: Erase -p 0 -TIR 0 ; -HIR 0 ; -TDR 0 ; -HDR 0 ; -TIR 0 ; -HIR 0 ; -HDR 0 ; -TDR 0 ; -//Loading device with 'idcode' instruction. -SIR 8 TDI (fe) SMASK (ff) ; -SDR 32 TDI (00000000) SMASK (ffffffff) TDO (f9608093) MASK (0fffffff) ; -//Check for Read/Write Protect. -SIR 8 TDI (ff) TDO (01) MASK (e3) ; -//Boundary Scan Chain Contents -//Position 1: xc95144xl -TIR 0 ; -HIR 0 ; -TDR 0 ; -HDR 0 ; -TIR 0 ; -HIR 0 ; -TDR 0 ; -HDR 0 ; -// Loading devices with 'ispen' or 'bypass' instruction. -SIR 8 TDI (e8) ; -SDR 6 TDI (05) SMASK (3f) ; -// Loading devices with 'fbulk' instruction. -SIR 8 TDI (ed) ; -SDR 18 TDI (03ffff) SMASK (03ffff) ; -RUNTEST 200000 TCK; -SDR 18 TDI (03fffd) TDO (000001) MASK (000003) ; -// Loading devices with 'conld' or 'bypass' instruction. -SIR 8 TDI (f0) ; -RUNTEST 100 TCK; -// Loading devices with 'conld' or 'bypass' instruction. -SIR 8 TDI (f0) ; -RUNTEST 100 TCK; -TIR 0 ; -HIR 0 ; -TDR 0 ; -HDR 0 ; -SIR 8 TDI (ff) ; -SDR 1 TDI (00) SMASK (01) ; -//Operation: Program -p 0 -e -v -TIR 0 ; -HIR 0 ; -TDR 0 ; -HDR 0 ; -TIR 0 ; -HIR 0 ; -HDR 0 ; -TDR 0 ; -//Loading device with 'idcode' instruction. -SIR 8 TDI (fe) ; -SDR 32 TDI (00000000) SMASK (ffffffff) TDO (f9608093) MASK (0fffffff) ; -//Check for Read/Write Protect. -SIR 8 TDI (ff) TDO (01) MASK (e3) ; -//Boundary Scan Chain Contents -//Position 1: xc95144xl -TIR 0 ; -HIR 0 ; -TDR 0 ; -HDR 0 ; -TIR 0 ; -HIR 0 ; -TDR 0 ; -HDR 0 ; -// Loading devices with 'ispen' or 'bypass' instruction. -SIR 8 TDI (e8) ; -SDR 6 TDI (05) SMASK (3f) ; -// Loading devices with 'fbulk' instruction. -SIR 8 TDI (ed) ; -SDR 18 TDI (03ffff) SMASK (03ffff) ; -RUNTEST 200000 TCK; -SDR 18 TDI (03fffd) TDO (000001) MASK (000003) ; -// Loading devices with 'conld' or 'bypass' instruction. -SIR 8 TDI (f0) ; -RUNTEST 100 TCK; -// Loading devices with 'ispen' or 'bypass' instruction. -SIR 8 TDI (e8) ; -SDR 6 TDI (05) SMASK (3f) ; -// Loading device with 'fpgm' instruction. -SIR 8 TDI (ea) ; -SDR 82 TDI (0000000000002000a00081) SMASK (03ffffffffffffffffffff) ; -SDR 82 TDI (0000040008000000a40001) ; -SDR 82 TDI (0000082008000000240001) ; -SDR 82 TDI (00000c0000000000040001) ; -SDR 82 TDI (0000104020000000000001) ; -SDR 82 TDI (0000200000002800400001) ; -SDR 82 TDI (0000241000000040500001) ; -SDR 82 TDI (0000280820002040500001) ; -SDR 82 TDI (00002c0000000000500001) ; -SDR 82 TDI (0000308000000000500001) ; -SDR 82 TDI (0000400000004000000001) ; -SDR 82 TDI (0000440400000060800001) ; -SDR 82 TDI (000048a000004040800001) ; -SDR 82 TDI (00004c0000000000800001) ; -SDR 82 TDI (0000500000000000800003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0000800000000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0000800000000000000001) ; -SDR 82 TDI (0000840000202000000001) ; -SDR 82 TDI (0000888000000000000001) ; -SDR 82 TDI (00008c0000800000000001) ; -SDR 82 TDI (0000900000000000000001) ; -SDR 82 TDI (0000a00000000000000001) ; -SDR 82 TDI (0000a42000002000800001) ; -SDR 82 TDI (0000a80000000000000001) ; -SDR 82 TDI (0000ac0000002000200001) ; -SDR 82 TDI (0000b00000000000000001) ; -SDR 82 TDI (0000c00000000000000001) ; -SDR 82 TDI (0000c40000804000000001) ; -SDR 82 TDI (0000c80000800000000001) ; -SDR 82 TDI (0000cc0000004000000001) ; -SDR 82 TDI (0000d00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0001000000000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0001000000000000000001) ; -SDR 82 TDI (0001040000000000000101) ; -SDR 82 TDI (0001080000000000000101) ; -SDR 82 TDI (00010c2000000000000101) ; -SDR 82 TDI (0001100000000000000001) ; -SDR 82 TDI (0001200000000000000001) ; -SDR 82 TDI (0001240000000000000001) ; -SDR 82 TDI (0001280000000004000001) ; -SDR 82 TDI (00012c0800004000000001) ; -SDR 82 TDI (0001300000000000000001) ; -SDR 82 TDI (0001400000000000000001) ; -SDR 82 TDI (0001440000000000000001) ; -SDR 82 TDI (0001480000000000000001) ; -SDR 82 TDI (00014ca000000000000001) ; -SDR 82 TDI (0001500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0001800000002000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0001800000002000000001) ; -SDR 82 TDI (0001840000040000000001) ; -SDR 82 TDI (0001880000000000000001) ; -SDR 82 TDI (00018c0000000000000001) ; -SDR 82 TDI (0001900000240000000001) ; -SDR 82 TDI (0001a00000000004000001) ; -SDR 82 TDI (0001a40000800000000001) ; -SDR 82 TDI (0001a80000000000000001) ; -SDR 82 TDI (0001ac0000000000000001) ; -SDR 82 TDI (0001b00000000000000001) ; -SDR 82 TDI (0001c00000000000000001) ; -SDR 82 TDI (0001c40000000000000001) ; -SDR 82 TDI (0001c80000000000000001) ; -SDR 82 TDI (0001cc0000000000000001) ; -SDR 82 TDI (0001d00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0002000080000040000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0002000080000040000001) ; -SDR 82 TDI (0002040080000040000001) ; -SDR 82 TDI (000208c040000000000001) ; -SDR 82 TDI (00020c0400000000000001) ; -SDR 82 TDI (0002100000000000000001) ; -SDR 82 TDI (0002201000000000000001) ; -SDR 82 TDI (0002242000000000000081) ; -SDR 82 TDI (0002280000000000000001) ; -SDR 82 TDI (00022c0000000000000001) ; -SDR 82 TDI (0002300000000000000001) ; -SDR 82 TDI (0002400400000000000001) ; -SDR 82 TDI (0002440004000008000001) ; -SDR 82 TDI (0002480004000008000001) ; -SDR 82 TDI (00024c0000000000040001) ; -SDR 82 TDI (0002500000000000040003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0002802000000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0002802000000000000001) ; -SDR 82 TDI (0002842000040800000001) ; -SDR 82 TDI (0002880000000800000001) ; -SDR 82 TDI (00028c2000000800000001) ; -SDR 82 TDI (0002906400240c00000001) ; -SDR 82 TDI (0002a08800000000000081) ; -SDR 82 TDI (0002a49840800800000001) ; -SDR 82 TDI (0002a89040008800000001) ; -SDR 82 TDI (0002ac9800008400000001) ; -SDR 82 TDI (0002b01880008400000001) ; -SDR 82 TDI (0002c04000001400000001) ; -SDR 82 TDI (0002c46400000800000001) ; -SDR 82 TDI (0002c8c400001800040001) ; -SDR 82 TDI (0002ccac40001800000001) ; -SDR 82 TDI (0002d0e400001000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0003001008048800040100) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0003001008048800040101) ; -SDR 82 TDI (0003040080000000000001) ; -SDR 82 TDI (0003080080004000000301) ; -SDR 82 TDI (00030c0080000000000101) ; -SDR 82 TDI (0003100000400000000101) ; -SDR 82 TDI (0003200000040000140101) ; -SDR 82 TDI (0003240000000080000201) ; -SDR 82 TDI (0003280000040080000001) ; -SDR 82 TDI (00032c0000100480000001) ; -SDR 82 TDI (0003300000000400000001) ; -SDR 82 TDI (0003400804000000080001) ; -SDR 82 TDI (0003440000080080000001) ; -SDR 82 TDI (0003480800080080000001) ; -SDR 82 TDI (00034c0840000080000001) ; -SDR 82 TDI (0003500020001000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0003800000080000000140) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0003800000080000000141) ; -SDR 82 TDI (0003840000001000000101) ; -SDR 82 TDI (0003880010008800000001) ; -SDR 82 TDI (00038c0004001480000301) ; -SDR 82 TDI (0003900010008800000101) ; -SDR 82 TDI (0003a00000000410000001) ; -SDR 82 TDI (0003a40000108000000101) ; -SDR 82 TDI (0003a80040100c00000101) ; -SDR 82 TDI (0003ac0000000000000001) ; -SDR 82 TDI (0003b00004001000000001) ; -SDR 82 TDI (0003c00040000000000001) ; -SDR 82 TDI (0003c40080009000000001) ; -SDR 82 TDI (0003c800c4000000000001) ; -SDR 82 TDI (0003cc0080008000000081) ; -SDR 82 TDI (0003d00080000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0004000000040000500020) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0004000000040000500021) ; -SDR 82 TDI (0004040000400000500001) ; -SDR 82 TDI (0004084000000040d00001) ; -SDR 82 TDI (00040c4400000000e00001) ; -SDR 82 TDI (0004100800000000e00001) ; -SDR 82 TDI (0004201000040000280005) ; -SDR 82 TDI (0004240000080000280001) ; -SDR 82 TDI (0004280000000000280001) ; -SDR 82 TDI (00042c0000000000080001) ; -SDR 82 TDI (0004300000000000000001) ; -SDR 82 TDI (0004400420000000000005) ; -SDR 82 TDI (0004448000080000080001) ; -SDR 82 TDI (0004480800080000080001) ; -SDR 82 TDI (00044c0000000000080001) ; -SDR 82 TDI (0004501000000000080003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0004800000000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0004800000000000000001) ; -SDR 82 TDI (0004840000080000000001) ; -SDR 82 TDI (0004888000080000000001) ; -SDR 82 TDI (00048c0000400000000001) ; -SDR 82 TDI (0004902000000000000001) ; -SDR 82 TDI (0004a00040080000000001) ; -SDR 82 TDI (0004a42000100000000001) ; -SDR 82 TDI (0004a84000140000000001) ; -SDR 82 TDI (0004ac4000100000000001) ; -SDR 82 TDI (0004b00800000000000001) ; -SDR 82 TDI (0004c00000000400000001) ; -SDR 82 TDI (0004c40000000000000001) ; -SDR 82 TDI (0004c80000000000000001) ; -SDR 82 TDI (0004cc0800000000000001) ; -SDR 82 TDI (0004d0a000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0005000000440000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0005000000440000000001) ; -SDR 82 TDI (0005040000000000000001) ; -SDR 82 TDI (0005080000000000000001) ; -SDR 82 TDI (00050c0000000000080001) ; -SDR 82 TDI (0005100000000000000001) ; -SDR 82 TDI (0005200000040000000001) ; -SDR 82 TDI (0005240000080080000001) ; -SDR 82 TDI (0005280000000080000001) ; -SDR 82 TDI (00052c0000000080000001) ; -SDR 82 TDI (0005300000000000000001) ; -SDR 82 TDI (0005400000000000000001) ; -SDR 82 TDI (0005440004080080000001) ; -SDR 82 TDI (0005480004080080000001) ; -SDR 82 TDI (00054c0000000080000001) ; -SDR 82 TDI (0005500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0005800000000000f00000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0005800000000000f00001) ; -SDR 82 TDI (0005840000080000f00001) ; -SDR 82 TDI (0005880000080000f00001) ; -SDR 82 TDI (00058c0000400000e00001) ; -SDR 82 TDI (0005900000000000e00001) ; -SDR 82 TDI (0005a00000080000280001) ; -SDR 82 TDI (0005a40000100010a80001) ; -SDR 82 TDI (0005a80000140000a80001) ; -SDR 82 TDI (0005ac0000100000680001) ; -SDR 82 TDI (0005b00000000000700001) ; -SDR 82 TDI (0005c00000080400000001) ; -SDR 82 TDI (0005c40000000000880001) ; -SDR 82 TDI (0005c80000000000880001) ; -SDR 82 TDI (0005cc0000000000880001) ; -SDR 82 TDI (0005d00000000000880003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0006000303010301120310) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0006000303010301120311) ; -SDR 82 TDI (0006050203020300420301) ; -SDR 82 TDI (00060a0303010301520301) ; -SDR 82 TDI (00060d0303030300020301) ; -SDR 82 TDI (0006120303010301c20301) ; -SDR 82 TDI (0006210103030302030301) ; -SDR 82 TDI (0006260103020300230301) ; -SDR 82 TDI (00062803030103022b0301) ; -SDR 82 TDI (00062f0103000303090301) ; -SDR 82 TDI (0006300000000000000001) ; -SDR 82 TDI (0006400000000020000001) ; -SDR 82 TDI (0006440004000000000001) ; -SDR 82 TDI (0006480004000000000001) ; -SDR 82 TDI (00064c4000000000080001) ; -SDR 82 TDI (0006500000000000080003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0006830000020002400000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0006830000020002400001) ; -SDR 82 TDI (0006860100010003100001) ; -SDR 82 TDI (0006890000020000800001) ; -SDR 82 TDI (00068e0000000003e00001) ; -SDR 82 TDI (0006910000020002200001) ; -SDR 82 TDI (0006a20200000001280001) ; -SDR 82 TDI (0006a50200010003880001) ; -SDR 82 TDI (0006ab0000060001800001) ; -SDR 82 TDI (0006ac0200030000200001) ; -SDR 82 TDI (0006b00000000000200001) ; -SDR 82 TDI (0006c00000000400000001) ; -SDR 82 TDI (0006c40000000000080001) ; -SDR 82 TDI (0006c80000000000080001) ; -SDR 82 TDI (0006cc0000000000000001) ; -SDR 82 TDI (0006d00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0007000302010001004040) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0007000302010001004041) ; -SDR 82 TDI (0007040203020100000001) ; -SDR 82 TDI (0007080103000201000001) ; -SDR 82 TDI (00070d0303034200000001) ; -SDR 82 TDI (0007120103000301000001) ; -SDR 82 TDI (0007200101020302000001) ; -SDR 82 TDI (0007260103020200040001) ; -SDR 82 TDI (0007280301010300000201) ; -SDR 82 TDI (00072f0003000301000201) ; -SDR 82 TDI (0007300000000000000001) ; -SDR 82 TDI (0007400000000000802001) ; -SDR 82 TDI (0007440000000000000001) ; -SDR 82 TDI (0007480000000000000001) ; -SDR 82 TDI (00074c0000000000000081) ; -SDR 82 TDI (0007500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0007830001020002000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0007830001020002000001) ; -SDR 82 TDI (0007870103010003000001) ; -SDR 82 TDI (00078b0202030000000001) ; -SDR 82 TDI (00078e1000000003000001) ; -SDR 82 TDI (0007910200030002000001) ; -SDR 82 TDI (0007a30202010001000001) ; -SDR 82 TDI (0007a40208010003000001) ; -SDR 82 TDI (0007ab0002060003000001) ; -SDR 82 TDI (0007ac0301030002000001) ; -SDR 82 TDI (0007b00000000000000001) ; -SDR 82 TDI (0007c00000000000000001) ; -SDR 82 TDI (0007c40000000000000001) ; -SDR 82 TDI (0007c80000000000000001) ; -SDR 82 TDI (0007cc4000000000000001) ; -SDR 82 TDI (0007d00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0008000306010041000008) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0008000306010041000009) ; -SDR 82 TDI (0008040017000100000001) ; -SDR 82 TDI (0008080107800001000001) ; -SDR 82 TDI (00080d1313030200000001) ; -SDR 82 TDI (0008120085000201000001) ; -SDR 82 TDI (0008200001000322000001) ; -SDR 82 TDI (0008260143020040000001) ; -SDR 82 TDI (0008280301010280000001) ; -SDR 82 TDI (00082f0007000201000001) ; -SDR 82 TDI (0008300000000000000001) ; -SDR 82 TDI (0008400080000008000001) ; -SDR 82 TDI (0008440044000048000001) ; -SDR 82 TDI (0008480000000088000001) ; -SDR 82 TDI (00084c0000000000000001) ; -SDR 82 TDI (0008500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0008830009000002300004) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0008830009000002300005) ; -SDR 82 TDI (0008850380030003300001) ; -SDR 82 TDI (00088b0280130000300001) ; -SDR 82 TDI (00088e0080000223200001) ; -SDR 82 TDI (0008910200030002200001) ; -SDR 82 TDI (0008a302000300c1200041) ; -SDR 82 TDI (0008a40200010003300001) ; -SDR 82 TDI (0008ab0000020003300001) ; -SDR 82 TDI (0008ac0300030002100001) ; -SDR 82 TDI (0008b00000000000100001) ; -SDR 82 TDI (0008c000040000d0101001) ; -SDR 82 TDI (0008c40000000000100001) ; -SDR 82 TDI (0008c80000040000100001) ; -SDR 82 TDI (0008cc4040004000100001) ; -SDR 82 TDI (0008d00020000000100003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0009000302010201000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0009000302010201000001) ; -SDR 82 TDI (0009040006000000000001) ; -SDR 82 TDI (000908000c080001000001) ; -SDR 82 TDI (00090d1216038000000001) ; -SDR 82 TDI (000912001400d001000001) ; -SDR 82 TDI (0009220000008002000201) ; -SDR 82 TDI (0009250102028e08800201) ; -SDR 82 TDI (0009280321110e00800001) ; -SDR 82 TDI (00092f0003000201200201) ; -SDR 82 TDI (0009300010008000200001) ; -SDR 82 TDI (0009400000000000000001) ; -SDR 82 TDI (0009440040001000000001) ; -SDR 82 TDI (0009480050080000000001) ; -SDR 82 TDI (00094c00a0000c00000001) ; -SDR 82 TDI (0009500080000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0009830005080202000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0009830005080202000001) ; -SDR 82 TDI (0009850118038003000001) ; -SDR 82 TDI (00098b0310439000000001) ; -SDR 82 TDI (00098e0000001803000001) ; -SDR 82 TDI (00099100a0020802000001) ; -SDR 82 TDI (0009a30200130401000201) ; -SDR 82 TDI (0009a50200010202000281) ; -SDR 82 TDI (0009ab00000a0001000001) ; -SDR 82 TDI (0009ac0210038002000201) ; -SDR 82 TDI (0009b00000004000000001) ; -SDR 82 TDI (0009c000c0088000000001) ; -SDR 82 TDI (0009c40090008000000001) ; -SDR 82 TDI (0009c800a0000800000001) ; -SDR 82 TDI (0009cc4000000000000001) ; -SDR 82 TDI (0009d00000008000500003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (000a000302090000100000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (000a000302090000100001) ; -SDR 82 TDI (000a040000000000400001) ; -SDR 82 TDI (000a080000400001500001) ; -SDR 82 TDI (000a0d0202030000000001) ; -SDR 82 TDI (000a120000000000c00001) ; -SDR 82 TDI (000a200000100002000001) ; -SDR 82 TDI (000a240102020000200001) ; -SDR 82 TDI (000a280100090000280001) ; -SDR 82 TDI (000a2f0002000001080001) ; -SDR 82 TDI (000a300000000000000001) ; -SDR 82 TDI (000a400000080000000001) ; -SDR 82 TDI (000a440000000000000001) ; -SDR 82 TDI (000a480000000000000001) ; -SDR 82 TDI (000a4c0000000000080001) ; -SDR 82 TDI (000a500000000000080003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (000a830001000003400000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (000a830001000003400001) ; -SDR 82 TDI (000a850100090003100001) ; -SDR 82 TDI (000a8b0300020000800001) ; -SDR 82 TDI (000a8e0000000003e00001) ; -SDR 82 TDI (000a910000020003200001) ; -SDR 82 TDI (000aa20000000001280001) ; -SDR 82 TDI (000aa40200110002880001) ; -SDR 82 TDI (000aab0200020001800001) ; -SDR 82 TDI (000aac0200032002200001) ; -SDR 82 TDI (000ab00000000000200001) ; -SDR 82 TDI (000ac00000000000000001) ; -SDR 82 TDI (000ac40000080000080001) ; -SDR 82 TDI (000ac80000000000080001) ; -SDR 82 TDI (000acc4000000000000001) ; -SDR 82 TDI (000ad00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (000b000104001001001100) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (000b000104001001001101) ; -SDR 82 TDI (000b040006011a00000001) ; -SDR 82 TDI (000b080004001e01000001) ; -SDR 82 TDI (000b0d0306021c00000001) ; -SDR 82 TDI (000b130003001c01000001) ; -SDR 82 TDI (000b210001010400001001) ; -SDR 82 TDI (000b270042000c00000001) ; -SDR 82 TDI (000b290240008c06000001) ; -SDR 82 TDI (000b2f0005009500020001) ; -SDR 82 TDI (000b300084009400000001) ; -SDR 82 TDI (000b400000001000000001) ; -SDR 82 TDI (000b440000001800000001) ; -SDR 82 TDI (000b480080009800000001) ; -SDR 82 TDI (000b4c00c0009800000001) ; -SDR 82 TDI (000b500000009000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (000b800100010001000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (000b800100010001000001) ; -SDR 82 TDI (000b840040000000000001) ; -SDR 82 TDI (000b8a0000020001000001) ; -SDR 82 TDI (000b8d0200260000000001) ; -SDR 82 TDI (000b920000000000000001) ; -SDR 82 TDI (000ba00000000002000001) ; -SDR 82 TDI (000ba40082000000000001) ; -SDR 82 TDI (000ba90200010000000001) ; -SDR 82 TDI (000baf0000000001000001) ; -SDR 82 TDI (000bb00000000000000001) ; -SDR 82 TDI (000bc00000000000000001) ; -SDR 82 TDI (000bc40000400000000001) ; -SDR 82 TDI (000bc80000000000000001) ; -SDR 82 TDI (000bcc4000000000000001) ; -SDR 82 TDI (000bd00000800000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (000c001300010040000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (000c001300010040000001) ; -SDR 82 TDI (000c040100018440000001) ; -SDR 82 TDI (000c080000008001000001) ; -SDR 82 TDI (000c0d0200038800000001) ; -SDR 82 TDI (000c130000028801000001) ; -SDR 82 TDI (000c200000000002000001) ; -SDR 82 TDI (000c240002000800000001) ; -SDR 82 TDI (000c280100011800000001) ; -SDR 82 TDI (000c2f0002010001000001) ; -SDR 82 TDI (000c300000000000000001) ; -SDR 82 TDI (000c400000400000000001) ; -SDR 82 TDI (000c440000000000000001) ; -SDR 82 TDI (000c480000000000000001) ; -SDR 82 TDI (000c4c0000000000000001) ; -SDR 82 TDI (000c500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (000c830001020422000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (000c830001020422000001) ; -SDR 82 TDI (000c850300010802000001) ; -SDR 82 TDI (000c880100010800000001) ; -SDR 82 TDI (000c8c0000000001000081) ; -SDR 82 TDI (000c910200030001000001) ; -SDR 82 TDI (000ca30000020001000001) ; -SDR 82 TDI (000ca40000001002000001) ; -SDR 82 TDI (000ca80002000002000001) ; -SDR 82 TDI (000cac0100010000000001) ; -SDR 82 TDI (000cb00000000400000001) ; -SDR 82 TDI (000cc00000000000000001) ; -SDR 82 TDI (000cc40008000000000001) ; -SDR 82 TDI (000cc80000000000000001) ; -SDR 82 TDI (000ccc0000001000000041) ; -SDR 82 TDI (000cd00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (000d000703010301c20300) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (000d000703010301c20301) ; -SDR 82 TDI (000d054703034300c20301) ; -SDR 82 TDI (000d0a0303030301c20301) ; -SDR 82 TDI (000d0d0303030300c20301) ; -SDR 82 TDI (000d130303030301c20301) ; -SDR 82 TDI (000d230103030306430301) ; -SDR 82 TDI (000d270103020304c30301) ; -SDR 82 TDI (000d290303010302c30301) ; -SDR 82 TDI (000d2f0103010303430301) ; -SDR 82 TDI (000d304000000000400001) ; -SDR 82 TDI (000d400000000000400001) ; -SDR 82 TDI (000d440000000000400081) ; -SDR 82 TDI (000d480000000000400001) ; -SDR 82 TDI (000d4c0000000000400001) ; -SDR 82 TDI (000d500000000000400003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (000d802000000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (000d802000000000000001) ; -SDR 82 TDI (000d842000000000000001) ; -SDR 82 TDI (000d882c00000000000001) ; -SDR 82 TDI (000d8c2c00000000000001) ; -SDR 82 TDI (000d902c00000000000001) ; -SDR 82 TDI (000da09800000000000001) ; -SDR 82 TDI (000da49800000000000001) ; -SDR 82 TDI (000da89800000000000001) ; -SDR 82 TDI (000dac9c00000000000001) ; -SDR 82 TDI (000db09c00000000000001) ; -SDR 82 TDI (000dc08400000000000081) ; -SDR 82 TDI (000dc48404000000000001) ; -SDR 82 TDI (000dc89404000000000001) ; -SDR 82 TDI (000dcc9400000000000001) ; -SDR 82 TDI (000dd09400000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (000e002000000040000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (000e002000000040000001) ; -SDR 82 TDI (000e042018000040000001) ; -SDR 82 TDI (000e08e018000000000001) ; -SDR 82 TDI (000e0c6410000000000001) ; -SDR 82 TDI (000e106cb4000000000001) ; -SDR 82 TDI (000e209800000000000001) ; -SDR 82 TDI (000e24b800000000000001) ; -SDR 82 TDI (000e289820000000000001) ; -SDR 82 TDI (000e2c9800000000000001) ; -SDR 82 TDI (000e309800000000000001) ; -SDR 82 TDI (000e4044c0000000000001) ; -SDR 82 TDI (000e44e4d0000000000001) ; -SDR 82 TDI (000e48ec50000000000001) ; -SDR 82 TDI (000e4cac00000000000001) ; -SDR 82 TDI (000e50f480000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (000e804060000000c00000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (000e804060000000c00001) ; -SDR 82 TDI (000e840000000000c00001) ; -SDR 82 TDI (000e880000000000c00001) ; -SDR 82 TDI (000e8c0000000000c00001) ; -SDR 82 TDI (000e900000000000c00001) ; -SDR 82 TDI (000ea00010000000400001) ; -SDR 82 TDI (000ea44000000000400001) ; -SDR 82 TDI (000ea80000000000400001) ; -SDR 82 TDI (000eac0004000000400001) ; -SDR 82 TDI (000eb00004000000400001) ; -SDR 82 TDI (000ec00000000008400001) ; -SDR 82 TDI (000ec40000004000400081) ; -SDR 82 TDI (000ec80000000000400001) ; -SDR 82 TDI (000ecc0000000000400001) ; -SDR 82 TDI (000ed00000000000400003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (000f000000000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (000f000000000000000001) ; -SDR 82 TDI (000f050300038300000001) ; -SDR 82 TDI (000f0a0200028000000001) ; -SDR 82 TDI (000f0c0000008000000001) ; -SDR 82 TDI (000f110302038100000001) ; -SDR 82 TDI (000f230102038100000001) ; -SDR 82 TDI (000f240000008000800001) ; -SDR 82 TDI (000f290102000002800001) ; -SDR 82 TDI (000f2c0100010001200001) ; -SDR 82 TDI (000f300000000000200001) ; -SDR 82 TDI (000f400000008000000001) ; -SDR 82 TDI (000f440000008000000001) ; -SDR 82 TDI (000f480000000000000001) ; -SDR 82 TDI (000f4c0000000000000001) ; -SDR 82 TDI (000f500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (000f800000008000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (000f800000008000000001) ; -SDR 82 TDI (000f840000000880000001) ; -SDR 82 TDI (000f880000240800000001) ; -SDR 82 TDI (000f8c0000000800000001) ; -SDR 82 TDI (000f900000800800000001) ; -SDR 82 TDI (000fa00000000000000001) ; -SDR 82 TDI (000fa40000000800000001) ; -SDR 82 TDI (000fa80000000800000001) ; -SDR 82 TDI (000fac0000000000000001) ; -SDR 82 TDI (000fb00000000000000001) ; -SDR 82 TDI (000fc00000000000000801) ; -SDR 82 TDI (000fc40000000000500001) ; -SDR 82 TDI (000fc80000001000000001) ; -SDR 82 TDI (000fcc0000800000000001) ; -SDR 82 TDI (000fd00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0010000200200400000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0010000200200400000001) ; -SDR 82 TDI (0010050300138200000001) ; -SDR 82 TDI (00100a0200128400000001) ; -SDR 82 TDI (00100c2000008c00000001) ; -SDR 82 TDI (0010110300028c00000201) ; -SDR 82 TDI (0010210102038500000001) ; -SDR 82 TDI (0010240000008c00000001) ; -SDR 82 TDI (0010290100009c82000001) ; -SDR 82 TDI (00102c0900218480000001) ; -SDR 82 TDI (0010300000008000080001) ; -SDR 82 TDI (0010400000808000000001) ; -SDR 82 TDI (0010440000048000000001) ; -SDR 82 TDI (0010480000048000000001) ; -SDR 82 TDI (00104ca000108000000001) ; -SDR 82 TDI (0010500000008000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0010800100810301700310) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0010800100810301700311) ; -SDR 82 TDI (0010840000240c00d00201) ; -SDR 82 TDI (0010880100250b01f00201) ; -SDR 82 TDI (00108d0300a70300e00301) ; -SDR 82 TDI (0010920002a50201e00101) ; -SDR 82 TDI (0010a20000a01282292301) ; -SDR 82 TDI (0010a70100820380a80301) ; -SDR 82 TDI (0010a80200010300a80101) ; -SDR 82 TDI (0010af00000003032a0301) ; -SDR 82 TDI (0010b00000000000600001) ; -SDR 82 TDI (0010c00000340000000001) ; -SDR 82 TDI (0010c40000c00000080001) ; -SDR 82 TDI (0010c80000800000880001) ; -SDR 82 TDI (0010cc0000801000080001) ; -SDR 82 TDI (0010d00000800000880003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0011000003000000020000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0011000003000000020001) ; -SDR 82 TDI (0011040403000100000101) ; -SDR 82 TDI (0011080003000000020101) ; -SDR 82 TDI (00110c0003000000020001) ; -SDR 82 TDI (0011100401000100020001) ; -SDR 82 TDI (0011200001000000020001) ; -SDR 82 TDI (0011240003000000030001) ; -SDR 82 TDI (0011280003000080030201) ; -SDR 82 TDI (00112c0003000080010001) ; -SDR 82 TDI (0011300000000000000001) ; -SDR 82 TDI (0011408000000000000001) ; -SDR 82 TDI (0011440004000080000001) ; -SDR 82 TDI (0011480004000000000001) ; -SDR 82 TDI (00114c0000000000000001) ; -SDR 82 TDI (0011500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0011800400000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0011800400000000000001) ; -SDR 82 TDI (0011840002000000020001) ; -SDR 82 TDI (0011880002000000000101) ; -SDR 82 TDI (00118c0000000000020101) ; -SDR 82 TDI (0011900000010000000001) ; -SDR 82 TDI (0011a00000200000000001) ; -SDR 82 TDI (0011a40000000080000001) ; -SDR 82 TDI (0011a80002200000030001) ; -SDR 82 TDI (0011ac0001200000010001) ; -SDR 82 TDI (0011b00400000000000001) ; -SDR 82 TDI (0011c00000000400000001) ; -SDR 82 TDI (0011c40000000000000001) ; -SDR 82 TDI (0011c80000000080000001) ; -SDR 82 TDI (0011cc0000000080000001) ; -SDR 82 TDI (0011d00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0012000000000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0012000000000000000001) ; -SDR 82 TDI (0012040000000000000001) ; -SDR 82 TDI (0012080000000000000001) ; -SDR 82 TDI (00120c0000000000000001) ; -SDR 82 TDI (0012100000000000000001) ; -SDR 82 TDI (0012200000000000000001) ; -SDR 82 TDI (0012240000000040000001) ; -SDR 82 TDI (0012280000000040000001) ; -SDR 82 TDI (00122c0000000000000001) ; -SDR 82 TDI (0012300000000000000001) ; -SDR 82 TDI (0012400000000000000001) ; -SDR 82 TDI (0012440004000060000001) ; -SDR 82 TDI (0012480004000040000001) ; -SDR 82 TDI (00124c0000000000000001) ; -SDR 82 TDI (0012500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0012800000000204000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0012800000000204000001) ; -SDR 82 TDI (0012840000000000000001) ; -SDR 82 TDI (0012880000000000000001) ; -SDR 82 TDI (00128c0000000000000001) ; -SDR 82 TDI (0012900000000000000001) ; -SDR 82 TDI (0012a20000000000000201) ; -SDR 82 TDI (0012a50000000200000201) ; -SDR 82 TDI (0012a80000000000000001) ; -SDR 82 TDI (0012ac0000000000000201) ; -SDR 82 TDI (0012b00000000000000001) ; -SDR 82 TDI (0012c00000000004000001) ; -SDR 82 TDI (0012c40000000000000001) ; -SDR 82 TDI (0012c80000000000000001) ; -SDR 82 TDI (0012cc0000000000000001) ; -SDR 82 TDI (0012d00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0013000000000040000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0013000000000040000001) ; -SDR 82 TDI (0013040000000040000001) ; -SDR 82 TDI (0013080000000000000001) ; -SDR 82 TDI (00130c0000000000000001) ; -SDR 82 TDI (0013100000000000080001) ; -SDR 82 TDI (0013200000000000000001) ; -SDR 82 TDI (0013240000000000000001) ; -SDR 82 TDI (0013280000004000000001) ; -SDR 82 TDI (00132c0000000000000001) ; -SDR 82 TDI (0013300000000000040001) ; -SDR 82 TDI (0013400000000000000001) ; -SDR 82 TDI (0013440000002400000001) ; -SDR 82 TDI (0013480000000400000001) ; -SDR 82 TDI (00134c0000000400000001) ; -SDR 82 TDI (0013500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0013800002000100f00000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0013800002000100f00001) ; -SDR 82 TDI (0013840001000000f00001) ; -SDR 82 TDI (0013880100010101f40001) ; -SDR 82 TDI (00138c0201010300e00001) ; -SDR 82 TDI (0013900000000200e00101) ; -SDR 82 TDI (0013a00001000200280101) ; -SDR 82 TDI (0013a40100020100e80001) ; -SDR 82 TDI (0013a80201000200b80001) ; -SDR 82 TDI (0013ae00020002006a0001) ; -SDR 82 TDI (0013b00000000000700001) ; -SDR 82 TDI (0013c00000000000000001) ; -SDR 82 TDI (0013c40000000008880001) ; -SDR 82 TDI (0013c80000000000880001) ; -SDR 82 TDI (0013cc0000000000880001) ; -SDR 82 TDI (0013d00004000000880003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0014000000000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0014000000000000000001) ; -SDR 82 TDI (0014040000000000000001) ; -SDR 82 TDI (0014080080000000000001) ; -SDR 82 TDI (00140c0040000000000001) ; -SDR 82 TDI (0014100000000000000001) ; -SDR 82 TDI (0014200000000000000001) ; -SDR 82 TDI (0014240000000000000001) ; -SDR 82 TDI (0014280080000000000001) ; -SDR 82 TDI (00142c0000000000000001) ; -SDR 82 TDI (0014300000000000000001) ; -SDR 82 TDI (0014400000000000000001) ; -SDR 82 TDI (0014440004000000000001) ; -SDR 82 TDI (0014480004000000000001) ; -SDR 82 TDI (00144c0000000000000001) ; -SDR 82 TDI (0014500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0014800000000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0014800000000000000001) ; -SDR 82 TDI (0014840000000800000001) ; -SDR 82 TDI (0014880000000800000001) ; -SDR 82 TDI (00148c0000000800000001) ; -SDR 82 TDI (0014900000000c00000001) ; -SDR 82 TDI (0014a00000000000000001) ; -SDR 82 TDI (0014a40040000800000001) ; -SDR 82 TDI (0014a80040008800000001) ; -SDR 82 TDI (0014ac0000008400000001) ; -SDR 82 TDI (0014b00080008400000001) ; -SDR 82 TDI (0014c00000001400000001) ; -SDR 82 TDI (0014c40000000800000001) ; -SDR 82 TDI (0014c80000001800000001) ; -SDR 82 TDI (0014cc0040001800000001) ; -SDR 82 TDI (0014d00000001000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0015000000000000080000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0015000000000000080001) ; -SDR 82 TDI (0015040000000100000001) ; -SDR 82 TDI (0015080000000000000001) ; -SDR 82 TDI (00150c0000000000000001) ; -SDR 82 TDI (0015100002000000000001) ; -SDR 82 TDI (0015228000000008000001) ; -SDR 82 TDI (0015240000000000000001) ; -SDR 82 TDI (0015281000000000000001) ; -SDR 82 TDI (00152c0000200000000001) ; -SDR 82 TDI (0015300000000000000001) ; -SDR 82 TDI (0015404000000000000001) ; -SDR 82 TDI (0015440000000000000001) ; -SDR 82 TDI (0015480400002000000001) ; -SDR 82 TDI (00154c0000100000000001) ; -SDR 82 TDI (0015500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0015800000000000f00000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0015800000000000f00001) ; -SDR 82 TDI (0015840000000000f00001) ; -SDR 82 TDI (0015880000000000f00001) ; -SDR 82 TDI (00158c0000000000e00001) ; -SDR 82 TDI (0015900000000000e00001) ; -SDR 82 TDI (0015a00400000000280001) ; -SDR 82 TDI (0015a40020000000a80001) ; -SDR 82 TDI (0015a80000000000a80001) ; -SDR 82 TDI (0015ac0000000000680001) ; -SDR 82 TDI (0015b00000000000700001) ; -SDR 82 TDI (0015c00000000000000001) ; -SDR 82 TDI (0015c40000000000880001) ; -SDR 82 TDI (0015c80000000000880001) ; -SDR 82 TDI (0015cc0000000000880001) ; -SDR 82 TDI (0015d00000000000880003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0016000000000000100000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0016000000000000100001) ; -SDR 82 TDI (0016050303030300400001) ; -SDR 82 TDI (00160a0202020000500001) ; -SDR 82 TDI (00160c0000000000000001) ; -SDR 82 TDI (0016110302030100c00001) ; -SDR 82 TDI (0016230102030100000001) ; -SDR 82 TDI (0016240000000000200001) ; -SDR 82 TDI (0016290102000002280001) ; -SDR 82 TDI (00162c0101010001080001) ; -SDR 82 TDI (0016300000000000000001) ; -SDR 82 TDI (0016400000000000000001) ; -SDR 82 TDI (0016440000000000000001) ; -SDR 82 TDI (0016480000000000000001) ; -SDR 82 TDI (00164c0000000000080001) ; -SDR 82 TDI (0016500000000000080003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0016830303030303420300) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0016830303030303420301) ; -SDR 82 TDI (0016870303030303120301) ; -SDR 82 TDI (00168b0303030301820301) ; -SDR 82 TDI (00168f0303030303e20301) ; -SDR 82 TDI (0016930303030303220301) ; -SDR 82 TDI (0016a303030303032b0301) ; -SDR 82 TDI (0016a703030303038b0301) ; -SDR 82 TDI (0016ab0303030303830301) ; -SDR 82 TDI (0016af0303030303210301) ; -SDR 82 TDI (0016b00000000000200001) ; -SDR 82 TDI (0016c00000000000000001) ; -SDR 82 TDI (0016c40000000000080001) ; -SDR 82 TDI (0016c80000004000080001) ; -SDR 82 TDI (0016cc0000000000000001) ; -SDR 82 TDI (0016d00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0017030303030003588000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0017030303030003588001) ; -SDR 82 TDI (0017070303030103580001) ; -SDR 82 TDI (00170b0303070201d80001) ; -SDR 82 TDI (00170f0303070203e80001) ; -SDR 82 TDI (0017130303070303ec0001) ; -SDR 82 TDI (0017230303030303288001) ; -SDR 82 TDI (0017260303030203280001) ; -SDR 82 TDI (00172b03030303032c0201) ; -SDR 82 TDI (00172f03030303030c0201) ; -SDR 82 TDI (00173000000000000c0001) ; -SDR 82 TDI (0017400000000000000001) ; -SDR 82 TDI (0017440000000400080001) ; -SDR 82 TDI (0017480000800008080001) ; -SDR 82 TDI (00174c0000000000080001) ; -SDR 82 TDI (00175000000000000c0003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0017830303010003000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0017830303010003000001) ; -SDR 82 TDI (0017850303070103040001) ; -SDR 82 TDI (00178b2303030001000001) ; -SDR 82 TDI (00178f0303030203000001) ; -SDR 82 TDI (0017930201030203000001) ; -SDR 82 TDI (0017a30201030303400001) ; -SDR 82 TDI (0017a60303030003900001) ; -SDR 82 TDI (0017ab0b01034203800001) ; -SDR 82 TDI (0017af0303030203200001) ; -SDR 82 TDI (0017b08000000000200001) ; -SDR 82 TDI (0017c00000000000000001) ; -SDR 82 TDI (0017c40000800000000001) ; -SDR 82 TDI (0017c82000000400000001) ; -SDR 82 TDI (0017cc0000800000000001) ; -SDR 82 TDI (0017d00000800000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0018030303010203900000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0018030303010203900001) ; -SDR 82 TDI (001805013e038003a00001) ; -SDR 82 TDI (00180b0310038001f00001) ; -SDR 82 TDI (00180f0202030803a00001) ; -SDR 82 TDI (00181300a0025803a00001) ; -SDR 82 TDI (0018230200030003000201) ; -SDR 82 TDI (0018250302030602080201) ; -SDR 82 TDI (00182b0301030601040001) ; -SDR 82 TDI (00182f02030302030c0201) ; -SDR 82 TDI (0018300010008000000001) ; -SDR 82 TDI (00184000c000a000000001) ; -SDR 82 TDI (0018440090009400000001) ; -SDR 82 TDI (0018480000000000080001) ; -SDR 82 TDI (00184c00a0000c00800001) ; -SDR 82 TDI (0018500000000000880003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0018830307011043600000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0018830307011043600001) ; -SDR 82 TDI (0018850100011043500001) ; -SDR 82 TDI (00188b0308021001040001) ; -SDR 82 TDI (00188f0212039003440001) ; -SDR 82 TDI (0018930014028003440001) ; -SDR 82 TDI (0018a20000008403280001) ; -SDR 82 TDI (0018a40302038802e00001) ; -SDR 82 TDI (0018ab0330038801f80001) ; -SDR 82 TDI (0018af0212038003700001) ; -SDR 82 TDI (0018b00000004000700001) ; -SDR 82 TDI (0018c00000000000000001) ; -SDR 82 TDI (0018c40060000808880001) ; -SDR 82 TDI (0018c800f0008c08800001) ; -SDR 82 TDI (0018cc0000008000080001) ; -SDR 82 TDI (0018d00080008000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0019004100000101000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0019004100000101000001) ; -SDR 82 TDI (0019054101010000000001) ; -SDR 82 TDI (0019094001000100000001) ; -SDR 82 TDI (00190c4000000000000301) ; -SDR 82 TDI (0019104101010101000001) ; -SDR 82 TDI (0019200000000000000001) ; -SDR 82 TDI (0019244000000000000001) ; -SDR 82 TDI (0019284000000000000001) ; -SDR 82 TDI (00192c4000000000000001) ; -SDR 82 TDI (0019304000000000000001) ; -SDR 82 TDI (0019404000000000000001) ; -SDR 82 TDI (0019446000000000000001) ; -SDR 82 TDI (0019486000000000000001) ; -SDR 82 TDI (00194c2000000000000001) ; -SDR 82 TDI (0019506000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0019830000030302000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0019830000030302000001) ; -SDR 82 TDI (0019860000020202000001) ; -SDR 82 TDI (00198b0800030302020001) ; -SDR 82 TDI (00198c0000000000000001) ; -SDR 82 TDI (0019900001000100020001) ; -SDR 82 TDI (0019a00000000000000001) ; -SDR 82 TDI (0019a40000000000000001) ; -SDR 82 TDI (0019a80000000000000001) ; -SDR 82 TDI (0019ac0400000000000001) ; -SDR 82 TDI (0019b00000000000000001) ; -SDR 82 TDI (0019c00000000000000001) ; -SDR 82 TDI (0019c40000000000000001) ; -SDR 82 TDI (0019c81000000000000001) ; -SDR 82 TDI (0019cc0000000000000001) ; -SDR 82 TDI (0019d00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (001a000300010001000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (001a000300010001000001) ; -SDR 82 TDI (001a050202000100000101) ; -SDR 82 TDI (001a090102010100000101) ; -SDR 82 TDI (001a0c0000000000000001) ; -SDR 82 TDI (001a100303010001000101) ; -SDR 82 TDI (001a202000000000000001) ; -SDR 82 TDI (001a242000000000800001) ; -SDR 82 TDI (001a280000000000800001) ; -SDR 82 TDI (001a2c0000000000200001) ; -SDR 82 TDI (001a300000000000200001) ; -SDR 82 TDI (001a400000000000000001) ; -SDR 82 TDI (001a440000000010000001) ; -SDR 82 TDI (001a480000000080000001) ; -SDR 82 TDI (001a4c0000000080000001) ; -SDR 82 TDI (001a500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (001a820000000100000100) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (001a820000000100000101) ; -SDR 82 TDI (001a870203020100000101) ; -SDR 82 TDI (001a8a0203000100000101) ; -SDR 82 TDI (001a8c0000000000000001) ; -SDR 82 TDI (001a930103010101000101) ; -SDR 82 TDI (001aa00000000000000001) ; -SDR 82 TDI (001aa40000000000000001) ; -SDR 82 TDI (001aa80000000000000001) ; -SDR 82 TDI (001aac0000000000000001) ; -SDR 82 TDI (001ab00000000000000001) ; -SDR 82 TDI (001ac00000000080500001) ; -SDR 82 TDI (001ac40000000080000001) ; -SDR 82 TDI (001ac80000000000000001) ; -SDR 82 TDI (001acc0000000000000001) ; -SDR 82 TDI (001ad00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (001b000100000303000100) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (001b000100000303000101) ; -SDR 82 TDI (001b050001000000000001) ; -SDR 82 TDI (001b090301000202000001) ; -SDR 82 TDI (001b0c0000000000000001) ; -SDR 82 TDI (001b100001010203000001) ; -SDR 82 TDI (001b200000000000000001) ; -SDR 82 TDI (001b240000000000000001) ; -SDR 82 TDI (001b280000000000000001) ; -SDR 82 TDI (001b2c0000000000000001) ; -SDR 82 TDI (001b300000000000000001) ; -SDR 82 TDI (001b4000000000000000c1) ; -SDR 82 TDI (001b440000000000000001) ; -SDR 82 TDI (001b480000000000000001) ; -SDR 82 TDI (001b4c0000000000000001) ; -SDR 82 TDI (001b500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (001b800000000001000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (001b800000000001000001) ; -SDR 82 TDI (001b840003000000000001) ; -SDR 82 TDI (001b880003000301000001) ; -SDR 82 TDI (001b8c0000000000000001) ; -SDR 82 TDI (001b900103010300000001) ; -SDR 82 TDI (001ba00000000000000001) ; -SDR 82 TDI (001ba40000000000000001) ; -SDR 82 TDI (001ba80000000000000001) ; -SDR 82 TDI (001bac0000000000000001) ; -SDR 82 TDI (001bb00000000000000001) ; -SDR 82 TDI (001bc00000000000000001) ; -SDR 82 TDI (001bc40000000000000001) ; -SDR 82 TDI (001bc80000000000000001) ; -SDR 82 TDI (001bcc0000000000000001) ; -SDR 82 TDI (001bd00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (001c011100010200f00100) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (001c011100010200f00101) ; -SDR 82 TDI (001c050000000000f00101) ; -SDR 82 TDI (001c090101010201f00001) ; -SDR 82 TDI (001c0e0000020002e00001) ; -SDR 82 TDI (001c110101010300e00301) ; -SDR 82 TDI (001c200000000000a80001) ; -SDR 82 TDI (001c240000000000280001) ; -SDR 82 TDI (001c280000000000280001) ; -SDR 82 TDI (001c2c0000000000480001) ; -SDR 82 TDI (001c300000000000500001) ; -SDR 82 TDI (001c408800000000000001) ; -SDR 82 TDI (001c440000000000880001) ; -SDR 82 TDI (001c480000000000880001) ; -SDR 82 TDI (001c4c00000000008c0001) ; -SDR 82 TDI (001c500000000000880003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (001c820100030203002100) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (001c820100030203002101) ; -SDR 82 TDI (001c842000020000010001) ; -SDR 82 TDI (001c8a0101010203000101) ; -SDR 82 TDI (001c8c0000000000000001) ; -SDR 82 TDI (001c930001010201000101) ; -SDR 82 TDI (001ca00000000000000001) ; -SDR 82 TDI (001ca40800004000000001) ; -SDR 82 TDI (001ca80000000000000001) ; -SDR 82 TDI (001cac0000000000000001) ; -SDR 82 TDI (001cb00400000000000001) ; -SDR 82 TDI (001cc00000000808000001) ; -SDR 82 TDI (001cc42000000008000001) ; -SDR 82 TDI (001cc80000000008040001) ; -SDR 82 TDI (001ccc0000000000000001) ; -SDR 82 TDI (001cd00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (001d000040440201010000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (001d000040440201010001) ; -SDR 82 TDI (001d040050410000010001) ; -SDR 82 TDI (001d080051000200010001) ; -SDR 82 TDI (001d0c0150000100000101) ; -SDR 82 TDI (001d110051400201010001) ; -SDR 82 TDI (001d200000040000000001) ; -SDR 82 TDI (001d240004000000000001) ; -SDR 82 TDI (001d280000000000000001) ; -SDR 82 TDI (001d2c0000100000000001) ; -SDR 82 TDI (001d300000000000000001) ; -SDR 82 TDI (001d400000000000000001) ; -SDR 82 TDI (001d440020080000000001) ; -SDR 82 TDI (001d480020080000000001) ; -SDR 82 TDI (001d4c0020000000000001) ; -SDR 82 TDI (001d500020000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (001d820110010003000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (001d820110010003000001) ; -SDR 82 TDI (001d8400000a0101000101) ; -SDR 82 TDI (001d8a01010b0102000101) ; -SDR 82 TDI (001d8c0000400000000001) ; -SDR 82 TDI (001d930301010303000101) ; -SDR 82 TDI (001da00084000000000001) ; -SDR 82 TDI (001da40040100000000001) ; -SDR 82 TDI (001da80040140000000001) ; -SDR 82 TDI (001dac0000000000000001) ; -SDR 82 TDI (001db00000000000000001) ; -SDR 82 TDI (001dc00000000000000001) ; -SDR 82 TDI (001dc40000000000000001) ; -SDR 82 TDI (001dc80000000000000001) ; -SDR 82 TDI (001dcc0040000000000001) ; -SDR 82 TDI (001dd00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (001e000000010002000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (001e000000010002000001) ; -SDR 82 TDI (001e048002810000000001) ; -SDR 82 TDI (001e088001800003020001) ; -SDR 82 TDI (001e0e0020000200000001) ; -SDR 82 TDI (001e110003000002020001) ; -SDR 82 TDI (001e202000000000000001) ; -SDR 82 TDI (001e242000000000000001) ; -SDR 82 TDI (001e280000200000000001) ; -SDR 82 TDI (001e2c0000000000000001) ; -SDR 82 TDI (001e300000000000000001) ; -SDR 82 TDI (001e400000000000000001) ; -SDR 82 TDI (001e440000000000000001) ; -SDR 82 TDI (001e480000300000000001) ; -SDR 82 TDI (001e4c0000000000000001) ; -SDR 82 TDI (001e500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (001e820100210202000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (001e820100210202000001) ; -SDR 82 TDI (001e840002340100010001) ; -SDR 82 TDI (001e8a0103250302000001) ; -SDR 82 TDI (001e8c0000a40000000001) ; -SDR 82 TDI (001e930103a50302000001) ; -SDR 82 TDI (001ea00020000000000001) ; -SDR 82 TDI (001ea40000802000000001) ; -SDR 82 TDI (001ea80000000000000001) ; -SDR 82 TDI (001eac0000200000000001) ; -SDR 82 TDI (001eb00000000000000001) ; -SDR 82 TDI (001ec00000800000000001) ; -SDR 82 TDI (001ec40000c40000000001) ; -SDR 82 TDI (001ec80000800000000001) ; -SDR 82 TDI (001ecc0000900000000001) ; -SDR 82 TDI (001ed00000800000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (001f020100010102500000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (001f020100010102500001) ; -SDR 82 TDI (001f040200030200500101) ; -SDR 82 TDI (001f080000000102d00001) ; -SDR 82 TDI (001f0d0000000000e00001) ; -SDR 82 TDI (001f120201030300e00101) ; -SDR 82 TDI (001f200000000000280001) ; -SDR 82 TDI (001f240000000000280001) ; -SDR 82 TDI (001f280000000000280001) ; -SDR 82 TDI (001f2c0000000000080001) ; -SDR 82 TDI (001f300000000000000001) ; -SDR 82 TDI (001f400000000000000001) ; -SDR 82 TDI (001f440000000040080001) ; -SDR 82 TDI (001f480000000040080001) ; -SDR 82 TDI (001f4c0000000000080001) ; -SDR 82 TDI (001f500000000000080003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (001f800100000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (001f800100000000000001) ; -SDR 82 TDI (001f850002010000000101) ; -SDR 82 TDI (001f890302010302000301) ; -SDR 82 TDI (001f8c0000000000000001) ; -SDR 82 TDI (001f910102030301000301) ; -SDR 82 TDI (001fa00000000000000001) ; -SDR 82 TDI (001fa40000000000000001) ; -SDR 82 TDI (001fa80000000000000001) ; -SDR 82 TDI (001fac0000000000000001) ; -SDR 82 TDI (001fb00000000000000001) ; -SDR 82 TDI (001fc00008000040000001) ; -SDR 82 TDI (001fc40000000000000001) ; -SDR 82 TDI (001fc80000000000000001) ; -SDR 82 TDI (001fcc0000000000000001) ; -SDR 82 TDI (001fd00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0020000200030001000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0020000200030001000001) ; -SDR 82 TDI (0020070300030100000001) ; -SDR 82 TDI (0020090100000100000001) ; -SDR 82 TDI (00200c0000000000000001) ; -SDR 82 TDI (0020120200020001000301) ; -SDR 82 TDI (0020200000000000000001) ; -SDR 82 TDI (0020240000000004000001) ; -SDR 82 TDI (0020280000000004000001) ; -SDR 82 TDI (00202c0000000000000001) ; -SDR 82 TDI (0020300000000000000001) ; -SDR 82 TDI (0020400000400000000001) ; -SDR 82 TDI (0020440000000000000001) ; -SDR 82 TDI (0020480000000000000001) ; -SDR 82 TDI (00204c0000000000000001) ; -SDR 82 TDI (0020500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0020800000020203000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0020800000020203000001) ; -SDR 82 TDI (0020840002010003010001) ; -SDR 82 TDI (0020880003020003010001) ; -SDR 82 TDI (00208c0000000000000001) ; -SDR 82 TDI (0020900203030303010001) ; -SDR 82 TDI (0020a00000000000000001) ; -SDR 82 TDI (0020a40000000000000001) ; -SDR 82 TDI (0020a80000000000000001) ; -SDR 82 TDI (0020ac0000000000000001) ; -SDR 82 TDI (0020b00000000000000001) ; -SDR 82 TDI (0020c00000000000000001) ; -SDR 82 TDI (0020c40000000000000001) ; -SDR 82 TDI (0020c80000000000000001) ; -SDR 82 TDI (0020cc0000000000000001) ; -SDR 82 TDI (0020d00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0021001002031302020100) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0021001002031302020101) ; -SDR 82 TDI (0021040200038a02030101) ; -SDR 82 TDI (0021090002021703010001) ; -SDR 82 TDI (00210c0000008800000001) ; -SDR 82 TDI (0021120203031302000101) ; -SDR 82 TDI (0021200000008000800001) ; -SDR 82 TDI (0021240000000c40000001) ; -SDR 82 TDI (0021280000000040000001) ; -SDR 82 TDI (00212c0000001000000001) ; -SDR 82 TDI (0021300000000000000001) ; -SDR 82 TDI (0021400800008800000001) ; -SDR 82 TDI (0021440000000060000001) ; -SDR 82 TDI (0021480800008040000001) ; -SDR 82 TDI (00214c0800000000000001) ; -SDR 82 TDI (0021500000008000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0021838200008806040000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0021838200008806040001) ; -SDR 82 TDI (0021870202000100000001) ; -SDR 82 TDI (0021890502034102000001) ; -SDR 82 TDI (00218c0800000000000001) ; -SDR 82 TDI (0021930303010300000201) ; -SDR 82 TDI (0021a04000000000140011) ; -SDR 82 TDI (0021a40000000000000001) ; -SDR 82 TDI (0021a80000000000000001) ; -SDR 82 TDI (0021ac0000000400000001) ; -SDR 82 TDI (0021b00000000400000001) ; -SDR 82 TDI (0021c02000000000080001) ; -SDR 82 TDI (0021c40000000000000001) ; -SDR 82 TDI (0021c80000000000000001) ; -SDR 82 TDI (0021cc1000000000000001) ; -SDR 82 TDI (0021d00000001000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0022020100020302000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0022020100020302000001) ; -SDR 82 TDI (0022060200020002000001) ; -SDR 82 TDI (00220a0b00020302080001) ; -SDR 82 TDI (00220c0800000080000001) ; -SDR 82 TDI (0022120900020302000201) ; -SDR 82 TDI (0022200800000000000001) ; -SDR 82 TDI (0022240800000000000001) ; -SDR 82 TDI (0022280800000000000001) ; -SDR 82 TDI (00222c0800000000000001) ; -SDR 82 TDI (0022300800000000000001) ; -SDR 82 TDI (0022400400000000000001) ; -SDR 82 TDI (0022440400000000000001) ; -SDR 82 TDI (0022480400000000000001) ; -SDR 82 TDI (00224c0400000000000001) ; -SDR 82 TDI (0022500400000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0022804800020002f00000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0022804800020002f00001) ; -SDR 82 TDI (0022844900012101f00001) ; -SDR 82 TDI (0022880002030103f00001) ; -SDR 82 TDI (00228c0000000000e00001) ; -SDR 82 TDI (0022900002000000e00001) ; -SDR 82 TDI (0022a00000000000280001) ; -SDR 82 TDI (0022a40000000010a80001) ; -SDR 82 TDI (0022a80400000000a80001) ; -SDR 82 TDI (0022ac4000000000680001) ; -SDR 82 TDI (0022b00000000000700001) ; -SDR 82 TDI (0022c01000000000000001) ; -SDR 82 TDI (0022c41000000000880001) ; -SDR 82 TDI (0022c80000000000880001) ; -SDR 82 TDI (0022cc0000000000880001) ; -SDR 82 TDI (0022d00000000000880003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0023000100010000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0023000100010000000001) ; -SDR 82 TDI (0023040200020002000001) ; -SDR 82 TDI (0023080102030002000001) ; -SDR 82 TDI (00230c0000000000000001) ; -SDR 82 TDI (0023120302010200000201) ; -SDR 82 TDI (0023200000000000000001) ; -SDR 82 TDI (0023240000000040000001) ; -SDR 82 TDI (0023280000000040000001) ; -SDR 82 TDI (00232c0000000000000001) ; -SDR 82 TDI (0023300000000000000001) ; -SDR 82 TDI (0023400000000000000001) ; -SDR 82 TDI (0023440000000060000001) ; -SDR 82 TDI (0023480000000040000001) ; -SDR 82 TDI (00234c0000000000000001) ; -SDR 82 TDI (0023500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (002381030101010d000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (002381030101010d000001) ; -SDR 82 TDI (0023840203000100000001) ; -SDR 82 TDI (00238b0103010101000001) ; -SDR 82 TDI (00238c0000000000000001) ; -SDR 82 TDI (0023920201000100000001) ; -SDR 82 TDI (0023a00000000000000001) ; -SDR 82 TDI (0023a40000000000000001) ; -SDR 82 TDI (0023a80000000000000001) ; -SDR 82 TDI (0023ac0000000000000001) ; -SDR 82 TDI (0023b00000000000000001) ; -SDR 82 TDI (0023c00000000004000001) ; -SDR 82 TDI (0023c40000000000000001) ; -SDR 82 TDI (0023c80000000000000001) ; -SDR 82 TDI (0023cc0000000000000001) ; -SDR 82 TDI (0023d00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0024020000020000600200) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0024020000020000600201) ; -SDR 82 TDI (0024040002008202500001) ; -SDR 82 TDI (00240a0002038203000001) ; -SDR 82 TDI (00240c4000008800400001) ; -SDR 82 TDI (002410080200ca02400001) ; -SDR 82 TDI (002420000000c000280001) ; -SDR 82 TDI (002424000000c840200001) ; -SDR 82 TDI (0024280000004840280001) ; -SDR 82 TDI (00242c0000004000440001) ; -SDR 82 TDI (0024300000004000500001) ; -SDR 82 TDI (002440000000a000000001) ; -SDR 82 TDI (002444800400a060880001) ; -SDR 82 TDI (0024480004002440800001) ; -SDR 82 TDI (00244c0800002400080001) ; -SDR 82 TDI (0024501000002000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (002483020203011e900000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (002483020203011e900001) ; -SDR 82 TDI (0024840001000001a10001) ; -SDR 82 TDI (00248b0200030503f00001) ; -SDR 82 TDI (00248c0000000400a00001) ; -SDR 82 TDI (0024902002000400a40001) ; -SDR 82 TDI (0024a00000000000000001) ; -SDR 82 TDI (0024a40000000000080001) ; -SDR 82 TDI (0024a80000000000000001) ; -SDR 82 TDI (0024ac0000001000080001) ; -SDR 82 TDI (0024b00800001000000001) ; -SDR 82 TDI (0024c00000001004000001) ; -SDR 82 TDI (0024c40000000400000001) ; -SDR 82 TDI (0024c80800000000080001) ; -SDR 82 TDI (0024cc0000000000800001) ; -SDR 82 TDI (0024d0a000000000880003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0025000202000080a00000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0025000202000080a00001) ; -SDR 82 TDI (0025040300010301a40001) ; -SDR 82 TDI (0025080102010301240001) ; -SDR 82 TDI (00250c0000000000040001) ; -SDR 82 TDI (0025100202000200000201) ; -SDR 82 TDI (0025200000000000400001) ; -SDR 82 TDI (0025240000000000500001) ; -SDR 82 TDI (0025280000000000500001) ; -SDR 82 TDI (00252c0000000000500001) ; -SDR 82 TDI (0025300000000000500001) ; -SDR 82 TDI (0025400800000000000001) ; -SDR 82 TDI (0025440000000000800001) ; -SDR 82 TDI (0025480000000000800001) ; -SDR 82 TDI (00254c0000000000800001) ; -SDR 82 TDI (0025500000000000800003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0025810000000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0025810000000000000001) ; -SDR 82 TDI (0025850000020000000001) ; -SDR 82 TDI (0025890200000000000001) ; -SDR 82 TDI (00258c0000000000000001) ; -SDR 82 TDI (0025910000020000000001) ; -SDR 82 TDI (0025a00000400000004001) ; -SDR 82 TDI (0025a40000000000000001) ; -SDR 82 TDI (0025a80000000000800001) ; -SDR 82 TDI (0025ac0000000000000001) ; -SDR 82 TDI (0025b00000000000200001) ; -SDR 82 TDI (0025c00000000000000001) ; -SDR 82 TDI (0025c40000000000000001) ; -SDR 82 TDI (0025c80000000000000001) ; -SDR 82 TDI (0025cc0000000000000001) ; -SDR 82 TDI (0025d00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0026030000020301000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0026030000020301000001) ; -SDR 82 TDI (0026040002000000000001) ; -SDR 82 TDI (00260b0202020303000001) ; -SDR 82 TDI (00260c0000000000000001) ; -SDR 82 TDI (0026110002000301000001) ; -SDR 82 TDI (0026202000000000000001) ; -SDR 82 TDI (0026242000000000800001) ; -SDR 82 TDI (0026280000000000800001) ; -SDR 82 TDI (00262c0000000000200001) ; -SDR 82 TDI (0026300000000000200001) ; -SDR 82 TDI (0026400000000000000001) ; -SDR 82 TDI (0026440000000010000001) ; -SDR 82 TDI (0026480000000000000001) ; -SDR 82 TDI (00264c0000000000000001) ; -SDR 82 TDI (0026500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0026800000000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0026800000000000000001) ; -SDR 82 TDI (0026840000000000000001) ; -SDR 82 TDI (0026880000000000000001) ; -SDR 82 TDI (00268c0000000000000001) ; -SDR 82 TDI (0026900000000000000001) ; -SDR 82 TDI (0026a00000000000000001) ; -SDR 82 TDI (0026a40000000000000001) ; -SDR 82 TDI (0026a80000040000000001) ; -SDR 82 TDI (0026ac0000000000000001) ; -SDR 82 TDI (0026b00000000000000001) ; -SDR 82 TDI (0026c00000000000000001) ; -SDR 82 TDI (0026c40000000000000001) ; -SDR 82 TDI (0026c80000000000500001) ; -SDR 82 TDI (0026cc0000000000000001) ; -SDR 82 TDI (0026d00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0027010101010101010100) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0027010101010101010101) ; -SDR 82 TDI (0027050501010001000001) ; -SDR 82 TDI (0027080000000000000001) ; -SDR 82 TDI (00270c0080000000040001) ; -SDR 82 TDI (0027100040000000000001) ; -SDR 82 TDI (0027200000000000000001) ; -SDR 82 TDI (0027250101010141010101) ; -SDR 82 TDI (0027280000000040400001) ; -SDR 82 TDI (00272c0080000000100001) ; -SDR 82 TDI (0027300000000000000001) ; -SDR 82 TDI (0027400000000000000001) ; -SDR 82 TDI (0027440004000020000001) ; -SDR 82 TDI (0027480004000000000001) ; -SDR 82 TDI (00274c0000000000000001) ; -SDR 82 TDI (0027500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0027800400000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0027800400000000000001) ; -SDR 82 TDI (0027840000000800000001) ; -SDR 82 TDI (0027880000000800000001) ; -SDR 82 TDI (00278c0000000800000001) ; -SDR 82 TDI (0027900000000c00080001) ; -SDR 82 TDI (0027a00000000000000001) ; -SDR 82 TDI (0027a40040000800000001) ; -SDR 82 TDI (0027a80040008800000001) ; -SDR 82 TDI (0027ac0000008400000001) ; -SDR 82 TDI (0027b00080008400000001) ; -SDR 82 TDI (0027c00000001000000001) ; -SDR 82 TDI (0027c40000000800000001) ; -SDR 82 TDI (0027c80000001800000001) ; -SDR 82 TDI (0027cc0040001800000001) ; -SDR 82 TDI (0027d00000001000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0028000000a01000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0028000000a01000000001) ; -SDR 82 TDI (0028040000801000000001) ; -SDR 82 TDI (0028080000801400000001) ; -SDR 82 TDI (00280c0000001400000001) ; -SDR 82 TDI (0028100000001000000001) ; -SDR 82 TDI (0028200000000400000001) ; -SDR 82 TDI (0028240000000440000001) ; -SDR 82 TDI (0028280000000440000001) ; -SDR 82 TDI (00282c0000001000000001) ; -SDR 82 TDI (0028300000001000000001) ; -SDR 82 TDI (0028400000a00000000001) ; -SDR 82 TDI (0028440000041060000001) ; -SDR 82 TDI (0028480000248040000001) ; -SDR 82 TDI (00284c0000008000000001) ; -SDR 82 TDI (0028500000008000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (002880000010001c000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (002880000010001c000001) ; -SDR 82 TDI (0028840000240000100001) ; -SDR 82 TDI (0028880000240000100001) ; -SDR 82 TDI (00288c0000240000c00001) ; -SDR 82 TDI (0028900000240000e00001) ; -SDR 82 TDI (0028a00008a00000000009) ; -SDR 82 TDI (0028a40000000000800001) ; -SDR 82 TDI (0028a80000200000a00001) ; -SDR 82 TDI (0028ac0000000000200001) ; -SDR 82 TDI (0028b00000000000200001) ; -SDR 82 TDI (0028c00000140004000009) ; -SDR 82 TDI (0028c40000800000080001) ; -SDR 82 TDI (0028c80000900000080001) ; -SDR 82 TDI (0028cc0000800000080001) ; -SDR 82 TDI (0028d00000800000080003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (00290010c4001000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (00290010c4001000000001) ; -SDR 82 TDI (00290400d4009400000001) ; -SDR 82 TDI (00290800d4009400000001) ; -SDR 82 TDI (00290c00d4009c00000001) ; -SDR 82 TDI (00291000d4009c00000001) ; -SDR 82 TDI (0029200000009400000001) ; -SDR 82 TDI (0029240080009c00000001) ; -SDR 82 TDI (0029280084008c00000001) ; -SDR 82 TDI (00292c0084009400000001) ; -SDR 82 TDI (0029300004009000000001) ; -SDR 82 TDI (00294008c0009000000001) ; -SDR 82 TDI (00294400e0009800000001) ; -SDR 82 TDI (00294808e0009800000001) ; -SDR 82 TDI (00294c08a0008800000001) ; -SDR 82 TDI (00295000a0009000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0029800000000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0029800000000000000001) ; -SDR 82 TDI (0029840000000800000001) ; -SDR 82 TDI (0029880000000800000001) ; -SDR 82 TDI (00298c0000000000000001) ; -SDR 82 TDI (0029900000000000000001) ; -SDR 82 TDI (0029a00000000000000021) ; -SDR 82 TDI (0029a40044000000000001) ; -SDR 82 TDI (0029a80040001000000001) ; -SDR 82 TDI (0029ac0000000000000001) ; -SDR 82 TDI (0029b00000000400000001) ; -SDR 82 TDI (0029c00000000000000001) ; -SDR 82 TDI (0029c40000000000000001) ; -SDR 82 TDI (0029c80000000000000001) ; -SDR 82 TDI (0029cc0040000000000001) ; -SDR 82 TDI (0029d00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (002a000004000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (002a000004000000000001) ; -SDR 82 TDI (002a040004000000000001) ; -SDR 82 TDI (002a080004000000000001) ; -SDR 82 TDI (002a0c0004000000000001) ; -SDR 82 TDI (002a100000000000000001) ; -SDR 82 TDI (002a200000040000000001) ; -SDR 82 TDI (002a240000000000000001) ; -SDR 82 TDI (002a280000040000000001) ; -SDR 82 TDI (002a2c0004000000000001) ; -SDR 82 TDI (002a300004000000000001) ; -SDR 82 TDI (002a400000000000000001) ; -SDR 82 TDI (002a440000080000000001) ; -SDR 82 TDI (002a480080080000000001) ; -SDR 82 TDI (002a4c0080000000500001) ; -SDR 82 TDI (002a500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (002a800080040000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (002a800080040000000001) ; -SDR 82 TDI (002a840000000000000001) ; -SDR 82 TDI (002a880000000000000001) ; -SDR 82 TDI (002a8c0000000000000001) ; -SDR 82 TDI (002a900000400000000001) ; -SDR 82 TDI (002aa00000100020000001) ; -SDR 82 TDI (002aa40000000000800001) ; -SDR 82 TDI (002aa80080000000800001) ; -SDR 82 TDI (002aac0080100000200001) ; -SDR 82 TDI (002ab00000000000200001) ; -SDR 82 TDI (002ac00000000400000001) ; -SDR 82 TDI (002ac40000000000000001) ; -SDR 82 TDI (002ac80000000000000001) ; -SDR 82 TDI (002acc0000000000000001) ; -SDR 82 TDI (002ad00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (002b000000000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (002b000000000000000001) ; -SDR 82 TDI (002b040010000000000001) ; -SDR 82 TDI (002b080010000000000001) ; -SDR 82 TDI (002b0c0010000000000001) ; -SDR 82 TDI (002b100014000000000001) ; -SDR 82 TDI (002b200000000000000001) ; -SDR 82 TDI (002b240000000000000001) ; -SDR 82 TDI (002b280000000000000001) ; -SDR 82 TDI (002b2c0000000000000001) ; -SDR 82 TDI (002b300000000000000001) ; -SDR 82 TDI (002b400080000000004001) ; -SDR 82 TDI (002b440080000000000001) ; -SDR 82 TDI (002b480000000000000001) ; -SDR 82 TDI (002b4c0000000000000001) ; -SDR 82 TDI (002b500080000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (002b800000004000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (002b800000004000000001) ; -SDR 82 TDI (002b840040000000000001) ; -SDR 82 TDI (002b880040000000000001) ; -SDR 82 TDI (002b8c0040000000000001) ; -SDR 82 TDI (002b900040000000000001) ; -SDR 82 TDI (002ba00000000000000001) ; -SDR 82 TDI (002ba40000000080000001) ; -SDR 82 TDI (002ba80000000080000001) ; -SDR 82 TDI (002bac0000000080000001) ; -SDR 82 TDI (002bb00000000000000001) ; -SDR 82 TDI (002bc00000100000040001) ; -SDR 82 TDI (002bc40800000080000001) ; -SDR 82 TDI (002bc80000100080000001) ; -SDR 82 TDI (002bcc0000100080000001) ; -SDR 82 TDI (002bd00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (002c000000040000100000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (002c000000040000100001) ; -SDR 82 TDI (002c048000000000400001) ; -SDR 82 TDI (002c080000000000500001) ; -SDR 82 TDI (002c0c0000000000000001) ; -SDR 82 TDI (002c100000000000c00001) ; -SDR 82 TDI (002c202000040000000001) ; -SDR 82 TDI (002c240000000040200001) ; -SDR 82 TDI (002c280000000040280001) ; -SDR 82 TDI (002c2c0000004000080001) ; -SDR 82 TDI (002c300000000000080001) ; -SDR 82 TDI (002c400000000000000001) ; -SDR 82 TDI (002c440004000060000001) ; -SDR 82 TDI (002c480004000040000001) ; -SDR 82 TDI (002c4c0000000000080001) ; -SDR 82 TDI (002c500000000000080003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (002c80002000001cc00000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (002c80002000001cc00001) ; -SDR 82 TDI (002c840020000000300001) ; -SDR 82 TDI (002c880020000000800001) ; -SDR 82 TDI (002c8c0020000000e00001) ; -SDR 82 TDI (002c900000000000200001) ; -SDR 82 TDI (002ca00000002000280009) ; -SDR 82 TDI (002ca40000000000880001) ; -SDR 82 TDI (002ca80000040000800001) ; -SDR 82 TDI (002cac0000000000600001) ; -SDR 82 TDI (002cb00000000000300001) ; -SDR 82 TDI (002cc00004000004000001) ; -SDR 82 TDI (002cc40000000000880001) ; -SDR 82 TDI (002cc80000000000080001) ; -SDR 82 TDI (002ccc0000000000800001) ; -SDR 82 TDI (002cd00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (002d002000000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (002d002000000000000001) ; -SDR 82 TDI (002d042800000000000001) ; -SDR 82 TDI (002d082804000000000001) ; -SDR 82 TDI (002d0c2804004000000001) ; -SDR 82 TDI (002d102800000000000001) ; -SDR 82 TDI (002d201800000000000001) ; -SDR 82 TDI (002d241800000040040001) ; -SDR 82 TDI (002d281810000040000001) ; -SDR 82 TDI (002d2c1800000000000001) ; -SDR 82 TDI (002d301800000000000001) ; -SDR 82 TDI (002d401400000000000001) ; -SDR 82 TDI (002d440420000000000001) ; -SDR 82 TDI (002d481400000000000001) ; -SDR 82 TDI (002d4c1400000000000001) ; -SDR 82 TDI (002d501400000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (002d800800000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (002d800800000000000001) ; -SDR 82 TDI (002d840000000000000001) ; -SDR 82 TDI (002d880028000000000001) ; -SDR 82 TDI (002d8c0010000000000001) ; -SDR 82 TDI (002d900014000000000001) ; -SDR 82 TDI (002da00000000040000081) ; -SDR 82 TDI (002da44400000000000001) ; -SDR 82 TDI (002da84020000000000001) ; -SDR 82 TDI (002dac0000000000000001) ; -SDR 82 TDI (002db04000000000000001) ; -SDR 82 TDI (002dc00010000000800001) ; -SDR 82 TDI (002dc40040000000000001) ; -SDR 82 TDI (002dc80050000000000001) ; -SDR 82 TDI (002dcc0000000000000001) ; -SDR 82 TDI (002dd00080000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (002e000000000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (002e000000000000000001) ; -SDR 82 TDI (002e040000000000000001) ; -SDR 82 TDI (002e080000000000000001) ; -SDR 82 TDI (002e0c0000000000000001) ; -SDR 82 TDI (002e100000000000000001) ; -SDR 82 TDI (002e200000000000000001) ; -SDR 82 TDI (002e240000000000000001) ; -SDR 82 TDI (002e280000000000000001) ; -SDR 82 TDI (002e2c0000000000000001) ; -SDR 82 TDI (002e300000000000000001) ; -SDR 82 TDI (002e400000000000000001) ; -SDR 82 TDI (002e440000000000000001) ; -SDR 82 TDI (002e480000000000000001) ; -SDR 82 TDI (002e4c0000000000000001) ; -SDR 82 TDI (002e500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (002e800000000000500000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (002e800000000000500001) ; -SDR 82 TDI (002e840000000000500001) ; -SDR 82 TDI (002e880000240000d00001) ; -SDR 82 TDI (002e8c0000000000e00001) ; -SDR 82 TDI (002e900000800000e00001) ; -SDR 82 TDI (002ea00000000000280001) ; -SDR 82 TDI (002ea40000000000a80001) ; -SDR 82 TDI (002ea80000002000a80001) ; -SDR 82 TDI (002eac0000000000280001) ; -SDR 82 TDI (002eb00000000000200001) ; -SDR 82 TDI (002ec00000000000000001) ; -SDR 82 TDI (002ec40000000000080001) ; -SDR 82 TDI (002ec80000000000080001) ; -SDR 82 TDI (002ecc0000800000080001) ; -SDR 82 TDI (002ed00000000000080003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (002f008000001000000004) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (002f008000001000000005) ; -SDR 82 TDI (002f040000001000000001) ; -SDR 82 TDI (002f080400000000040001) ; -SDR 82 TDI (002f0c0800000020040001) ; -SDR 82 TDI (002f100000000000000001) ; -SDR 82 TDI (002f2040000000c0000041) ; -SDR 82 TDI (002f240038000000400001) ; -SDR 82 TDI (002f280000008000540001) ; -SDR 82 TDI (002f2c0000000000100001) ; -SDR 82 TDI (002f300000000000000001) ; -SDR 82 TDI (002f4000080020d0000001) ; -SDR 82 TDI (002f440000000c00000001) ; -SDR 82 TDI (002f480000008400000001) ; -SDR 82 TDI (002f4c1004008400000001) ; -SDR 82 TDI (002f500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (002f800000000000d80000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (002f800000000000d80001) ; -SDR 82 TDI (002f840000000040f80001) ; -SDR 82 TDI (002f880000000000f80001) ; -SDR 82 TDI (002f8c0000248000e80001) ; -SDR 82 TDI (002f900000008000e40001) ; -SDR 82 TDI (002fa0002800c000280001) ; -SDR 82 TDI (002fa4000000c800a80001) ; -SDR 82 TDI (002fa80000000840a80001) ; -SDR 82 TDI (002fac0000004080280001) ; -SDR 82 TDI (002fb000000040002c0001) ; -SDR 82 TDI (002fc00000000000000001) ; -SDR 82 TDI (002fc40018402000080001) ; -SDR 82 TDI (002fc80010002040080001) ; -SDR 82 TDI (002fcc0000002080880001) ; -SDR 82 TDI (002fd000048020008c0003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (00300000c0000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (00300000c0000000000001) ; -SDR 82 TDI (00300408d0000000000001) ; -SDR 82 TDI (00300800d0000000000001) ; -SDR 82 TDI (00300c00d0000000000001) ; -SDR 82 TDI (00301000d0000000000001) ; -SDR 82 TDI (0030200084000000000001) ; -SDR 82 TDI (0030240080000000000001) ; -SDR 82 TDI (0030280080000000000001) ; -SDR 82 TDI (00302c0080000000000001) ; -SDR 82 TDI (0030300000000000000001) ; -SDR 82 TDI (0030400040000000000001) ; -SDR 82 TDI (0030440060000000000001) ; -SDR 82 TDI (0030480060040000000001) ; -SDR 82 TDI (00304c0020000000000001) ; -SDR 82 TDI (0030500020000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0030800810000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0030800810000000000001) ; -SDR 82 TDI (0030840000240000000001) ; -SDR 82 TDI (0030880000240000000001) ; -SDR 82 TDI (00308c0000a40000000001) ; -SDR 82 TDI (0030900000a40000000001) ; -SDR 82 TDI (0030a00000000000000001) ; -SDR 82 TDI (0030a40040800000000001) ; -SDR 82 TDI (0030a80044000000000001) ; -SDR 82 TDI (0030ac0000000000000001) ; -SDR 82 TDI (0030b00000000000000001) ; -SDR 82 TDI (0030c00000000000000001) ; -SDR 82 TDI (0030c41000c00000000001) ; -SDR 82 TDI (0030c80000800000000001) ; -SDR 82 TDI (0030cc0000800000000001) ; -SDR 82 TDI (0030d00000800000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0031000000000000800000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0031000000000000800001) ; -SDR 82 TDI (0031040000000080a00001) ; -SDR 82 TDI (0031080000000000200001) ; -SDR 82 TDI (00310c0000000000000001) ; -SDR 82 TDI (0031100000000000000001) ; -SDR 82 TDI (0031200000000000000001) ; -SDR 82 TDI (0031240000400000000001) ; -SDR 82 TDI (0031288000000000000001) ; -SDR 82 TDI (00312c1000000000000001) ; -SDR 82 TDI (0031300000000000000001) ; -SDR 82 TDI (0031400000000000800021) ; -SDR 82 TDI (0031440000000000040001) ; -SDR 82 TDI (0031484000000000000001) ; -SDR 82 TDI (00314c0400002000800001) ; -SDR 82 TDI (0031500000000000800003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0031800000000080000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0031800000000080000001) ; -SDR 82 TDI (0031840000000000000001) ; -SDR 82 TDI (0031880000000000000001) ; -SDR 82 TDI (00318c0000000000000001) ; -SDR 82 TDI (0031900000000000000001) ; -SDR 82 TDI (0031a00000000000000001) ; -SDR 82 TDI (0031a40000000080000001) ; -SDR 82 TDI (0031a80000000080000001) ; -SDR 82 TDI (0031ac0000000080000001) ; -SDR 82 TDI (0031b00000000000000001) ; -SDR 82 TDI (0031c00000000000000001) ; -SDR 82 TDI (0031c40000000080000001) ; -SDR 82 TDI (0031c80000000080000001) ; -SDR 82 TDI (0031cc0000000080000001) ; -SDR 82 TDI (0031d00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0032002000000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0032002000000000000001) ; -SDR 82 TDI (0032040000000000080001) ; -SDR 82 TDI (0032080000000000000001) ; -SDR 82 TDI (00320c0000000000000001) ; -SDR 82 TDI (0032100000000000000001) ; -SDR 82 TDI (0032200800004000000001) ; -SDR 82 TDI (0032248000400000000001) ; -SDR 82 TDI (0032280000000000000001) ; -SDR 82 TDI (00322c0000000000000001) ; -SDR 82 TDI (0032300000000000000001) ; -SDR 82 TDI (0032400000000000000001) ; -SDR 82 TDI (0032444000000000000001) ; -SDR 82 TDI (0032480000000000000001) ; -SDR 82 TDI (00324c0000000000000001) ; -SDR 82 TDI (0032500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0032800000000000f00000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0032800000000000f00001) ; -SDR 82 TDI (0032840000000000f00001) ; -SDR 82 TDI (0032880000000000f00001) ; -SDR 82 TDI (00328c0000000000e00001) ; -SDR 82 TDI (0032900000000000e00001) ; -SDR 82 TDI (0032a00010000000280001) ; -SDR 82 TDI (0032a40010000000a80001) ; -SDR 82 TDI (0032a80010000000a80001) ; -SDR 82 TDI (0032ac0010000000680001) ; -SDR 82 TDI (0032b00010000000700001) ; -SDR 82 TDI (0032c00000000000000001) ; -SDR 82 TDI (0032c40000000000880001) ; -SDR 82 TDI (0032c80000000000880001) ; -SDR 82 TDI (0032cc0000000000880001) ; -SDR 82 TDI (0032d00000000000880003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0033000000000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0033000000000000000001) ; -SDR 82 TDI (0033040008000000000001) ; -SDR 82 TDI (0033080008100000000001) ; -SDR 82 TDI (00330c0000000000000001) ; -SDR 82 TDI (0033100020000000000001) ; -SDR 82 TDI (0033200000000000000001) ; -SDR 82 TDI (0033240000240008000001) ; -SDR 82 TDI (0033280020000000000001) ; -SDR 82 TDI (00332c0000000000000001) ; -SDR 82 TDI (0033300000000000000001) ; -SDR 82 TDI (0033400000000000000001) ; -SDR 82 TDI (0033440000300000000001) ; -SDR 82 TDI (0033480000040000000001) ; -SDR 82 TDI (00334c0000000000000001) ; -SDR 82 TDI (0033500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0033800000000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0033800000000000000001) ; -SDR 82 TDI (0033840000800000000001) ; -SDR 82 TDI (0033880000000000000001) ; -SDR 82 TDI (00338c0000000000000001) ; -SDR 82 TDI (0033900000000000000001) ; -SDR 82 TDI (0033a00000000000000001) ; -SDR 82 TDI (0033a40000000000000001) ; -SDR 82 TDI (0033a80000000000000001) ; -SDR 82 TDI (0033ac0000000000000001) ; -SDR 82 TDI (0033b00000000000000001) ; -SDR 82 TDI (0033c00000000000000011) ; -SDR 82 TDI (0033c40000000000000001) ; -SDR 82 TDI (0033c80000000000000001) ; -SDR 82 TDI (0033cc0000000000000001) ; -SDR 82 TDI (0033d00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0034000000000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0034000000000000000001) ; -SDR 82 TDI (0034040000000800000001) ; -SDR 82 TDI (0034080000000800000001) ; -SDR 82 TDI (00340c0000000800000001) ; -SDR 82 TDI (0034100000000800000001) ; -SDR 82 TDI (0034200000000000000001) ; -SDR 82 TDI (0034240000000800000001) ; -SDR 82 TDI (0034280000000800000001) ; -SDR 82 TDI (00342c0000000000000001) ; -SDR 82 TDI (0034300000000000000001) ; -SDR 82 TDI (0034400000000000000001) ; -SDR 82 TDI (0034440000000000000001) ; -SDR 82 TDI (0034480000000000000001) ; -SDR 82 TDI (00344c0000000000000001) ; -SDR 82 TDI (0034500000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0034800000000800000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0034800000000800000001) ; -SDR 82 TDI (0034840000000000000001) ; -SDR 82 TDI (0034880000000000000001) ; -SDR 82 TDI (00348c0000000000000001) ; -SDR 82 TDI (0034900000000000000001) ; -SDR 82 TDI (0034a00000000000000001) ; -SDR 82 TDI (0034a40000000000000001) ; -SDR 82 TDI (0034a80000000000000001) ; -SDR 82 TDI (0034ac0000000000000001) ; -SDR 82 TDI (0034b00000000000000001) ; -SDR 82 TDI (0034c00000000000000001) ; -SDR 82 TDI (0034c40000000000000001) ; -SDR 82 TDI (0034c80000000000000001) ; -SDR 82 TDI (0034cc0004000000000001) ; -SDR 82 TDI (0034d00000000000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0035000000000000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0035000000000000000001) ; -SDR 82 TDI (0035040000000000000001) ; -SDR 82 TDI (0035080000040000000001) ; -SDR 82 TDI (00350c0000040000000001) ; -SDR 82 TDI (0035100000040000000001) ; -SDR 82 TDI (0035200000000000000001) ; -SDR 82 TDI (0035240000000000000001) ; -SDR 82 TDI (0035280000000000000001) ; -SDR 82 TDI (00352c8000000000000001) ; -SDR 82 TDI (0035301000000000000001) ; -SDR 82 TDI (0035400000000020000001) ; -SDR 82 TDI (0035440000000000000001) ; -SDR 82 TDI (0035480000800000000001) ; -SDR 82 TDI (00354c0000000000000001) ; -SDR 82 TDI (0035504400002000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0035800000000000500000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -SDR 82 TDI (0035800000000000500001) ; -SDR 82 TDI (0035840000040000400001) ; -SDR 82 TDI (0035880000000000c00001) ; -SDR 82 TDI (00358c0000000000200001) ; -SDR 82 TDI (0035900000000000000001) ; -SDR 82 TDI (0035a00000000000280001) ; -SDR 82 TDI (0035a40000000000a80001) ; -SDR 82 TDI (0035a80000000000880001) ; -SDR 82 TDI (0035ac0000000000280001) ; -SDR 82 TDI (0035b00000000000200001) ; -SDR 82 TDI (0035c00000804000000001) ; -SDR 82 TDI (0035c40010800000000001) ; -SDR 82 TDI (0035c80010000000000001) ; -SDR 82 TDI (0035cc0000800000000001) ; -SDR 82 TDI (0035d00000800000000003) ; -RUNTEST 20000 TCK; -SDR 82 TDI (0035d00000800000000000) TDO (0000000000000000000001) MASK (0000000000000000000003) ; -// Loading devices with 'conld' or 'bypass' instruction. -SIR 8 TDI (f0) ; -RUNTEST 100 TCK; -TIR 0 ; -HIR 0 ; -HDR 0 ; -TDR 0 ; -//Loading device with 'ispen' instruction. -SIR 8 TDI (e8) ; -SDR 6 TDI (05) SMASK (3f) ; -//Loading device with 'ispen' instruction. -SIR 8 TDI (e8) ; -SDR 6 TDI (05) ; -// Loading device with a 'fvfy' instruction. -SIR 8 TDI (ee) ; -SDR 82 TDI (0000000000002000a00083) SMASK (03ffffffffffffffffffff) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000040008000000a40003) TDO (0000000000002000a00081) MASK (03ffffffffffffffffffff) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000082008000000240003) TDO (0000040008000000a40001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00000c0000000000040003) TDO (0000082008000000240001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000104020000000000003) TDO (00000c0000000000040001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000200000002800400003) TDO (0000104020000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000241000000040500003) TDO (0000200000002800400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000280820002040500003) TDO (0000241000000040500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00002c0000000000500003) TDO (0000280820002040500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000308000000000500003) TDO (00002c0000000000500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000400000004000000003) TDO (0000308000000000500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000440400000060800003) TDO (0000400000004000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000048a000004040800003) TDO (0000440400000060800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00004c0000000000800003) TDO (000048a000004040800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000500000000000800003) TDO (00004c0000000000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000800000000000000003) TDO (0000500000000000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000840000202000000003) TDO (0000800000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000888000000000000003) TDO (0000840000202000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00008c0000800000000003) TDO (0000888000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000900000000000000003) TDO (00008c0000800000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000a00000000000000003) TDO (0000900000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000a42000002000800003) TDO (0000a00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000a80000000000000003) TDO (0000a42000002000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000ac0000002000200003) TDO (0000a80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000b00000000000000003) TDO (0000ac0000002000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000c00000000000000003) TDO (0000b00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000c40000804000000003) TDO (0000c00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000c80000800000000003) TDO (0000c40000804000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000cc0000004000000003) TDO (0000c80000800000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0000d00000000000000003) TDO (0000cc0000004000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001000000000000000003) TDO (0000d00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001040000000000000103) TDO (0001000000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001080000000000000103) TDO (0001040000000000000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (00010c2000000000000103) TDO (0001080000000000000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001100000000000000003) TDO (00010c2000000000000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001200000000000000003) TDO (0001100000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001240000000000000003) TDO (0001200000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001280000000004000003) TDO (0001240000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00012c0800004000000003) TDO (0001280000000004000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001300000000000000003) TDO (00012c0800004000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001400000000000000003) TDO (0001300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001440000000000000003) TDO (0001400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001480000000000000003) TDO (0001440000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00014ca000000000000003) TDO (0001480000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001500000000000000003) TDO (00014ca000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001800000002000000003) TDO (0001500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001840000040000000003) TDO (0001800000002000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001880000000000000003) TDO (0001840000040000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00018c0000000000000003) TDO (0001880000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001900000240000000003) TDO (00018c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001a00000000004000003) TDO (0001900000240000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001a40000800000000003) TDO (0001a00000000004000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001a80000000000000003) TDO (0001a40000800000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001ac0000000000000003) TDO (0001a80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001b00000000000000003) TDO (0001ac0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001c00000000000000003) TDO (0001b00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001c40000000000000003) TDO (0001c00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001c80000000000000003) TDO (0001c40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001cc0000000000000003) TDO (0001c80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0001d00000000000000003) TDO (0001cc0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002000080000040000003) TDO (0001d00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002040080000040000003) TDO (0002000080000040000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000208c040000000000003) TDO (0002040080000040000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00020c0400000000000003) TDO (000208c040000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002100000000000000003) TDO (00020c0400000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002201000000000000003) TDO (0002100000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002242000000000000083) TDO (0002201000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002280000000000000003) TDO (0002242000000000000081) ; -RUNTEST 1 TCK; -SDR 82 TDI (00022c0000000000000003) TDO (0002280000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002300000000000000003) TDO (00022c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002400400000000000003) TDO (0002300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002440004000008000003) TDO (0002400400000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002480004000008000003) TDO (0002440004000008000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00024c0000000000040003) TDO (0002480004000008000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002500000000000040003) TDO (00024c0000000000040001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002802000000000000003) TDO (0002500000000000040001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002842000040800000003) TDO (0002802000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002880000000800000003) TDO (0002842000040800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00028c2000000800000003) TDO (0002880000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002906400240c00000003) TDO (00028c2000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002a08800000000000083) TDO (0002906400240c00000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002a49840800800000003) TDO (0002a08800000000000081) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002a89040008800000003) TDO (0002a49840800800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002ac9800008400000003) TDO (0002a89040008800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002b01880008400000003) TDO (0002ac9800008400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002c04000001400000003) TDO (0002b01880008400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002c46400000800000003) TDO (0002c04000001400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002c8c400001800040003) TDO (0002c46400000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002ccac40001800000003) TDO (0002c8c400001800040001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0002d0e400001000000003) TDO (0002ccac40001800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003001008048800040103) TDO (0002d0e400001000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003040080000000000003) TDO (0003001008048800040101) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003080080004000000303) TDO (0003040080000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00030c0080000000000103) TDO (0003080080004000000301) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003100000400000000103) TDO (00030c0080000000000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003200000040000140103) TDO (0003100000400000000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003240000000080000203) TDO (0003200000040000140101) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003280000040080000003) TDO (0003240000000080000201) ; -RUNTEST 1 TCK; -SDR 82 TDI (00032c0000100480000003) TDO (0003280000040080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003300000000400000003) TDO (00032c0000100480000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003400804000000080003) TDO (0003300000000400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003440000080080000003) TDO (0003400804000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003480800080080000003) TDO (0003440000080080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00034c0840000080000003) TDO (0003480800080080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003500020001000000003) TDO (00034c0840000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003800000080000000143) TDO (0003500020001000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003840000001000000103) TDO (0003800000080000000141) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003880010008800000003) TDO (0003840000001000000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (00038c0004001480000303) TDO (0003880010008800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003900010008800000103) TDO (00038c0004001480000301) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003a00000000410000003) TDO (0003900010008800000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003a40000108000000103) TDO (0003a00000000410000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003a80040100c00000103) TDO (0003a40000108000000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003ac0000000000000003) TDO (0003a80040100c00000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003b00004001000000003) TDO (0003ac0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003c00040000000000003) TDO (0003b00004001000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003c40080009000000003) TDO (0003c00040000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003c800c4000000000003) TDO (0003c40080009000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003cc0080008000000083) TDO (0003c800c4000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0003d00080000000000003) TDO (0003cc0080008000000081) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004000000040000500023) TDO (0003d00080000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004040000400000500003) TDO (0004000000040000500021) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004084000000040d00003) TDO (0004040000400000500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00040c4400000000e00003) TDO (0004084000000040d00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004100800000000e00003) TDO (00040c4400000000e00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004201000040000280007) TDO (0004100800000000e00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004240000080000280003) TDO (0004201000040000280005) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004280000000000280003) TDO (0004240000080000280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00042c0000000000080003) TDO (0004280000000000280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004300000000000000003) TDO (00042c0000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004400420000000000007) TDO (0004300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004448000080000080003) TDO (0004400420000000000005) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004480800080000080003) TDO (0004448000080000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00044c0000000000080003) TDO (0004480800080000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004501000000000080003) TDO (00044c0000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004800000000000000003) TDO (0004501000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004840000080000000003) TDO (0004800000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004888000080000000003) TDO (0004840000080000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00048c0000400000000003) TDO (0004888000080000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004902000000000000003) TDO (00048c0000400000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004a00040080000000003) TDO (0004902000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004a42000100000000003) TDO (0004a00040080000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004a84000140000000003) TDO (0004a42000100000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004ac4000100000000003) TDO (0004a84000140000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004b00800000000000003) TDO (0004ac4000100000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004c00000000400000003) TDO (0004b00800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004c40000000000000003) TDO (0004c00000000400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004c80000000000000003) TDO (0004c40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004cc0800000000000003) TDO (0004c80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0004d0a000000000000003) TDO (0004cc0800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005000000440000000003) TDO (0004d0a000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005040000000000000003) TDO (0005000000440000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005080000000000000003) TDO (0005040000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00050c0000000000080003) TDO (0005080000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005100000000000000003) TDO (00050c0000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005200000040000000003) TDO (0005100000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005240000080080000003) TDO (0005200000040000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005280000000080000003) TDO (0005240000080080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00052c0000000080000003) TDO (0005280000000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005300000000000000003) TDO (00052c0000000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005400000000000000003) TDO (0005300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005440004080080000003) TDO (0005400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005480004080080000003) TDO (0005440004080080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00054c0000000080000003) TDO (0005480004080080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005500000000000000003) TDO (00054c0000000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005800000000000f00003) TDO (0005500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005840000080000f00003) TDO (0005800000000000f00001) MASK (03fffcfcfcfcfcfcfcfcff) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005880000080000f00003) TDO (0005840000080000f00001) MASK (03ffffffffffffffffffff) ; -RUNTEST 1 TCK; -SDR 82 TDI (00058c0000400000e00003) TDO (0005880000080000f00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005900000000000e00003) TDO (00058c0000400000e00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005a00000080000280003) TDO (0005900000000000e00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005a40000100010a80003) TDO (0005a00000080000280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005a80000140000a80003) TDO (0005a40000100010a80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005ac0000100000680003) TDO (0005a80000140000a80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005b00000000000700003) TDO (0005ac0000100000680001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005c00000080400000003) TDO (0005b00000000000700001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005c40000000000880003) TDO (0005c00000080400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005c80000000000880003) TDO (0005c40000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005cc0000000000880003) TDO (0005c80000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0005d00000000000880003) TDO (0005cc0000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006000303010301120313) TDO (0005d00000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006050203020300420303) TDO (0006000303010301120311) ; -RUNTEST 1 TCK; -SDR 82 TDI (00060a0303010301520303) TDO (0006050203020300420301) ; -RUNTEST 1 TCK; -SDR 82 TDI (00060d0303030300020303) TDO (00060a0303010301520301) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006120303010301c20303) TDO (00060d0303030300020301) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006210103030302030303) TDO (0006120303010301c20301) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006260103020300230303) TDO (0006210103030302030301) ; -RUNTEST 1 TCK; -SDR 82 TDI (00062803030103022b0303) TDO (0006260103020300230301) ; -RUNTEST 1 TCK; -SDR 82 TDI (00062f0103000303090303) TDO (00062803030103022b0301) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006300000000000000003) TDO (00062f0103000303090301) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006400000000020000003) TDO (0006300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006440004000000000003) TDO (0006400000000020000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006480004000000000003) TDO (0006440004000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00064c4000000000080003) TDO (0006480004000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006500000000000080003) TDO (00064c4000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006830000020002400003) TDO (0006500000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006860100010003100003) TDO (0006830000020002400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006890000020000800003) TDO (0006860100010003100001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00068e0000000003e00003) TDO (0006890000020000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006910000020002200003) TDO (00068e0000000003e00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006a20200000001280003) TDO (0006910000020002200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006a50200010003880003) TDO (0006a20200000001280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006ab0000060001800003) TDO (0006a50200010003880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006ac0200030000200003) TDO (0006ab0000060001800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006b00000000000200003) TDO (0006ac0200030000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006c00000000400000003) TDO (0006b00000000000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006c40000000000080003) TDO (0006c00000000400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006c80000000000080003) TDO (0006c40000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006cc0000000000000003) TDO (0006c80000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0006d00000000000000003) TDO (0006cc0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007000302010001004043) TDO (0006d00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007040203020100000003) TDO (0007000302010001004041) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007080103000201000003) TDO (0007040203020100000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00070d0303034200000003) TDO (0007080103000201000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007120103000301000003) TDO (00070d0303034200000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007200101020302000003) TDO (0007120103000301000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007260103020200040003) TDO (0007200101020302000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007280301010300000203) TDO (0007260103020200040001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00072f0003000301000203) TDO (0007280301010300000201) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007300000000000000003) TDO (00072f0003000301000201) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007400000000000802003) TDO (0007300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007440000000000000003) TDO (0007400000000000802001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007480000000000000003) TDO (0007440000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00074c0000000000000083) TDO (0007480000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007500000000000000003) TDO (00074c0000000000000081) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007830001020002000003) TDO (0007500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007870103010003000003) TDO (0007830001020002000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00078b0202030000000003) TDO (0007870103010003000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00078e1000000003000003) TDO (00078b0202030000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007910200030002000003) TDO (00078e1000000003000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007a30202010001000003) TDO (0007910200030002000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007a40208010003000003) TDO (0007a30202010001000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007ab0002060003000003) TDO (0007a40208010003000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007ac0301030002000003) TDO (0007ab0002060003000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007b00000000000000003) TDO (0007ac0301030002000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007c00000000000000003) TDO (0007b00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007c40000000000000003) TDO (0007c00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007c80000000000000003) TDO (0007c40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007cc4000000000000003) TDO (0007c80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0007d00000000000000003) TDO (0007cc4000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000800030601004100000b) TDO (0007d00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008040017000100000003) TDO (0008000306010041000009) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008080107800001000003) TDO (0008040017000100000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00080d1313030200000003) TDO (0008080107800001000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008120085000201000003) TDO (00080d1313030200000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008200001000322000003) TDO (0008120085000201000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008260143020040000003) TDO (0008200001000322000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008280301010280000003) TDO (0008260143020040000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00082f0007000201000003) TDO (0008280301010280000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008300000000000000003) TDO (00082f0007000201000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008400080000008000003) TDO (0008300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008440044000048000003) TDO (0008400080000008000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008480000000088000003) TDO (0008440044000048000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00084c0000000000000003) TDO (0008480000000088000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008500000000000000003) TDO (00084c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008830009000002300007) TDO (0008500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008850380030003300003) TDO (0008830009000002300005) ; -RUNTEST 1 TCK; -SDR 82 TDI (00088b0280130000300003) TDO (0008850380030003300001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00088e0080000223200003) TDO (00088b0280130000300001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008910200030002200003) TDO (00088e0080000223200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008a302000300c1200043) TDO (0008910200030002200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008a40200010003300003) TDO (0008a302000300c1200041) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008ab0000020003300003) TDO (0008a40200010003300001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008ac0300030002100003) TDO (0008ab0000020003300001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008b00000000000100003) TDO (0008ac0300030002100001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008c000040000d0101003) TDO (0008b00000000000100001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008c40000000000100003) TDO (0008c000040000d0101001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008c80000040000100003) TDO (0008c40000000000100001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008cc4040004000100003) TDO (0008c80000040000100001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0008d00020000000100003) TDO (0008cc4040004000100001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009000302010201000003) TDO (0008d00020000000100001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009040006000000000003) TDO (0009000302010201000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000908000c080001000003) TDO (0009040006000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00090d1216038000000003) TDO (000908000c080001000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000912001400d001000003) TDO (00090d1216038000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009220000008002000203) TDO (000912001400d001000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009250102028e08800203) TDO (0009220000008002000201) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009280321110e00800003) TDO (0009250102028e08800201) ; -RUNTEST 1 TCK; -SDR 82 TDI (00092f0003000201200203) TDO (0009280321110e00800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009300010008000200003) TDO (00092f0003000201200201) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009400000000000000003) TDO (0009300010008000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009440040001000000003) TDO (0009400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009480050080000000003) TDO (0009440040001000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00094c00a0000c00000003) TDO (0009480050080000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009500080000000000003) TDO (00094c00a0000c00000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009830005080202000003) TDO (0009500080000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009850118038003000003) TDO (0009830005080202000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00098b0310439000000003) TDO (0009850118038003000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00098e0000001803000003) TDO (00098b0310439000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00099100a0020802000003) TDO (00098e0000001803000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009a30200130401000203) TDO (00099100a0020802000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009a50200010202000283) TDO (0009a30200130401000201) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009ab00000a0001000003) TDO (0009a50200010202000281) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009ac0210038002000203) TDO (0009ab00000a0001000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009b00000004000000003) TDO (0009ac0210038002000201) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009c000c0088000000003) TDO (0009b00000004000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009c40090008000000003) TDO (0009c000c0088000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009c800a0000800000003) TDO (0009c40090008000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009cc4000000000000003) TDO (0009c800a0000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0009d00000008000500003) TDO (0009cc4000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000a000302090000100003) TDO (0009d00000008000500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000a040000000000400003) TDO (000a000302090000100001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000a080000400001500003) TDO (000a040000000000400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000a0d0202030000000003) TDO (000a080000400001500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000a120000000000c00003) TDO (000a0d0202030000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000a200000100002000003) TDO (000a120000000000c00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000a240102020000200003) TDO (000a200000100002000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000a280100090000280003) TDO (000a240102020000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000a2f0002000001080003) TDO (000a280100090000280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000a300000000000000003) TDO (000a2f0002000001080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000a400000080000000003) TDO (000a300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000a440000000000000003) TDO (000a400000080000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000a480000000000000003) TDO (000a440000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000a4c0000000000080003) TDO (000a480000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000a500000000000080003) TDO (000a4c0000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000a830001000003400003) TDO (000a500000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000a850100090003100003) TDO (000a830001000003400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000a8b0300020000800003) TDO (000a850100090003100001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000a8e0000000003e00003) TDO (000a8b0300020000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000a910000020003200003) TDO (000a8e0000000003e00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000aa20000000001280003) TDO (000a910000020003200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000aa40200110002880003) TDO (000aa20000000001280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000aab0200020001800003) TDO (000aa40200110002880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000aac0200032002200003) TDO (000aab0200020001800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000ab00000000000200003) TDO (000aac0200032002200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000ac00000000000000003) TDO (000ab00000000000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000ac40000080000080003) TDO (000ac00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000ac80000000000080003) TDO (000ac40000080000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000acc4000000000000003) TDO (000ac80000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000ad00000000000000003) TDO (000acc4000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000b000104001001001103) TDO (000ad00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000b040006011a00000003) TDO (000b000104001001001101) ; -RUNTEST 1 TCK; -SDR 82 TDI (000b080004001e01000003) TDO (000b040006011a00000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000b0d0306021c00000003) TDO (000b080004001e01000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000b130003001c01000003) TDO (000b0d0306021c00000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000b210001010400001003) TDO (000b130003001c01000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000b270042000c00000003) TDO (000b210001010400001001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000b290240008c06000003) TDO (000b270042000c00000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000b2f0005009500020003) TDO (000b290240008c06000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000b300084009400000003) TDO (000b2f0005009500020001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000b400000001000000003) TDO (000b300084009400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000b440000001800000003) TDO (000b400000001000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000b480080009800000003) TDO (000b440000001800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000b4c00c0009800000003) TDO (000b480080009800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000b500000009000000003) TDO (000b4c00c0009800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000b800100010001000003) TDO (000b500000009000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000b840040000000000003) TDO (000b800100010001000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000b8a0000020001000003) TDO (000b840040000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000b8d0200260000000003) TDO (000b8a0000020001000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000b920000000000000003) TDO (000b8d0200260000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000ba00000000002000003) TDO (000b920000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000ba40082000000000003) TDO (000ba00000000002000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000ba90200010000000003) TDO (000ba40082000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000baf0000000001000003) TDO (000ba90200010000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000bb00000000000000003) TDO (000baf0000000001000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000bc00000000000000003) TDO (000bb00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000bc40000400000000003) TDO (000bc00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000bc80000000000000003) TDO (000bc40000400000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000bcc4000000000000003) TDO (000bc80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000bd00000800000000003) TDO (000bcc4000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000c001300010040000003) TDO (000bd00000800000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000c040100018440000003) TDO (000c001300010040000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000c080000008001000003) TDO (000c040100018440000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000c0d0200038800000003) TDO (000c080000008001000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000c130000028801000003) TDO (000c0d0200038800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000c200000000002000003) TDO (000c130000028801000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000c240002000800000003) TDO (000c200000000002000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000c280100011800000003) TDO (000c240002000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000c2f0002010001000003) TDO (000c280100011800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000c300000000000000003) TDO (000c2f0002010001000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000c400000400000000003) TDO (000c300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000c440000000000000003) TDO (000c400000400000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000c480000000000000003) TDO (000c440000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000c4c0000000000000003) TDO (000c480000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000c500000000000000003) TDO (000c4c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000c830001020422000003) TDO (000c500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000c850300010802000003) TDO (000c830001020422000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000c880100010800000003) TDO (000c850300010802000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000c8c0000000001000083) TDO (000c880100010800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000c910200030001000003) TDO (000c8c0000000001000081) ; -RUNTEST 1 TCK; -SDR 82 TDI (000ca30000020001000003) TDO (000c910200030001000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000ca40000001002000003) TDO (000ca30000020001000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000ca80002000002000003) TDO (000ca40000001002000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000cac0100010000000003) TDO (000ca80002000002000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000cb00000000400000003) TDO (000cac0100010000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000cc00000000000000003) TDO (000cb00000000400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000cc40008000000000003) TDO (000cc00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000cc80000000000000003) TDO (000cc40008000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000ccc0000001000000043) TDO (000cc80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000cd00000000000000003) TDO (000ccc0000001000000041) ; -RUNTEST 1 TCK; -SDR 82 TDI (000d000703010301c20303) TDO (000cd00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000d054703034300c20303) TDO (000d000703010301c20301) ; -RUNTEST 1 TCK; -SDR 82 TDI (000d0a0303030301c20303) TDO (000d054703034300c20301) ; -RUNTEST 1 TCK; -SDR 82 TDI (000d0d0303030300c20303) TDO (000d0a0303030301c20301) ; -RUNTEST 1 TCK; -SDR 82 TDI (000d130303030301c20303) TDO (000d0d0303030300c20301) ; -RUNTEST 1 TCK; -SDR 82 TDI (000d230103030306430303) TDO (000d130303030301c20301) ; -RUNTEST 1 TCK; -SDR 82 TDI (000d270103020304c30303) TDO (000d230103030306430301) ; -RUNTEST 1 TCK; -SDR 82 TDI (000d290303010302c30303) TDO (000d270103020304c30301) ; -RUNTEST 1 TCK; -SDR 82 TDI (000d2f0103010303430303) TDO (000d290303010302c30301) ; -RUNTEST 1 TCK; -SDR 82 TDI (000d304000000000400003) TDO (000d2f0103010303430301) ; -RUNTEST 1 TCK; -SDR 82 TDI (000d400000000000400003) TDO (000d304000000000400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000d440000000000400083) TDO (000d400000000000400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000d480000000000400003) TDO (000d440000000000400081) ; -RUNTEST 1 TCK; -SDR 82 TDI (000d4c0000000000400003) TDO (000d480000000000400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000d500000000000400003) TDO (000d4c0000000000400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000d802000000000000003) TDO (000d500000000000400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000d842000000000000003) TDO (000d802000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000d882c00000000000003) TDO (000d842000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000d8c2c00000000000003) TDO (000d882c00000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000d902c00000000000003) TDO (000d8c2c00000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000da09800000000000003) TDO (000d902c00000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000da49800000000000003) TDO (000da09800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000da89800000000000003) TDO (000da49800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000dac9c00000000000003) TDO (000da89800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000db09c00000000000003) TDO (000dac9c00000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000dc08400000000000083) TDO (000db09c00000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000dc48404000000000003) TDO (000dc08400000000000081) ; -RUNTEST 1 TCK; -SDR 82 TDI (000dc89404000000000003) TDO (000dc48404000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000dcc9400000000000003) TDO (000dc89404000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000dd09400000000000003) TDO (000dcc9400000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000e002000000040000003) TDO (000dd09400000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000e042018000040000003) TDO (000e002000000040000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000e08e018000000000003) TDO (000e042018000040000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000e0c6410000000000003) TDO (000e08e018000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000e106cb4000000000003) TDO (000e0c6410000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000e209800000000000003) TDO (000e106cb4000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000e24b800000000000003) TDO (000e209800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000e289820000000000003) TDO (000e24b800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000e2c9800000000000003) TDO (000e289820000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000e309800000000000003) TDO (000e2c9800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000e4044c0000000000003) TDO (000e309800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000e44e4d0000000000003) TDO (000e4044c0000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000e48ec50000000000003) TDO (000e44e4d0000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000e4cac00000000000003) TDO (000e48ec50000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000e50f480000000000003) TDO (000e4cac00000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000e804060000000c00003) TDO (000e50f480000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000e840000000000c00003) TDO (000e804060000000c00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000e880000000000c00003) TDO (000e840000000000c00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000e8c0000000000c00003) TDO (000e880000000000c00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000e900000000000c00003) TDO (000e8c0000000000c00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000ea00010000000400003) TDO (000e900000000000c00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000ea44000000000400003) TDO (000ea00010000000400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000ea80000000000400003) TDO (000ea44000000000400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000eac0004000000400003) TDO (000ea80000000000400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000eb00004000000400003) TDO (000eac0004000000400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000ec00000000008400003) TDO (000eb00004000000400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000ec40000004000400083) TDO (000ec00000000008400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000ec80000000000400003) TDO (000ec40000004000400081) ; -RUNTEST 1 TCK; -SDR 82 TDI (000ecc0000000000400003) TDO (000ec80000000000400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000ed00000000000400003) TDO (000ecc0000000000400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000f000000000000000003) TDO (000ed00000000000400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000f050300038300000003) TDO (000f000000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000f0a0200028000000003) TDO (000f050300038300000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000f0c0000008000000003) TDO (000f0a0200028000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000f110302038100000003) TDO (000f0c0000008000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000f230102038100000003) TDO (000f110302038100000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000f240000008000800003) TDO (000f230102038100000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000f290102000002800003) TDO (000f240000008000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000f2c0100010001200003) TDO (000f290102000002800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000f300000000000200003) TDO (000f2c0100010001200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000f400000008000000003) TDO (000f300000000000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000f440000008000000003) TDO (000f400000008000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000f480000000000000003) TDO (000f440000008000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000f4c0000000000000003) TDO (000f480000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000f500000000000000003) TDO (000f4c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000f800000008000000003) TDO (000f500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000f840000000880000003) TDO (000f800000008000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000f880000240800000003) TDO (000f840000000880000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000f8c0000000800000003) TDO (000f880000240800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000f900000800800000003) TDO (000f8c0000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000fa00000000000000003) TDO (000f900000800800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000fa40000000800000003) TDO (000fa00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000fa80000000800000003) TDO (000fa40000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000fac0000000000000003) TDO (000fa80000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000fb00000000000000003) TDO (000fac0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000fc00000000000000803) TDO (000fb00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000fc40000000000500003) TDO (000fc00000000000000801) ; -RUNTEST 1 TCK; -SDR 82 TDI (000fc80000001000000003) TDO (000fc40000000000500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000fcc0000800000000003) TDO (000fc80000001000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (000fd00000000000000003) TDO (000fcc0000800000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010000200200400000003) TDO (000fd00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010050300138200000003) TDO (0010000200200400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00100a0200128400000003) TDO (0010050300138200000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00100c2000008c00000003) TDO (00100a0200128400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010110300028c00000203) TDO (00100c2000008c00000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010210102038500000003) TDO (0010110300028c00000201) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010240000008c00000003) TDO (0010210102038500000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010290100009c82000003) TDO (0010240000008c00000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00102c0900218480000003) TDO (0010290100009c82000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010300000008000080003) TDO (00102c0900218480000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010400000808000000003) TDO (0010300000008000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010440000048000000003) TDO (0010400000808000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010480000048000000003) TDO (0010440000048000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00104ca000108000000003) TDO (0010480000048000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010500000008000000003) TDO (00104ca000108000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010800100810301700313) TDO (0010500000008000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010840000240c00d00203) TDO (0010800100810301700311) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010880100250b01f00203) TDO (0010840000240c00d00201) ; -RUNTEST 1 TCK; -SDR 82 TDI (00108d0300a70300e00303) TDO (0010880100250b01f00201) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010920002a50201e00103) TDO (00108d0300a70300e00301) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010a20000a01282292303) TDO (0010920002a50201e00101) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010a70100820380a80303) TDO (0010a20000a01282292301) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010a80200010300a80103) TDO (0010a70100820380a80301) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010af00000003032a0303) TDO (0010a80200010300a80101) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010b00000000000600003) TDO (0010af00000003032a0301) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010c00000340000000003) TDO (0010b00000000000600001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010c40000c00000080003) TDO (0010c00000340000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010c80000800000880003) TDO (0010c40000c00000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010cc0000801000080003) TDO (0010c80000800000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0010d00000800000880003) TDO (0010cc0000801000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011000003000000020003) TDO (0010d00000800000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011040403000100000103) TDO (0011000003000000020001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011080003000000020103) TDO (0011040403000100000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (00110c0003000000020003) TDO (0011080003000000020101) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011100401000100020003) TDO (00110c0003000000020001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011200001000000020003) TDO (0011100401000100020001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011240003000000030003) TDO (0011200001000000020001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011280003000080030203) TDO (0011240003000000030001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00112c0003000080010003) TDO (0011280003000080030201) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011300000000000000003) TDO (00112c0003000080010001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011408000000000000003) TDO (0011300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011440004000080000003) TDO (0011408000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011480004000000000003) TDO (0011440004000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00114c0000000000000003) TDO (0011480004000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011500000000000000003) TDO (00114c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011800400000000000003) TDO (0011500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011840002000000020003) TDO (0011800400000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011880002000000000103) TDO (0011840002000000020001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00118c0000000000020103) TDO (0011880002000000000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011900000010000000003) TDO (00118c0000000000020101) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011a00000200000000003) TDO (0011900000010000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011a40000000080000003) TDO (0011a00000200000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011a80002200000030003) TDO (0011a40000000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011ac0001200000010003) TDO (0011a80002200000030001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011b00400000000000003) TDO (0011ac0001200000010001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011c00000000400000003) TDO (0011b00400000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011c40000000000000003) TDO (0011c00000000400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011c80000000080000003) TDO (0011c40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011cc0000000080000003) TDO (0011c80000000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0011d00000000000000003) TDO (0011cc0000000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012000000000000000003) TDO (0011d00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012040000000000000003) TDO (0012000000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012080000000000000003) TDO (0012040000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00120c0000000000000003) TDO (0012080000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012100000000000000003) TDO (00120c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012200000000000000003) TDO (0012100000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012240000000040000003) TDO (0012200000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012280000000040000003) TDO (0012240000000040000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00122c0000000000000003) TDO (0012280000000040000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012300000000000000003) TDO (00122c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012400000000000000003) TDO (0012300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012440004000060000003) TDO (0012400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012480004000040000003) TDO (0012440004000060000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00124c0000000000000003) TDO (0012480004000040000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012500000000000000003) TDO (00124c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012800000000204000003) TDO (0012500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012840000000000000003) TDO (0012800000000204000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012880000000000000003) TDO (0012840000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00128c0000000000000003) TDO (0012880000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012900000000000000003) TDO (00128c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012a20000000000000203) TDO (0012900000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012a50000000200000203) TDO (0012a20000000000000201) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012a80000000000000003) TDO (0012a50000000200000201) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012ac0000000000000203) TDO (0012a80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012b00000000000000003) TDO (0012ac0000000000000201) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012c00000000004000003) TDO (0012b00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012c40000000000000003) TDO (0012c00000000004000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012c80000000000000003) TDO (0012c40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012cc0000000000000003) TDO (0012c80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0012d00000000000000003) TDO (0012cc0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013000000000040000003) TDO (0012d00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013040000000040000003) TDO (0013000000000040000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013080000000000000003) TDO (0013040000000040000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00130c0000000000000003) TDO (0013080000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013100000000000080003) TDO (00130c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013200000000000000003) TDO (0013100000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013240000000000000003) TDO (0013200000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013280000004000000003) TDO (0013240000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00132c0000000000000003) TDO (0013280000004000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013300000000000040003) TDO (00132c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013400000000000000003) TDO (0013300000000000040001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013440000002400000003) TDO (0013400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013480000000400000003) TDO (0013440000002400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00134c0000000400000003) TDO (0013480000000400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013500000000000000003) TDO (00134c0000000400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013800002000100f00003) TDO (0013500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013840001000000f00003) TDO (0013800002000100f00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013880100010101f40003) TDO (0013840001000000f00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00138c0201010300e00003) TDO (0013880100010101f40001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013900000000200e00103) TDO (00138c0201010300e00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013a00001000200280103) TDO (0013900000000200e00101) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013a40100020100e80003) TDO (0013a00001000200280101) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013a80201000200b80003) TDO (0013a40100020100e80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013ae00020002006a0003) TDO (0013a80201000200b80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013b00000000000700003) TDO (0013ae00020002006a0001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013c00000000000000003) TDO (0013b00000000000700001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013c40000000008880003) TDO (0013c00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013c80000000000880003) TDO (0013c40000000008880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013cc0000000000880003) TDO (0013c80000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0013d00004000000880003) TDO (0013cc0000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014000000000000000003) TDO (0013d00004000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014040000000000000003) TDO (0014000000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014080080000000000003) TDO (0014040000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00140c0040000000000003) TDO (0014080080000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014100000000000000003) TDO (00140c0040000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014200000000000000003) TDO (0014100000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014240000000000000003) TDO (0014200000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014280080000000000003) TDO (0014240000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00142c0000000000000003) TDO (0014280080000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014300000000000000003) TDO (00142c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014400000000000000003) TDO (0014300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014440004000000000003) TDO (0014400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014480004000000000003) TDO (0014440004000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00144c0000000000000003) TDO (0014480004000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014500000000000000003) TDO (00144c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014800000000000000003) TDO (0014500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014840000000800000003) TDO (0014800000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014880000000800000003) TDO (0014840000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00148c0000000800000003) TDO (0014880000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014900000000c00000003) TDO (00148c0000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014a00000000000000003) TDO (0014900000000c00000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014a40040000800000003) TDO (0014a00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014a80040008800000003) TDO (0014a40040000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014ac0000008400000003) TDO (0014a80040008800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014b00080008400000003) TDO (0014ac0000008400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014c00000001400000003) TDO (0014b00080008400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014c40000000800000003) TDO (0014c00000001400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014c80000001800000003) TDO (0014c40000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014cc0040001800000003) TDO (0014c80000001800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0014d00000001000000003) TDO (0014cc0040001800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015000000000000080003) TDO (0014d00000001000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015040000000100000003) TDO (0015000000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015080000000000000003) TDO (0015040000000100000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00150c0000000000000003) TDO (0015080000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015100002000000000003) TDO (00150c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015228000000008000003) TDO (0015100002000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015240000000000000003) TDO (0015228000000008000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015281000000000000003) TDO (0015240000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00152c0000200000000003) TDO (0015281000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015300000000000000003) TDO (00152c0000200000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015404000000000000003) TDO (0015300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015440000000000000003) TDO (0015404000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015480400002000000003) TDO (0015440000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00154c0000100000000003) TDO (0015480400002000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015500000000000000003) TDO (00154c0000100000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015800000000000f00003) TDO (0015500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015840000000000f00003) TDO (0015800000000000f00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015880000000000f00003) TDO (0015840000000000f00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00158c0000000000e00003) TDO (0015880000000000f00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015900000000000e00003) TDO (00158c0000000000e00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015a00400000000280003) TDO (0015900000000000e00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015a40020000000a80003) TDO (0015a00400000000280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015a80000000000a80003) TDO (0015a40020000000a80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015ac0000000000680003) TDO (0015a80000000000a80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015b00000000000700003) TDO (0015ac0000000000680001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015c00000000000000003) TDO (0015b00000000000700001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015c40000000000880003) TDO (0015c00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015c80000000000880003) TDO (0015c40000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015cc0000000000880003) TDO (0015c80000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0015d00000000000880003) TDO (0015cc0000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016000000000000100003) TDO (0015d00000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016050303030300400003) TDO (0016000000000000100001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00160a0202020000500003) TDO (0016050303030300400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00160c0000000000000003) TDO (00160a0202020000500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016110302030100c00003) TDO (00160c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016230102030100000003) TDO (0016110302030100c00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016240000000000200003) TDO (0016230102030100000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016290102000002280003) TDO (0016240000000000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00162c0101010001080003) TDO (0016290102000002280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016300000000000000003) TDO (00162c0101010001080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016400000000000000003) TDO (0016300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016440000000000000003) TDO (0016400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016480000000000000003) TDO (0016440000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00164c0000000000080003) TDO (0016480000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016500000000000080003) TDO (00164c0000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016830303030303420303) TDO (0016500000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016870303030303120303) TDO (0016830303030303420301) ; -RUNTEST 1 TCK; -SDR 82 TDI (00168b0303030301820303) TDO (0016870303030303120301) ; -RUNTEST 1 TCK; -SDR 82 TDI (00168f0303030303e20303) TDO (00168b0303030301820301) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016930303030303220303) TDO (00168f0303030303e20301) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016a303030303032b0303) TDO (0016930303030303220301) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016a703030303038b0303) TDO (0016a303030303032b0301) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016ab0303030303830303) TDO (0016a703030303038b0301) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016af0303030303210303) TDO (0016ab0303030303830301) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016b00000000000200003) TDO (0016af0303030303210301) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016c00000000000000003) TDO (0016b00000000000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016c40000000000080003) TDO (0016c00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016c80000004000080003) TDO (0016c40000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016cc0000000000000003) TDO (0016c80000004000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0016d00000000000000003) TDO (0016cc0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017030303030003588003) TDO (0016d00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017070303030103580003) TDO (0017030303030003588001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00170b0303070201d80003) TDO (0017070303030103580001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00170f0303070203e80003) TDO (00170b0303070201d80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017130303070303ec0003) TDO (00170f0303070203e80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017230303030303288003) TDO (0017130303070303ec0001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017260303030203280003) TDO (0017230303030303288001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00172b03030303032c0203) TDO (0017260303030203280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00172f03030303030c0203) TDO (00172b03030303032c0201) ; -RUNTEST 1 TCK; -SDR 82 TDI (00173000000000000c0003) TDO (00172f03030303030c0201) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017400000000000000003) TDO (00173000000000000c0001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017440000000400080003) TDO (0017400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017480000800008080003) TDO (0017440000000400080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00174c0000000000080003) TDO (0017480000800008080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00175000000000000c0003) TDO (00174c0000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017830303010003000003) TDO (00175000000000000c0001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017850303070103040003) TDO (0017830303010003000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00178b2303030001000003) TDO (0017850303070103040001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00178f0303030203000003) TDO (00178b2303030001000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017930201030203000003) TDO (00178f0303030203000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017a30201030303400003) TDO (0017930201030203000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017a60303030003900003) TDO (0017a30201030303400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017ab0b01034203800003) TDO (0017a60303030003900001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017af0303030203200003) TDO (0017ab0b01034203800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017b08000000000200003) TDO (0017af0303030203200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017c00000000000000003) TDO (0017b08000000000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017c40000800000000003) TDO (0017c00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017c82000000400000003) TDO (0017c40000800000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017cc0000800000000003) TDO (0017c82000000400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0017d00000800000000003) TDO (0017cc0000800000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0018030303010203900003) TDO (0017d00000800000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001805013e038003a00003) TDO (0018030303010203900001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00180b0310038001f00003) TDO (001805013e038003a00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00180f0202030803a00003) TDO (00180b0310038001f00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00181300a0025803a00003) TDO (00180f0202030803a00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0018230200030003000203) TDO (00181300a0025803a00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0018250302030602080203) TDO (0018230200030003000201) ; -RUNTEST 1 TCK; -SDR 82 TDI (00182b0301030601040003) TDO (0018250302030602080201) ; -RUNTEST 1 TCK; -SDR 82 TDI (00182f02030302030c0203) TDO (00182b0301030601040001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0018300010008000000003) TDO (00182f02030302030c0201) ; -RUNTEST 1 TCK; -SDR 82 TDI (00184000c000a000000003) TDO (0018300010008000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0018440090009400000003) TDO (00184000c000a000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0018480000000000080003) TDO (0018440090009400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00184c00a0000c00800003) TDO (0018480000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0018500000000000880003) TDO (00184c00a0000c00800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0018830307011043600003) TDO (0018500000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0018850100011043500003) TDO (0018830307011043600001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00188b0308021001040003) TDO (0018850100011043500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00188f0212039003440003) TDO (00188b0308021001040001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0018930014028003440003) TDO (00188f0212039003440001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0018a20000008403280003) TDO (0018930014028003440001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0018a40302038802e00003) TDO (0018a20000008403280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0018ab0330038801f80003) TDO (0018a40302038802e00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0018af0212038003700003) TDO (0018ab0330038801f80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0018b00000004000700003) TDO (0018af0212038003700001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0018c00000000000000003) TDO (0018b00000004000700001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0018c40060000808880003) TDO (0018c00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0018c800f0008c08800003) TDO (0018c40060000808880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0018cc0000008000080003) TDO (0018c800f0008c08800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0018d00080008000000003) TDO (0018cc0000008000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019004100000101000003) TDO (0018d00080008000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019054101010000000003) TDO (0019004100000101000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019094001000100000003) TDO (0019054101010000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00190c4000000000000303) TDO (0019094001000100000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019104101010101000003) TDO (00190c4000000000000301) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019200000000000000003) TDO (0019104101010101000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019244000000000000003) TDO (0019200000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019284000000000000003) TDO (0019244000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00192c4000000000000003) TDO (0019284000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019304000000000000003) TDO (00192c4000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019404000000000000003) TDO (0019304000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019446000000000000003) TDO (0019404000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019486000000000000003) TDO (0019446000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00194c2000000000000003) TDO (0019486000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019506000000000000003) TDO (00194c2000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019830000030302000003) TDO (0019506000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019860000020202000003) TDO (0019830000030302000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00198b0800030302020003) TDO (0019860000020202000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00198c0000000000000003) TDO (00198b0800030302020001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019900001000100020003) TDO (00198c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019a00000000000000003) TDO (0019900001000100020001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019a40000000000000003) TDO (0019a00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019a80000000000000003) TDO (0019a40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019ac0400000000000003) TDO (0019a80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019b00000000000000003) TDO (0019ac0400000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019c00000000000000003) TDO (0019b00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019c40000000000000003) TDO (0019c00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019c81000000000000003) TDO (0019c40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019cc0000000000000003) TDO (0019c81000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0019d00000000000000003) TDO (0019cc0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001a000300010001000003) TDO (0019d00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001a050202000100000103) TDO (001a000300010001000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001a090102010100000103) TDO (001a050202000100000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (001a0c0000000000000003) TDO (001a090102010100000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (001a100303010001000103) TDO (001a0c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001a202000000000000003) TDO (001a100303010001000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (001a242000000000800003) TDO (001a202000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001a280000000000800003) TDO (001a242000000000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001a2c0000000000200003) TDO (001a280000000000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001a300000000000200003) TDO (001a2c0000000000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001a400000000000000003) TDO (001a300000000000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001a440000000010000003) TDO (001a400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001a480000000080000003) TDO (001a440000000010000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001a4c0000000080000003) TDO (001a480000000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001a500000000000000003) TDO (001a4c0000000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001a820000000100000103) TDO (001a500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001a870203020100000103) TDO (001a820000000100000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (001a8a0203000100000103) TDO (001a870203020100000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (001a8c0000000000000003) TDO (001a8a0203000100000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (001a930103010101000103) TDO (001a8c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001aa00000000000000003) TDO (001a930103010101000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (001aa40000000000000003) TDO (001aa00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001aa80000000000000003) TDO (001aa40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001aac0000000000000003) TDO (001aa80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001ab00000000000000003) TDO (001aac0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001ac00000000080500003) TDO (001ab00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001ac40000000080000003) TDO (001ac00000000080500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001ac80000000000000003) TDO (001ac40000000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001acc0000000000000003) TDO (001ac80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001ad00000000000000003) TDO (001acc0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001b000100000303000103) TDO (001ad00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001b050001000000000003) TDO (001b000100000303000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (001b090301000202000003) TDO (001b050001000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001b0c0000000000000003) TDO (001b090301000202000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001b100001010203000003) TDO (001b0c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001b200000000000000003) TDO (001b100001010203000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001b240000000000000003) TDO (001b200000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001b280000000000000003) TDO (001b240000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001b2c0000000000000003) TDO (001b280000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001b300000000000000003) TDO (001b2c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001b4000000000000000c3) TDO (001b300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001b440000000000000003) TDO (001b4000000000000000c1) ; -RUNTEST 1 TCK; -SDR 82 TDI (001b480000000000000003) TDO (001b440000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001b4c0000000000000003) TDO (001b480000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001b500000000000000003) TDO (001b4c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001b800000000001000003) TDO (001b500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001b840003000000000003) TDO (001b800000000001000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001b880003000301000003) TDO (001b840003000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001b8c0000000000000003) TDO (001b880003000301000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001b900103010300000003) TDO (001b8c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001ba00000000000000003) TDO (001b900103010300000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001ba40000000000000003) TDO (001ba00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001ba80000000000000003) TDO (001ba40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001bac0000000000000003) TDO (001ba80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001bb00000000000000003) TDO (001bac0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001bc00000000000000003) TDO (001bb00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001bc40000000000000003) TDO (001bc00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001bc80000000000000003) TDO (001bc40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001bcc0000000000000003) TDO (001bc80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001bd00000000000000003) TDO (001bcc0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001c011100010200f00103) TDO (001bd00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001c050000000000f00103) TDO (001c011100010200f00101) ; -RUNTEST 1 TCK; -SDR 82 TDI (001c090101010201f00003) TDO (001c050000000000f00101) ; -RUNTEST 1 TCK; -SDR 82 TDI (001c0e0000020002e00003) TDO (001c090101010201f00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001c110101010300e00303) TDO (001c0e0000020002e00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001c200000000000a80003) TDO (001c110101010300e00301) ; -RUNTEST 1 TCK; -SDR 82 TDI (001c240000000000280003) TDO (001c200000000000a80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001c280000000000280003) TDO (001c240000000000280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001c2c0000000000480003) TDO (001c280000000000280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001c300000000000500003) TDO (001c2c0000000000480001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001c408800000000000003) TDO (001c300000000000500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001c440000000000880003) TDO (001c408800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001c480000000000880003) TDO (001c440000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001c4c00000000008c0003) TDO (001c480000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001c500000000000880003) TDO (001c4c00000000008c0001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001c820100030203002103) TDO (001c500000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001c842000020000010003) TDO (001c820100030203002101) ; -RUNTEST 1 TCK; -SDR 82 TDI (001c8a0101010203000103) TDO (001c842000020000010001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001c8c0000000000000003) TDO (001c8a0101010203000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (001c930001010201000103) TDO (001c8c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001ca00000000000000003) TDO (001c930001010201000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (001ca40800004000000003) TDO (001ca00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001ca80000000000000003) TDO (001ca40800004000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001cac0000000000000003) TDO (001ca80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001cb00400000000000003) TDO (001cac0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001cc00000000808000003) TDO (001cb00400000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001cc42000000008000003) TDO (001cc00000000808000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001cc80000000008040003) TDO (001cc42000000008000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001ccc0000000000000003) TDO (001cc80000000008040001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001cd00000000000000003) TDO (001ccc0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001d000040440201010003) TDO (001cd00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001d040050410000010003) TDO (001d000040440201010001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001d080051000200010003) TDO (001d040050410000010001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001d0c0150000100000103) TDO (001d080051000200010001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001d110051400201010003) TDO (001d0c0150000100000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (001d200000040000000003) TDO (001d110051400201010001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001d240004000000000003) TDO (001d200000040000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001d280000000000000003) TDO (001d240004000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001d2c0000100000000003) TDO (001d280000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001d300000000000000003) TDO (001d2c0000100000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001d400000000000000003) TDO (001d300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001d440020080000000003) TDO (001d400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001d480020080000000003) TDO (001d440020080000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001d4c0020000000000003) TDO (001d480020080000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001d500020000000000003) TDO (001d4c0020000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001d820110010003000003) TDO (001d500020000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001d8400000a0101000103) TDO (001d820110010003000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001d8a01010b0102000103) TDO (001d8400000a0101000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (001d8c0000400000000003) TDO (001d8a01010b0102000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (001d930301010303000103) TDO (001d8c0000400000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001da00084000000000003) TDO (001d930301010303000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (001da40040100000000003) TDO (001da00084000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001da80040140000000003) TDO (001da40040100000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001dac0000000000000003) TDO (001da80040140000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001db00000000000000003) TDO (001dac0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001dc00000000000000003) TDO (001db00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001dc40000000000000003) TDO (001dc00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001dc80000000000000003) TDO (001dc40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001dcc0040000000000003) TDO (001dc80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001dd00000000000000003) TDO (001dcc0040000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001e000000010002000003) TDO (001dd00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001e048002810000000003) TDO (001e000000010002000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001e088001800003020003) TDO (001e048002810000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001e0e0020000200000003) TDO (001e088001800003020001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001e110003000002020003) TDO (001e0e0020000200000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001e202000000000000003) TDO (001e110003000002020001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001e242000000000000003) TDO (001e202000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001e280000200000000003) TDO (001e242000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001e2c0000000000000003) TDO (001e280000200000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001e300000000000000003) TDO (001e2c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001e400000000000000003) TDO (001e300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001e440000000000000003) TDO (001e400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001e480000300000000003) TDO (001e440000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001e4c0000000000000003) TDO (001e480000300000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001e500000000000000003) TDO (001e4c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001e820100210202000003) TDO (001e500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001e840002340100010003) TDO (001e820100210202000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001e8a0103250302000003) TDO (001e840002340100010001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001e8c0000a40000000003) TDO (001e8a0103250302000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001e930103a50302000003) TDO (001e8c0000a40000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001ea00020000000000003) TDO (001e930103a50302000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001ea40000802000000003) TDO (001ea00020000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001ea80000000000000003) TDO (001ea40000802000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001eac0000200000000003) TDO (001ea80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001eb00000000000000003) TDO (001eac0000200000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001ec00000800000000003) TDO (001eb00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001ec40000c40000000003) TDO (001ec00000800000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001ec80000800000000003) TDO (001ec40000c40000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001ecc0000900000000003) TDO (001ec80000800000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001ed00000800000000003) TDO (001ecc0000900000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001f020100010102500003) TDO (001ed00000800000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001f040200030200500103) TDO (001f020100010102500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001f080000000102d00003) TDO (001f040200030200500101) ; -RUNTEST 1 TCK; -SDR 82 TDI (001f0d0000000000e00003) TDO (001f080000000102d00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001f120201030300e00103) TDO (001f0d0000000000e00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001f200000000000280003) TDO (001f120201030300e00101) ; -RUNTEST 1 TCK; -SDR 82 TDI (001f240000000000280003) TDO (001f200000000000280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001f280000000000280003) TDO (001f240000000000280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001f2c0000000000080003) TDO (001f280000000000280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001f300000000000000003) TDO (001f2c0000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001f400000000000000003) TDO (001f300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001f440000000040080003) TDO (001f400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001f480000000040080003) TDO (001f440000000040080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001f4c0000000000080003) TDO (001f480000000040080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001f500000000000080003) TDO (001f4c0000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001f800100000000000003) TDO (001f500000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001f850002010000000103) TDO (001f800100000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001f890302010302000303) TDO (001f850002010000000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (001f8c0000000000000003) TDO (001f890302010302000301) ; -RUNTEST 1 TCK; -SDR 82 TDI (001f910102030301000303) TDO (001f8c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001fa00000000000000003) TDO (001f910102030301000301) ; -RUNTEST 1 TCK; -SDR 82 TDI (001fa40000000000000003) TDO (001fa00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001fa80000000000000003) TDO (001fa40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001fac0000000000000003) TDO (001fa80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001fb00000000000000003) TDO (001fac0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001fc00008000040000003) TDO (001fb00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001fc40000000000000003) TDO (001fc00008000040000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001fc80000000000000003) TDO (001fc40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001fcc0000000000000003) TDO (001fc80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (001fd00000000000000003) TDO (001fcc0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020000200030001000003) TDO (001fd00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020070300030100000003) TDO (0020000200030001000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020090100000100000003) TDO (0020070300030100000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00200c0000000000000003) TDO (0020090100000100000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020120200020001000303) TDO (00200c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020200000000000000003) TDO (0020120200020001000301) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020240000000004000003) TDO (0020200000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020280000000004000003) TDO (0020240000000004000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00202c0000000000000003) TDO (0020280000000004000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020300000000000000003) TDO (00202c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020400000400000000003) TDO (0020300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020440000000000000003) TDO (0020400000400000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020480000000000000003) TDO (0020440000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00204c0000000000000003) TDO (0020480000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020500000000000000003) TDO (00204c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020800000020203000003) TDO (0020500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020840002010003010003) TDO (0020800000020203000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020880003020003010003) TDO (0020840002010003010001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00208c0000000000000003) TDO (0020880003020003010001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020900203030303010003) TDO (00208c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020a00000000000000003) TDO (0020900203030303010001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020a40000000000000003) TDO (0020a00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020a80000000000000003) TDO (0020a40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020ac0000000000000003) TDO (0020a80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020b00000000000000003) TDO (0020ac0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020c00000000000000003) TDO (0020b00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020c40000000000000003) TDO (0020c00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020c80000000000000003) TDO (0020c40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020cc0000000000000003) TDO (0020c80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0020d00000000000000003) TDO (0020cc0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021001002031302020103) TDO (0020d00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021040200038a02030103) TDO (0021001002031302020101) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021090002021703010003) TDO (0021040200038a02030101) ; -RUNTEST 1 TCK; -SDR 82 TDI (00210c0000008800000003) TDO (0021090002021703010001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021120203031302000103) TDO (00210c0000008800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021200000008000800003) TDO (0021120203031302000101) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021240000000c40000003) TDO (0021200000008000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021280000000040000003) TDO (0021240000000c40000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00212c0000001000000003) TDO (0021280000000040000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021300000000000000003) TDO (00212c0000001000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021400800008800000003) TDO (0021300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021440000000060000003) TDO (0021400800008800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021480800008040000003) TDO (0021440000000060000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00214c0800000000000003) TDO (0021480800008040000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021500000008000000003) TDO (00214c0800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021838200008806040003) TDO (0021500000008000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021870202000100000003) TDO (0021838200008806040001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021890502034102000003) TDO (0021870202000100000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00218c0800000000000003) TDO (0021890502034102000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021930303010300000203) TDO (00218c0800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021a04000000000140013) TDO (0021930303010300000201) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021a40000000000000003) TDO (0021a04000000000140011) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021a80000000000000003) TDO (0021a40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021ac0000000400000003) TDO (0021a80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021b00000000400000003) TDO (0021ac0000000400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021c02000000000080003) TDO (0021b00000000400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021c40000000000000003) TDO (0021c02000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021c80000000000000003) TDO (0021c40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021cc1000000000000003) TDO (0021c80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0021d00000001000000003) TDO (0021cc1000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022020100020302000003) TDO (0021d00000001000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022060200020002000003) TDO (0022020100020302000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00220a0b00020302080003) TDO (0022060200020002000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00220c0800000080000003) TDO (00220a0b00020302080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022120900020302000203) TDO (00220c0800000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022200800000000000003) TDO (0022120900020302000201) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022240800000000000003) TDO (0022200800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022280800000000000003) TDO (0022240800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00222c0800000000000003) TDO (0022280800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022300800000000000003) TDO (00222c0800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022400400000000000003) TDO (0022300800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022440400000000000003) TDO (0022400400000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022480400000000000003) TDO (0022440400000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00224c0400000000000003) TDO (0022480400000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022500400000000000003) TDO (00224c0400000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022804800020002f00003) TDO (0022500400000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022844900012101f00003) TDO (0022804800020002f00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022880002030103f00003) TDO (0022844900012101f00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00228c0000000000e00003) TDO (0022880002030103f00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022900002000000e00003) TDO (00228c0000000000e00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022a00000000000280003) TDO (0022900002000000e00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022a40000000010a80003) TDO (0022a00000000000280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022a80400000000a80003) TDO (0022a40000000010a80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022ac4000000000680003) TDO (0022a80400000000a80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022b00000000000700003) TDO (0022ac4000000000680001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022c01000000000000003) TDO (0022b00000000000700001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022c41000000000880003) TDO (0022c01000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022c80000000000880003) TDO (0022c41000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022cc0000000000880003) TDO (0022c80000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0022d00000000000880003) TDO (0022cc0000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023000100010000000003) TDO (0022d00000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023040200020002000003) TDO (0023000100010000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023080102030002000003) TDO (0023040200020002000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00230c0000000000000003) TDO (0023080102030002000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023120302010200000203) TDO (00230c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023200000000000000003) TDO (0023120302010200000201) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023240000000040000003) TDO (0023200000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023280000000040000003) TDO (0023240000000040000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00232c0000000000000003) TDO (0023280000000040000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023300000000000000003) TDO (00232c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023400000000000000003) TDO (0023300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023440000000060000003) TDO (0023400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023480000000040000003) TDO (0023440000000060000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00234c0000000000000003) TDO (0023480000000040000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023500000000000000003) TDO (00234c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002381030101010d000003) TDO (0023500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023840203000100000003) TDO (002381030101010d000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00238b0103010101000003) TDO (0023840203000100000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00238c0000000000000003) TDO (00238b0103010101000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023920201000100000003) TDO (00238c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023a00000000000000003) TDO (0023920201000100000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023a40000000000000003) TDO (0023a00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023a80000000000000003) TDO (0023a40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023ac0000000000000003) TDO (0023a80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023b00000000000000003) TDO (0023ac0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023c00000000004000003) TDO (0023b00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023c40000000000000003) TDO (0023c00000000004000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023c80000000000000003) TDO (0023c40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023cc0000000000000003) TDO (0023c80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0023d00000000000000003) TDO (0023cc0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0024020000020000600203) TDO (0023d00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0024040002008202500003) TDO (0024020000020000600201) ; -RUNTEST 1 TCK; -SDR 82 TDI (00240a0002038203000003) TDO (0024040002008202500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00240c4000008800400003) TDO (00240a0002038203000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002410080200ca02400003) TDO (00240c4000008800400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002420000000c000280003) TDO (002410080200ca02400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002424000000c840200003) TDO (002420000000c000280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0024280000004840280003) TDO (002424000000c840200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00242c0000004000440003) TDO (0024280000004840280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0024300000004000500003) TDO (00242c0000004000440001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002440000000a000000003) TDO (0024300000004000500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002444800400a060880003) TDO (002440000000a000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0024480004002440800003) TDO (002444800400a060880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00244c0800002400080003) TDO (0024480004002440800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0024501000002000000003) TDO (00244c0800002400080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002483020203011e900003) TDO (0024501000002000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0024840001000001a10003) TDO (002483020203011e900001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00248b0200030503f00003) TDO (0024840001000001a10001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00248c0000000400a00003) TDO (00248b0200030503f00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0024902002000400a40003) TDO (00248c0000000400a00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0024a00000000000000003) TDO (0024902002000400a40001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0024a40000000000080003) TDO (0024a00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0024a80000000000000003) TDO (0024a40000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0024ac0000001000080003) TDO (0024a80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0024b00800001000000003) TDO (0024ac0000001000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0024c00000001004000003) TDO (0024b00800001000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0024c40000000400000003) TDO (0024c00000001004000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0024c80800000000080003) TDO (0024c40000000400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0024cc0000000000800003) TDO (0024c80800000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0024d0a000000000880003) TDO (0024cc0000000000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025000202000080a00003) TDO (0024d0a000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025040300010301a40003) TDO (0025000202000080a00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025080102010301240003) TDO (0025040300010301a40001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00250c0000000000040003) TDO (0025080102010301240001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025100202000200000203) TDO (00250c0000000000040001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025200000000000400003) TDO (0025100202000200000201) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025240000000000500003) TDO (0025200000000000400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025280000000000500003) TDO (0025240000000000500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00252c0000000000500003) TDO (0025280000000000500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025300000000000500003) TDO (00252c0000000000500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025400800000000000003) TDO (0025300000000000500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025440000000000800003) TDO (0025400800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025480000000000800003) TDO (0025440000000000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00254c0000000000800003) TDO (0025480000000000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025500000000000800003) TDO (00254c0000000000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025810000000000000003) TDO (0025500000000000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025850000020000000003) TDO (0025810000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025890200000000000003) TDO (0025850000020000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00258c0000000000000003) TDO (0025890200000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025910000020000000003) TDO (00258c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025a00000400000004003) TDO (0025910000020000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025a40000000000000003) TDO (0025a00000400000004001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025a80000000000800003) TDO (0025a40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025ac0000000000000003) TDO (0025a80000000000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025b00000000000200003) TDO (0025ac0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025c00000000000000003) TDO (0025b00000000000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025c40000000000000003) TDO (0025c00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025c80000000000000003) TDO (0025c40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025cc0000000000000003) TDO (0025c80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0025d00000000000000003) TDO (0025cc0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026030000020301000003) TDO (0025d00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026040002000000000003) TDO (0026030000020301000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00260b0202020303000003) TDO (0026040002000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00260c0000000000000003) TDO (00260b0202020303000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026110002000301000003) TDO (00260c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026202000000000000003) TDO (0026110002000301000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026242000000000800003) TDO (0026202000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026280000000000800003) TDO (0026242000000000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00262c0000000000200003) TDO (0026280000000000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026300000000000200003) TDO (00262c0000000000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026400000000000000003) TDO (0026300000000000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026440000000010000003) TDO (0026400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026480000000000000003) TDO (0026440000000010000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00264c0000000000000003) TDO (0026480000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026500000000000000003) TDO (00264c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026800000000000000003) TDO (0026500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026840000000000000003) TDO (0026800000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026880000000000000003) TDO (0026840000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00268c0000000000000003) TDO (0026880000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026900000000000000003) TDO (00268c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026a00000000000000003) TDO (0026900000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026a40000000000000003) TDO (0026a00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026a80000040000000003) TDO (0026a40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026ac0000000000000003) TDO (0026a80000040000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026b00000000000000003) TDO (0026ac0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026c00000000000000003) TDO (0026b00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026c40000000000000003) TDO (0026c00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026c80000000000500003) TDO (0026c40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026cc0000000000000003) TDO (0026c80000000000500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0026d00000000000000003) TDO (0026cc0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027010101010101010103) TDO (0026d00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027050501010001000003) TDO (0027010101010101010101) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027080000000000000003) TDO (0027050501010001000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00270c0080000000040003) TDO (0027080000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027100040000000000003) TDO (00270c0080000000040001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027200000000000000003) TDO (0027100040000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027250101010141010103) TDO (0027200000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027280000000040400003) TDO (0027250101010141010101) ; -RUNTEST 1 TCK; -SDR 82 TDI (00272c0080000000100003) TDO (0027280000000040400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027300000000000000003) TDO (00272c0080000000100001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027400000000000000003) TDO (0027300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027440004000020000003) TDO (0027400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027480004000000000003) TDO (0027440004000020000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00274c0000000000000003) TDO (0027480004000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027500000000000000003) TDO (00274c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027800400000000000003) TDO (0027500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027840000000800000003) TDO (0027800400000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027880000000800000003) TDO (0027840000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00278c0000000800000003) TDO (0027880000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027900000000c00080003) TDO (00278c0000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027a00000000000000003) TDO (0027900000000c00080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027a40040000800000003) TDO (0027a00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027a80040008800000003) TDO (0027a40040000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027ac0000008400000003) TDO (0027a80040008800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027b00080008400000003) TDO (0027ac0000008400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027c00000001000000003) TDO (0027b00080008400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027c40000000800000003) TDO (0027c00000001000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027c80000001800000003) TDO (0027c40000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027cc0040001800000003) TDO (0027c80000001800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0027d00000001000000003) TDO (0027cc0040001800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028000000a01000000003) TDO (0027d00000001000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028040000801000000003) TDO (0028000000a01000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028080000801400000003) TDO (0028040000801000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00280c0000001400000003) TDO (0028080000801400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028100000001000000003) TDO (00280c0000001400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028200000000400000003) TDO (0028100000001000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028240000000440000003) TDO (0028200000000400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028280000000440000003) TDO (0028240000000440000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00282c0000001000000003) TDO (0028280000000440000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028300000001000000003) TDO (00282c0000001000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028400000a00000000003) TDO (0028300000001000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028440000041060000003) TDO (0028400000a00000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028480000248040000003) TDO (0028440000041060000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00284c0000008000000003) TDO (0028480000248040000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028500000008000000003) TDO (00284c0000008000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002880000010001c000003) TDO (0028500000008000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028840000240000100003) TDO (002880000010001c000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028880000240000100003) TDO (0028840000240000100001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00288c0000240000c00003) TDO (0028880000240000100001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028900000240000e00003) TDO (00288c0000240000c00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028a00008a0000000000b) TDO (0028900000240000e00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028a40000000000800003) TDO (0028a00008a00000000009) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028a80000200000a00003) TDO (0028a40000000000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028ac0000000000200003) TDO (0028a80000200000a00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028b00000000000200003) TDO (0028ac0000000000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028c0000014000400000b) TDO (0028b00000000000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028c40000800000080003) TDO (0028c00000140004000009) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028c80000900000080003) TDO (0028c40000800000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028cc0000800000080003) TDO (0028c80000900000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0028d00000800000080003) TDO (0028cc0000800000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00290010c4001000000003) TDO (0028d00000800000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00290400d4009400000003) TDO (00290010c4001000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00290800d4009400000003) TDO (00290400d4009400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00290c00d4009c00000003) TDO (00290800d4009400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00291000d4009c00000003) TDO (00290c00d4009c00000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0029200000009400000003) TDO (00291000d4009c00000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0029240080009c00000003) TDO (0029200000009400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0029280084008c00000003) TDO (0029240080009c00000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00292c0084009400000003) TDO (0029280084008c00000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0029300004009000000003) TDO (00292c0084009400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00294008c0009000000003) TDO (0029300004009000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00294400e0009800000003) TDO (00294008c0009000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00294808e0009800000003) TDO (00294400e0009800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00294c08a0008800000003) TDO (00294808e0009800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00295000a0009000000003) TDO (00294c08a0008800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0029800000000000000003) TDO (00295000a0009000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0029840000000800000003) TDO (0029800000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0029880000000800000003) TDO (0029840000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00298c0000000000000003) TDO (0029880000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0029900000000000000003) TDO (00298c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0029a00000000000000023) TDO (0029900000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0029a40044000000000003) TDO (0029a00000000000000021) ; -RUNTEST 1 TCK; -SDR 82 TDI (0029a80040001000000003) TDO (0029a40044000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0029ac0000000000000003) TDO (0029a80040001000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0029b00000000400000003) TDO (0029ac0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0029c00000000000000003) TDO (0029b00000000400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0029c40000000000000003) TDO (0029c00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0029c80000000000000003) TDO (0029c40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0029cc0040000000000003) TDO (0029c80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0029d00000000000000003) TDO (0029cc0040000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002a000004000000000003) TDO (0029d00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002a040004000000000003) TDO (002a000004000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002a080004000000000003) TDO (002a040004000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002a0c0004000000000003) TDO (002a080004000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002a100000000000000003) TDO (002a0c0004000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002a200000040000000003) TDO (002a100000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002a240000000000000003) TDO (002a200000040000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002a280000040000000003) TDO (002a240000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002a2c0004000000000003) TDO (002a280000040000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002a300004000000000003) TDO (002a2c0004000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002a400000000000000003) TDO (002a300004000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002a440000080000000003) TDO (002a400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002a480080080000000003) TDO (002a440000080000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002a4c0080000000500003) TDO (002a480080080000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002a500000000000000003) TDO (002a4c0080000000500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002a800080040000000003) TDO (002a500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002a840000000000000003) TDO (002a800080040000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002a880000000000000003) TDO (002a840000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002a8c0000000000000003) TDO (002a880000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002a900000400000000003) TDO (002a8c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002aa00000100020000003) TDO (002a900000400000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002aa40000000000800003) TDO (002aa00000100020000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002aa80080000000800003) TDO (002aa40000000000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002aac0080100000200003) TDO (002aa80080000000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002ab00000000000200003) TDO (002aac0080100000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002ac00000000400000003) TDO (002ab00000000000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002ac40000000000000003) TDO (002ac00000000400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002ac80000000000000003) TDO (002ac40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002acc0000000000000003) TDO (002ac80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002ad00000000000000003) TDO (002acc0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002b000000000000000003) TDO (002ad00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002b040010000000000003) TDO (002b000000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002b080010000000000003) TDO (002b040010000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002b0c0010000000000003) TDO (002b080010000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002b100014000000000003) TDO (002b0c0010000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002b200000000000000003) TDO (002b100014000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002b240000000000000003) TDO (002b200000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002b280000000000000003) TDO (002b240000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002b2c0000000000000003) TDO (002b280000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002b300000000000000003) TDO (002b2c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002b400080000000004003) TDO (002b300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002b440080000000000003) TDO (002b400080000000004001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002b480000000000000003) TDO (002b440080000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002b4c0000000000000003) TDO (002b480000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002b500080000000000003) TDO (002b4c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002b800000004000000003) TDO (002b500080000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002b840040000000000003) TDO (002b800000004000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002b880040000000000003) TDO (002b840040000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002b8c0040000000000003) TDO (002b880040000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002b900040000000000003) TDO (002b8c0040000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002ba00000000000000003) TDO (002b900040000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002ba40000000080000003) TDO (002ba00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002ba80000000080000003) TDO (002ba40000000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002bac0000000080000003) TDO (002ba80000000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002bb00000000000000003) TDO (002bac0000000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002bc00000100000040003) TDO (002bb00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002bc40800000080000003) TDO (002bc00000100000040001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002bc80000100080000003) TDO (002bc40800000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002bcc0000100080000003) TDO (002bc80000100080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002bd00000000000000003) TDO (002bcc0000100080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002c000000040000100003) TDO (002bd00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002c048000000000400003) TDO (002c000000040000100001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002c080000000000500003) TDO (002c048000000000400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002c0c0000000000000003) TDO (002c080000000000500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002c100000000000c00003) TDO (002c0c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002c202000040000000003) TDO (002c100000000000c00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002c240000000040200003) TDO (002c202000040000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002c280000000040280003) TDO (002c240000000040200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002c2c0000004000080003) TDO (002c280000000040280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002c300000000000080003) TDO (002c2c0000004000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002c400000000000000003) TDO (002c300000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002c440004000060000003) TDO (002c400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002c480004000040000003) TDO (002c440004000060000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002c4c0000000000080003) TDO (002c480004000040000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002c500000000000080003) TDO (002c4c0000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002c80002000001cc00003) TDO (002c500000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002c840020000000300003) TDO (002c80002000001cc00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002c880020000000800003) TDO (002c840020000000300001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002c8c0020000000e00003) TDO (002c880020000000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002c900000000000200003) TDO (002c8c0020000000e00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002ca0000000200028000b) TDO (002c900000000000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002ca40000000000880003) TDO (002ca00000002000280009) ; -RUNTEST 1 TCK; -SDR 82 TDI (002ca80000040000800003) TDO (002ca40000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002cac0000000000600003) TDO (002ca80000040000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002cb00000000000300003) TDO (002cac0000000000600001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002cc00004000004000003) TDO (002cb00000000000300001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002cc40000000000880003) TDO (002cc00004000004000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002cc80000000000080003) TDO (002cc40000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002ccc0000000000800003) TDO (002cc80000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002cd00000000000000003) TDO (002ccc0000000000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002d002000000000000003) TDO (002cd00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002d042800000000000003) TDO (002d002000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002d082804000000000003) TDO (002d042800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002d0c2804004000000003) TDO (002d082804000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002d102800000000000003) TDO (002d0c2804004000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002d201800000000000003) TDO (002d102800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002d241800000040040003) TDO (002d201800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002d281810000040000003) TDO (002d241800000040040001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002d2c1800000000000003) TDO (002d281810000040000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002d301800000000000003) TDO (002d2c1800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002d401400000000000003) TDO (002d301800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002d440420000000000003) TDO (002d401400000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002d481400000000000003) TDO (002d440420000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002d4c1400000000000003) TDO (002d481400000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002d501400000000000003) TDO (002d4c1400000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002d800800000000000003) TDO (002d501400000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002d840000000000000003) TDO (002d800800000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002d880028000000000003) TDO (002d840000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002d8c0010000000000003) TDO (002d880028000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002d900014000000000003) TDO (002d8c0010000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002da00000000040000083) TDO (002d900014000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002da44400000000000003) TDO (002da00000000040000081) ; -RUNTEST 1 TCK; -SDR 82 TDI (002da84020000000000003) TDO (002da44400000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002dac0000000000000003) TDO (002da84020000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002db04000000000000003) TDO (002dac0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002dc00010000000800003) TDO (002db04000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002dc40040000000000003) TDO (002dc00010000000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002dc80050000000000003) TDO (002dc40040000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002dcc0000000000000003) TDO (002dc80050000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002dd00080000000000003) TDO (002dcc0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002e000000000000000003) TDO (002dd00080000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002e040000000000000003) TDO (002e000000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002e080000000000000003) TDO (002e040000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002e0c0000000000000003) TDO (002e080000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002e100000000000000003) TDO (002e0c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002e200000000000000003) TDO (002e100000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002e240000000000000003) TDO (002e200000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002e280000000000000003) TDO (002e240000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002e2c0000000000000003) TDO (002e280000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002e300000000000000003) TDO (002e2c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002e400000000000000003) TDO (002e300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002e440000000000000003) TDO (002e400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002e480000000000000003) TDO (002e440000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002e4c0000000000000003) TDO (002e480000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002e500000000000000003) TDO (002e4c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002e800000000000500003) TDO (002e500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002e840000000000500003) TDO (002e800000000000500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002e880000240000d00003) TDO (002e840000000000500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002e8c0000000000e00003) TDO (002e880000240000d00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002e900000800000e00003) TDO (002e8c0000000000e00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002ea00000000000280003) TDO (002e900000800000e00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002ea40000000000a80003) TDO (002ea00000000000280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002ea80000002000a80003) TDO (002ea40000000000a80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002eac0000000000280003) TDO (002ea80000002000a80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002eb00000000000200003) TDO (002eac0000000000280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002ec00000000000000003) TDO (002eb00000000000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002ec40000000000080003) TDO (002ec00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002ec80000000000080003) TDO (002ec40000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002ecc0000800000080003) TDO (002ec80000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002ed00000000000080003) TDO (002ecc0000800000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002f008000001000000007) TDO (002ed00000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002f040000001000000003) TDO (002f008000001000000005) ; -RUNTEST 1 TCK; -SDR 82 TDI (002f080400000000040003) TDO (002f040000001000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002f0c0800000020040003) TDO (002f080400000000040001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002f100000000000000003) TDO (002f0c0800000020040001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002f2040000000c0000043) TDO (002f100000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002f240038000000400003) TDO (002f2040000000c0000041) ; -RUNTEST 1 TCK; -SDR 82 TDI (002f280000008000540003) TDO (002f240038000000400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002f2c0000000000100003) TDO (002f280000008000540001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002f300000000000000003) TDO (002f2c0000000000100001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002f4000080020d0000003) TDO (002f300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002f440000000c00000003) TDO (002f4000080020d0000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002f480000008400000003) TDO (002f440000000c00000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002f4c1004008400000003) TDO (002f480000008400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002f500000000000000003) TDO (002f4c1004008400000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002f800000000000d80003) TDO (002f500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002f840000000040f80003) TDO (002f800000000000d80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002f880000000000f80003) TDO (002f840000000040f80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002f8c0000248000e80003) TDO (002f880000000000f80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002f900000008000e40003) TDO (002f8c0000248000e80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002fa0002800c000280003) TDO (002f900000008000e40001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002fa4000000c800a80003) TDO (002fa0002800c000280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002fa80000000840a80003) TDO (002fa4000000c800a80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002fac0000004080280003) TDO (002fa80000000840a80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002fb000000040002c0003) TDO (002fac0000004080280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002fc00000000000000003) TDO (002fb000000040002c0001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002fc40018402000080003) TDO (002fc00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002fc80010002040080003) TDO (002fc40018402000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002fcc0000002080880003) TDO (002fc80010002040080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (002fd000048020008c0003) TDO (002fcc0000002080880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00300000c0000000000003) TDO (002fd000048020008c0001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00300408d0000000000003) TDO (00300000c0000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00300800d0000000000003) TDO (00300408d0000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00300c00d0000000000003) TDO (00300800d0000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00301000d0000000000003) TDO (00300c00d0000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030200084000000000003) TDO (00301000d0000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030240080000000000003) TDO (0030200084000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030280080000000000003) TDO (0030240080000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00302c0080000000000003) TDO (0030280080000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030300000000000000003) TDO (00302c0080000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030400040000000000003) TDO (0030300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030440060000000000003) TDO (0030400040000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030480060040000000003) TDO (0030440060000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00304c0020000000000003) TDO (0030480060040000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030500020000000000003) TDO (00304c0020000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030800810000000000003) TDO (0030500020000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030840000240000000003) TDO (0030800810000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030880000240000000003) TDO (0030840000240000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00308c0000a40000000003) TDO (0030880000240000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030900000a40000000003) TDO (00308c0000a40000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030a00000000000000003) TDO (0030900000a40000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030a40040800000000003) TDO (0030a00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030a80044000000000003) TDO (0030a40040800000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030ac0000000000000003) TDO (0030a80044000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030b00000000000000003) TDO (0030ac0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030c00000000000000003) TDO (0030b00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030c41000c00000000003) TDO (0030c00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030c80000800000000003) TDO (0030c41000c00000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030cc0000800000000003) TDO (0030c80000800000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0030d00000800000000003) TDO (0030cc0000800000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031000000000000800003) TDO (0030d00000800000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031040000000080a00003) TDO (0031000000000000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031080000000000200003) TDO (0031040000000080a00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00310c0000000000000003) TDO (0031080000000000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031100000000000000003) TDO (00310c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031200000000000000003) TDO (0031100000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031240000400000000003) TDO (0031200000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031288000000000000003) TDO (0031240000400000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00312c1000000000000003) TDO (0031288000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031300000000000000003) TDO (00312c1000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031400000000000800023) TDO (0031300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031440000000000040003) TDO (0031400000000000800021) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031484000000000000003) TDO (0031440000000000040001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00314c0400002000800003) TDO (0031484000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031500000000000800003) TDO (00314c0400002000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031800000000080000003) TDO (0031500000000000800001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031840000000000000003) TDO (0031800000000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031880000000000000003) TDO (0031840000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00318c0000000000000003) TDO (0031880000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031900000000000000003) TDO (00318c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031a00000000000000003) TDO (0031900000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031a40000000080000003) TDO (0031a00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031a80000000080000003) TDO (0031a40000000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031ac0000000080000003) TDO (0031a80000000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031b00000000000000003) TDO (0031ac0000000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031c00000000000000003) TDO (0031b00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031c40000000080000003) TDO (0031c00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031c80000000080000003) TDO (0031c40000000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031cc0000000080000003) TDO (0031c80000000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0031d00000000000000003) TDO (0031cc0000000080000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032002000000000000003) TDO (0031d00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032040000000000080003) TDO (0032002000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032080000000000000003) TDO (0032040000000000080001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00320c0000000000000003) TDO (0032080000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032100000000000000003) TDO (00320c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032200800004000000003) TDO (0032100000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032248000400000000003) TDO (0032200800004000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032280000000000000003) TDO (0032248000400000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00322c0000000000000003) TDO (0032280000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032300000000000000003) TDO (00322c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032400000000000000003) TDO (0032300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032444000000000000003) TDO (0032400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032480000000000000003) TDO (0032444000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00324c0000000000000003) TDO (0032480000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032500000000000000003) TDO (00324c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032800000000000f00003) TDO (0032500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032840000000000f00003) TDO (0032800000000000f00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032880000000000f00003) TDO (0032840000000000f00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00328c0000000000e00003) TDO (0032880000000000f00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032900000000000e00003) TDO (00328c0000000000e00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032a00010000000280003) TDO (0032900000000000e00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032a40010000000a80003) TDO (0032a00010000000280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032a80010000000a80003) TDO (0032a40010000000a80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032ac0010000000680003) TDO (0032a80010000000a80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032b00010000000700003) TDO (0032ac0010000000680001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032c00000000000000003) TDO (0032b00010000000700001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032c40000000000880003) TDO (0032c00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032c80000000000880003) TDO (0032c40000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032cc0000000000880003) TDO (0032c80000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0032d00000000000880003) TDO (0032cc0000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033000000000000000003) TDO (0032d00000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033040008000000000003) TDO (0033000000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033080008100000000003) TDO (0033040008000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00330c0000000000000003) TDO (0033080008100000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033100020000000000003) TDO (00330c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033200000000000000003) TDO (0033100020000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033240000240008000003) TDO (0033200000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033280020000000000003) TDO (0033240000240008000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00332c0000000000000003) TDO (0033280020000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033300000000000000003) TDO (00332c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033400000000000000003) TDO (0033300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033440000300000000003) TDO (0033400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033480000040000000003) TDO (0033440000300000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00334c0000000000000003) TDO (0033480000040000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033500000000000000003) TDO (00334c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033800000000000000003) TDO (0033500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033840000800000000003) TDO (0033800000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033880000000000000003) TDO (0033840000800000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00338c0000000000000003) TDO (0033880000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033900000000000000003) TDO (00338c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033a00000000000000003) TDO (0033900000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033a40000000000000003) TDO (0033a00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033a80000000000000003) TDO (0033a40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033ac0000000000000003) TDO (0033a80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033b00000000000000003) TDO (0033ac0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033c00000000000000013) TDO (0033b00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033c40000000000000003) TDO (0033c00000000000000011) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033c80000000000000003) TDO (0033c40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033cc0000000000000003) TDO (0033c80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0033d00000000000000003) TDO (0033cc0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034000000000000000003) TDO (0033d00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034040000000800000003) TDO (0034000000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034080000000800000003) TDO (0034040000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00340c0000000800000003) TDO (0034080000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034100000000800000003) TDO (00340c0000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034200000000000000003) TDO (0034100000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034240000000800000003) TDO (0034200000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034280000000800000003) TDO (0034240000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00342c0000000000000003) TDO (0034280000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034300000000000000003) TDO (00342c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034400000000000000003) TDO (0034300000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034440000000000000003) TDO (0034400000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034480000000000000003) TDO (0034440000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00344c0000000000000003) TDO (0034480000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034500000000000000003) TDO (00344c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034800000000800000003) TDO (0034500000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034840000000000000003) TDO (0034800000000800000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034880000000000000003) TDO (0034840000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00348c0000000000000003) TDO (0034880000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034900000000000000003) TDO (00348c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034a00000000000000003) TDO (0034900000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034a40000000000000003) TDO (0034a00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034a80000000000000003) TDO (0034a40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034ac0000000000000003) TDO (0034a80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034b00000000000000003) TDO (0034ac0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034c00000000000000003) TDO (0034b00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034c40000000000000003) TDO (0034c00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034c80000000000000003) TDO (0034c40000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034cc0004000000000003) TDO (0034c80000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0034d00000000000000003) TDO (0034cc0004000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035000000000000000003) TDO (0034d00000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035040000000000000003) TDO (0035000000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035080000040000000003) TDO (0035040000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00350c0000040000000003) TDO (0035080000040000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035100000040000000003) TDO (00350c0000040000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035200000000000000003) TDO (0035100000040000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035240000000000000003) TDO (0035200000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035280000000000000003) TDO (0035240000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00352c8000000000000003) TDO (0035280000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035301000000000000003) TDO (00352c8000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035400000000020000003) TDO (0035301000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035440000000000000003) TDO (0035400000000020000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035480000800000000003) TDO (0035440000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00354c0000000000000003) TDO (0035480000800000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035504400002000000003) TDO (00354c0000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035800000000000500003) TDO (0035504400002000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035840000040000400003) TDO (0035800000000000500001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035880000000000c00003) TDO (0035840000040000400001) ; -RUNTEST 1 TCK; -SDR 82 TDI (00358c0000000000200003) TDO (0035880000000000c00001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035900000000000000003) TDO (00358c0000000000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035a00000000000280003) TDO (0035900000000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035a40000000000a80003) TDO (0035a00000000000280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035a80000000000880003) TDO (0035a40000000000a80001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035ac0000000000280003) TDO (0035a80000000000880001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035b00000000000200003) TDO (0035ac0000000000280001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035c00000804000000003) TDO (0035b00000000000200001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035c40010800000000003) TDO (0035c00000804000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035c80010000000000003) TDO (0035c40010800000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035cc0000800000000003) TDO (0035c80010000000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035d00000800000000003) TDO (0035cc0000800000000001) ; -RUNTEST 1 TCK; -SDR 82 TDI (0035d00000800000000003) TDO (0035d00000800000000001) ; -//Loading device with 'ispen' instruction. -SIR 8 TDI (e8) ; -SDR 6 TDI (05) SMASK (3f) ; -//Loading device with 'bypass' instruction. -SIR 8 TDI (ff) ; -TIR 0 ; -HIR 0 ; -HDR 0 ; -TDR 0 ; -// Loading devices with 'conld' or 'bypass' instruction. -SIR 8 TDI (f0) ; -RUNTEST 100 TCK; -TIR 0 ; -HIR 0 ; -HDR 0 ; -TDR 0 ; -TIR 0 ; -HIR 0 ; -TDR 0 ; -HDR 0 ; -SIR 8 TDI (ff) ; -SDR 1 TDI (00) SMASK (01) ; diff --git a/cpld/XC95144XL/MXSE.tim b/cpld/XC95144XL/MXSE.tim deleted file mode 100644 index e69de29..0000000 diff --git a/cpld/XC95144XL/MXSE.vm6 b/cpld/XC95144XL/MXSE.vm6 deleted file mode 100644 index 25e775d..0000000 --- a/cpld/XC95144XL/MXSE.vm6 +++ /dev/null @@ -1,8524 +0,0 @@ -NDS Database: version P.20131013 - -NDS_INFO | xc9500xl | 95144XL100 | XC95144XL-10-TQ100 - -DEVICE | 95144XL | 95144XL100 | - -NETWORK | MXSE | 0 | 0 | 16391 - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<9> | 10013 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_9_IBUF | 9811 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<8> | 10014 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_8_IBUF | 9812 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<15> | 10015 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_15_IBUF | 9813 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<14> | 10016 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<13> | 10017 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<12> | 10018 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_12_IBUF | 9816 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<11> | 10019 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_11_IBUF | 9817 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<10> | 10020 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_10_IBUF | 9818 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<23> | 10021 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<22> | 10022 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<21> | 10023 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<20> | 10024 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<19> | 10025 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<18> | 10026 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<17> | 10027 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<16> | 10028 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | CLK2X_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK2X_IOB | 10029 | PI | 426 | 0 | MXSE_COPY_0_COPY_0 | CLK2X_IOB;CLK2X_IOB;CLK2X_IOB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | CLK2X_IOB_IBUF/FCLK- | 9828 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV - -MACROCELL_INSTANCE | PrldLow+Tff+PinTrst+OptxMapped | nVMA_IOBout | MXSE_COPY_0_COPY_0 | 2155893760 | 11 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 9830 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9883 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9884 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9885 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9887 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9888 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9899 | ? | 504 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9919 | ? | 504 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9920 | ? | 504 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9972 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVMA_IOBout$Q | 9829 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nVMA_IOBout | 9830 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nVMA_IOBout$OE | 9831 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE - -SIGNAL_INSTANCE | nVMA_IOBout.SI | nVMA_IOBout | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 9830 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9883 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9884 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9885 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9887 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9888 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9899 | ? | 504 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9919 | ? | 504 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9920 | ? | 504 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9972 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVMA_IOBout.D1 | 10053 | ? | 504 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVMA_IOBout.D2 | 10054 | ? | 504 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> -SPPTERM | 9 | IV_TRUE | nVMA_IOBout | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | IOACT | IV_TRUE | iobm/VPArf | IV_TRUE | iobm/VPArr -OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nVMA_IOBout.TRST | 10056 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | iobm/BG - -SRFF_INSTANCE | nVMA_IOBout.REG | nVMA_IOBout | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVMA_IOBout.D | 10052 | ? | 504 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVMA_IOBout.Q | 10057 | ? | 524 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -BUF_INSTANCE | nVMA_IOBout.BUFOE | nVMA_IOBout | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nVMA_IOBout.TRST | 10056 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | iobm/BG -OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nVMA_IOBout.BUFOE.OUT | 10055 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT - -MACROCELL_INSTANCE | PrldLow+PinTrst+OptxMapped+Ce | nAS_IOBout | MXSE_COPY_0_COPY_0 | 2424325120 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9972 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK- | 9828 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAS_IOBout$Q | 9832 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nAS_IOBout | 9833 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nAS_IOBout$OE | 9834 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE - -SIGNAL_INSTANCE | nAS_IOBout.SI | nAS_IOBout | 0 | 4 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9972 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAS_IOBout.D1 | 10059 | ? | 506 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAS_IOBout.D2 | 10060 | ? | 506 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 -OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nAS_IOBout.TRST | 10062 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | iobm/BG - -SRFF_INSTANCE | nAS_IOBout.REG | nAS_IOBout | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAS_IOBout.D | 10058 | ? | 506 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK- | 9828 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAS_IOBout.Q | 10063 | ? | 536 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -BUF_INSTANCE | nAS_IOBout.BUFOE | nAS_IOBout | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nAS_IOBout.TRST | 10062 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | iobm/BG -OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nAS_IOBout.BUFOE.OUT | 10061 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT - -MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nLDS_IOBout | MXSE_COPY_0_COPY_0 | 2424325376 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9850 | ? | 508 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9846 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9972 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK- | 9828 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nLDS_IOBout | 9835 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nLDS_IOBout$OE | 9836 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE - -SIGNAL_INSTANCE | nLDS_IOBout.SI | nLDS_IOBout | 0 | 6 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9850 | ? | 508 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9846 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9972 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nLDS_IOBout.D1 | 10065 | ? | 508 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nLDS_IOBout.D2 | 10066 | ? | 508 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | IOL0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | IOL0 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 4 | IV_FALSE | IORW0 | IV_TRUE | IOL0 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 -OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nLDS_IOBout.TRST | 10068 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | iobm/BG - -SRFF_INSTANCE | nLDS_IOBout.REG | nLDS_IOBout | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nLDS_IOBout.D | 10064 | ? | 508 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK- | 9828 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nLDS_IOBout.Q | 10069 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -BUF_INSTANCE | nLDS_IOBout.BUFOE | nLDS_IOBout | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nLDS_IOBout.TRST | 10068 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | iobm/BG -OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nLDS_IOBout.BUFOE.OUT | 10067 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT - -MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nUDS_IOBout | MXSE_COPY_0_COPY_0 | 2424325376 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9851 | ? | 509 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9846 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9972 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK- | 9828 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nUDS_IOBout | 9837 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nUDS_IOBout$OE | 9838 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE - -SIGNAL_INSTANCE | nUDS_IOBout.SI | nUDS_IOBout | 0 | 6 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9851 | ? | 509 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9846 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9972 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nUDS_IOBout.D1 | 10071 | ? | 509 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nUDS_IOBout.D2 | 10072 | ? | 509 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | IOU0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | IOU0 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 4 | IV_FALSE | IORW0 | IV_TRUE | IOU0 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 -OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nUDS_IOBout.TRST | 10074 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | iobm/BG - -SRFF_INSTANCE | nUDS_IOBout.REG | nUDS_IOBout | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nUDS_IOBout.D | 10070 | ? | 509 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK- | 9828 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nUDS_IOBout.Q | 10075 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -BUF_INSTANCE | nUDS_IOBout.BUFOE | nUDS_IOBout | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nUDS_IOBout.TRST | 10074 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | iobm/BG -OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nUDS_IOBout.BUFOE.OUT | 10073 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT - -INPUT_INSTANCE | 0 | 0 | NULL | CLK_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK_FSB | 10030 | PI | 427 | 0 | MXSE_COPY_0_COPY_0 | CLK_FSB;CLK_FSB;CLK_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | CLK_FSB_IBUF/FCLK- | 9840 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV - -INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nAS_FSB | 10036 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/Once | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/Once.D1 | 10077 | ? | 437 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/Once.D2 | 10078 | ? | 437 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | ram/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/Once.REG | ram/Once | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/Once.D | 10076 | ? | 437 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/Once.Q | 10079 | ? | 499 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/RefDone | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9910 | ? | 439 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9894 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9895 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9896 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefDone.SI | cnt/RefDone | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9910 | ? | 439 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9894 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9895 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9896 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefDone.D1 | 10081 | ? | 439 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefDone.D2 | 10082 | ? | 439 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | cnt/RefDone | IV_FALSE | RefAck -SPPTERM | 8 | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> - -SRFF_INSTANCE | cnt/RefDone.REG | cnt/RefDone | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefDone.D | 10080 | ? | 439 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefDone.Q | 10083 | ? | 499 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nWE_FSB | 10031 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | SW_1_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | SW<1> | 10037 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Once | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP35_.EXP | 10645 | ? | 440 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Park.EXP | 10646 | ? | 440 | 0 | MXSE_COPY_0_COPY_0 | NULL | Park.EXP | Park | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP35_.EXP | 10645 | ? | 440 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Park.EXP | 10646 | ? | 440 | 0 | MXSE_COPY_0_COPY_0 | NULL | Park.EXP | Park | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Once.D1 | 10085 | ? | 440 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Once.D2 | 10086 | ? | 440 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP35_.EXP -SPPTERM | 1 | IV_TRUE | Park.EXP -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | ALE1 - -SRFF_INSTANCE | iobs/Once.REG | iobs/Once | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Once.D | 10084 | ? | 440 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Once.Q | 10087 | ? | 493 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/nOverlay1 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9849 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cs/nOverlay1.SI | cs/nOverlay1 | 0 | 3 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9849 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay1.D1 | 10089 | ? | 441 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay1.D2 | 10090 | ? | 441 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cs/nOverlay0 -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cs/nOverlay1.CE | 10091 | ? | 442 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | cs/nOverlay1.REG | cs/nOverlay1 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay1.D | 10088 | ? | 441 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cs/nOverlay1.CE | 10091 | ? | 442 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay1.Q | 10092 | ? | 499 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | BERR_IOBS | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9845 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9892 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9900 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | BERR_IOBS | 9845 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | BERR_IOBS.SI | BERR_IOBS | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9845 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9892 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9900 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | BERR_IOBS.D1 | 10094 | ? | 443 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | BERR_IOBS.D2 | 10095 | ? | 443 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | BERR_IOBS | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | BERR_IOBS.REG | BERR_IOBS | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | BERR_IOBS.D | 10093 | ? | 443 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | BERR_IOBS.Q | 10096 | ? | 467 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | IORW0 | MXSE_COPY_0_COPY_0 | 2155877632 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9846 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP31_.EXP | 10635 | ? | 444 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP36_.EXP | 10647 | ? | 444 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IORW0 | 9846 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IORW0.SI | IORW0 | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9846 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP31_.EXP | 10635 | ? | 444 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP36_.EXP | 10647 | ? | 444 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IORW0.D1 | 10098 | ? | 444 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IORW0.D2 | 10099 | ? | 444 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP31_.EXP -SPPTERM | 1 | IV_TRUE | EXP36_.EXP -SPPTERM | 3 | IV_FALSE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ALE1 - -SRFF_INSTANCE | IORW0.REG | IORW0 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IORW0.D | 10097 | ? | 444 | 0 | IORW0 | NULL | NULL | IORW0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IORW0.Q | 10100 | ? | 535 | 0 | IORW0 | NULL | NULL | IORW0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | nRES_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nRES | 10032 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nRES_IBUF | 9848 | ? | 533 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay0 | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9849 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9848 | ? | 533 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay0 | 9849 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cs/nOverlay0.SI | cs/nOverlay0 | 0 | 8 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9849 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9848 | ? | 533 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay0.D1 | 10102 | ? | 445 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay0.D2 | 10103 | ? | 445 | 6144 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | cs/nOverlay0.RSTF | 10104 | ? | 446 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 6 | 9 | MC_SI_RSTF -SPPTERM | 1 | IV_FALSE | nRES_IBUF - -SRFF_INSTANCE | cs/nOverlay0.REG | cs/nOverlay0 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay0.D | 10101 | ? | 445 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | cs/nOverlay0.RSTF | 10104 | ? | 446 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 6 | 9 | MC_SI_RSTF -SPPTERM | 1 | IV_FALSE | nRES_IBUF -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay0.Q | 10105 | ? | 445 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nLDS_FSB | 10033 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nLDS_FSB_IBUF | 9861 | ? | 460 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | IOL0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9862 | ? | 447 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9861 | ? | 460 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOL0 | 9850 | ? | 508 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9862 | ? | 447 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9861 | ? | 460 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOL0.D1 | 10107 | ? | 447 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOL0.D2 | 10108 | ? | 447 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 2 | IV_TRUE | iobs/IOL1 | IV_FALSE | ALE1 -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | IOL0.CE | 10109 | ? | 448 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 - -SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOL0.D | 10106 | ? | 447 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | IOL0.CE | 10109 | ? | 448 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOL0.Q | 10110 | ? | 508 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nUDS_FSB | 10034 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nUDS_FSB_IBUF | 9865 | ? | 464 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | IOU0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9866 | ? | 449 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9865 | ? | 464 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOU0 | 9851 | ? | 509 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9866 | ? | 449 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9865 | ? | 464 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOU0.D1 | 10112 | ? | 449 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOU0.D2 | 10113 | ? | 449 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 2 | IV_TRUE | iobs/IOU1 | IV_FALSE | ALE1 -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | IOU0.CE | 10114 | ? | 450 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 - -SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOU0.D | 10111 | ? | 449 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | IOU0.CE | 10114 | ? | 450 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOU0.Q | 10115 | ? | 509 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutA | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9852 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9894 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9895 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9896 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | TimeoutA | 9852 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | TimeoutA.SI | TimeoutA | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9852 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9894 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9895 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9896 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | TimeoutA.D1 | 10117 | ? | 451 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | TimeoutA.D2 | 10118 | ? | 451 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | TimeoutA | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 9 | IV_FALSE | TimeoutA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> -SPPTERM | 9 | IV_FALSE | TimeoutA | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | TimeoutA.REG | TimeoutA | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | TimeoutA.D | 10116 | ? | 451 | 0 | TimeoutA | NULL | NULL | TimeoutA.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | TimeoutA.Q | 10119 | ? | 467 | 0 | TimeoutA | NULL | NULL | TimeoutA.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutB | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9853 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre | 9854 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9894 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9895 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9896 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9817 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9936 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | TimeoutB | 9853 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | TimeoutB.EXP | 10613 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.EXP | TimeoutB | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | TimeoutB.SI | TimeoutB | 0 | 15 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9853 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre | 9854 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9894 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9895 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9896 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9817 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9936 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | TimeoutB.D1 | 10121 | ? | 452 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | TimeoutB.D2 | 10122 | ? | 452 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | TimeoutB | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 11 | IV_FALSE | TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> -SPPTERM | 11 | IV_FALSE | TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | TimeoutB.EXP | 10603 | ? | 0 | 0 | TimeoutB | NULL | NULL | TimeoutB.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF - -SRFF_INSTANCE | TimeoutB.REG | TimeoutB | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | TimeoutB.D | 10120 | ? | 452 | 0 | TimeoutB | NULL | NULL | TimeoutB.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | TimeoutB.Q | 10123 | ? | 467 | 0 | TimeoutB | NULL | NULL | TimeoutB.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/TimeoutBPre | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre | 9854 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9894 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9895 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9896 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/TimeoutBPre | 9854 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/TimeoutBPre.SI | cnt/TimeoutBPre | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre | 9854 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9894 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9895 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9896 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/TimeoutBPre.D1 | 10125 | ? | 453 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/TimeoutBPre.D2 | 10126 | ? | 453 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/TimeoutBPre | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> -SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | cnt/TimeoutBPre.REG | cnt/TimeoutBPre | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/TimeoutBPre.D | 10124 | ? | 453 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/TimeoutBPre.Q | 10127 | ? | 453 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR0r | MXSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9855 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9853 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/BERR0r | 9855 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/BERR0r.SI | fsb/BERR0r | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9855 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9853 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/BERR0r.D1 | 10129 | ? | 454 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/BERR0r.D2 | 10130 | ? | 454 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/BERR0r - -SRFF_INSTANCE | fsb/BERR0r.REG | fsb/BERR0r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/BERR0r.D | 10128 | ? | 454 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/BERR0r.Q | 10131 | ? | 467 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR1r | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9845 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9856 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/BERR1r | 9856 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/BERR1r.SI | fsb/BERR1r | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9845 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9856 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/BERR1r.D1 | 10133 | ? | 455 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/BERR1r.D2 | 10134 | ? | 455 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | fsb/BERR1r.REG | fsb/BERR1r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/BERR1r.D | 10132 | ? | 455 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/BERR1r.Q | 10135 | ? | 467 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | MXSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9857 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9934 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready0r | 9857 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9857 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9934 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready0r.D1 | 10137 | ? | 456 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready0r.D2 | 10138 | ? | 456 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady - -SRFF_INSTANCE | fsb/Ready0r.REG | fsb/Ready0r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready0r.D | 10136 | ? | 456 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready0r.Q | 10139 | ? | 467 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9858 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9864 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 10569 | ? | 457 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready1r | 9858 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | fsb/Ready1r.EXP | 10556 | ? | 462 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 11 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9858 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9864 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 10569 | ? | 457 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready1r.D1 | 10141 | ? | 457 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready1r.D2 | 10142 | ? | 457 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | SW_1_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | fsb/Ready1r.EXP | 10542 | ? | 462 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 - -SRFF_INSTANCE | fsb/Ready1r.REG | fsb/Ready1r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready1r.D | 10140 | ? | 457 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready1r.Q | 10143 | ? | 467 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready2r | MXSE_COPY_0_COPY_0 | 2155873536 | 21 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9811 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9813 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9816 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9817 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9818 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9852 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9859 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 10560 | ? | 458 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready2r | 9859 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | fsb/Ready2r.EXP | 10559 | ? | 462 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.EXP | fsb/Ready2r | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | fsb/Ready2r.SI | fsb/Ready2r | 0 | 20 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9811 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9813 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9816 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9817 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9818 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9852 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9859 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 10560 | ? | 458 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready2r.D1 | 10145 | ? | 458 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready2r.D2 | 10146 | ? | 458 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP16_.EXP -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | fsb/Ready2r.EXP | 10545 | ? | 462 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF - -SRFF_INSTANCE | fsb/Ready2r.REG | fsb/Ready2r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready2r.D | 10144 | ? | 458 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready2r.Q | 10147 | ? | 467 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/VPA | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9856 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9860 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$708.UIM | 10011 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$708.Q | $OpTx$FX_DC$708 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Disable | 9968 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9855 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$182_INV$783.UIM | 10012 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$182_INV$783.Q | $OpTx$$OpTx$FX_DC$182_INV$783 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9845 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 10566 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 10567 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/VPA | 9860 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9856 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9860 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$708.UIM | 10011 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$708.Q | $OpTx$FX_DC$708 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Disable | 9968 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9855 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$182_INV$783.UIM | 10012 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$182_INV$783.Q | $OpTx$$OpTx$FX_DC$182_INV$783 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9845 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 10566 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 10567 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/VPA.D1 | 10149 | ? | 459 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/VPA.D2 | 10150 | ? | 459 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP22_.EXP -SPPTERM | 1 | IV_TRUE | EXP23_.EXP -SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$708.UIM -SPPTERM | 3 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$708.UIM -SPPTERM | 3 | IV_TRUE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$708.UIM -SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_FALSE | Disable | IV_FALSE | $OpTx$FX_DC$708.UIM -SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$708.UIM | IV_TRUE | $OpTx$$OpTx$FX_DC$182_INV$783.UIM - -SRFF_INSTANCE | fsb/VPA.REG | fsb/VPA | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/VPA.D | 10148 | ? | 459 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/VPA.Q | 10151 | ? | 459 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9861 | ? | 460 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9897 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL1 | 9862 | ? | 447 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9861 | ? | 460 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9897 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL1.D1 | 10153 | ? | 460 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL1.D2 | 10154 | ? | 460 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL1.CE | 10155 | ? | 461 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | iobs/Load1 - -SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL1.D | 10152 | ? | 460 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL1.CE | 10155 | ? | 461 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | iobs/Load1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL1.Q | 10156 | ? | 447 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | MXSE_COPY_0_COPY_0 | 2155877632 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9863 | ? | 462 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 10557 | ? | 462 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 10558 | ? | 462 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW1 | 9863 | ? | 462 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9863 | ? | 462 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 10557 | ? | 462 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 10558 | ? | 462 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW1.D1 | 10158 | ? | 462 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW1.D2 | 10159 | ? | 462 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Once -SPPTERM | 1 | IV_FALSE | ALE1 -SPPTERM | 1 | IV_TRUE | EXP14_.EXP -SPPTERM | 1 | IV_TRUE | EXP15_.EXP -SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/IORW1 - -SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW1.D | 10157 | ? | 462 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW1.Q | 10160 | ? | 462 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9864 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9892 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9900 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOReady | 9864 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9864 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9892 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9900 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOReady.D1 | 10162 | ? | 463 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOReady.D2 | 10163 | ? | 463 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobs/IOReady | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | iobs/IOReady.REG | iobs/IOReady | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOReady.D | 10161 | ? | 463 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOReady.Q | 10164 | ? | 467 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9865 | ? | 464 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9897 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU1 | 9866 | ? | 449 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9865 | ? | 464 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9897 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU1.D1 | 10166 | ? | 464 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU1.D2 | 10167 | ? | 464 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU1.CE | 10168 | ? | 465 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | iobs/Load1 - -SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU1.D | 10165 | ? | 464 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU1.CE | 10168 | ? | 465 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | iobs/Load1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU1.Q | 10169 | ? | 449 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RAMDIS2 | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9867 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nCAS_OBUF.EXP | 10585 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMDIS2 | 9867 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RAMDIS2.SI | ram/RAMDIS2 | 0 | 15 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9867 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nCAS_OBUF.EXP | 10585 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMDIS2.D1 | 10171 | ? | 466 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMDIS2.D2 | 10172 | ? | 466 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nCAS_OBUF.EXP -SPPTERM | 3 | IV_TRUE | ram/RAMDIS2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RAMDIS2.REG | ram/RAMDIS2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMDIS2.D | 10170 | ? | 466 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMDIS2.Q | 10173 | ? | 466 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | CLK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK_IOB | 10035 | PI | 428 | 0 | MXSE_COPY_0_COPY_0 | CLK_IOB;CLK_IOB;CLK_IOB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | CLK_IOB_IBUF | 9868 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | CLK_IOB_IBUF/FCLK- | 9869 | ? | 525 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV - -INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBERR_IOB | 10038 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBERR_IOB_IBUF | 9872 | ? | 530 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9856 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9874 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Disable | 9968 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9855 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$182_INV$783.UIM | 10012 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$182_INV$783.Q | $OpTx$$OpTx$FX_DC$182_INV$783 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9845 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 10562 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 10563 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDTACK_FSB_OBUF | 9873 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nDTACK_FSB_OBUF.UIM | 9874 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9856 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9874 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Disable | 9968 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9855 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$182_INV$783.UIM | 10012 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$182_INV$783.Q | $OpTx$$OpTx$FX_DC$182_INV$783 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9845 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 10562 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 10563 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 10175 | ? | 467 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 10176 | ? | 467 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP18_.EXP -SPPTERM | 1 | IV_TRUE | EXP19_.EXP -SPPTERM | 2 | IV_TRUE | BERR_IOBS | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 2 | IV_TRUE | fsb/BERR0r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 2 | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 2 | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | Disable -SPPTERM | 2 | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_TRUE | $OpTx$$OpTx$FX_DC$182_INV$783.UIM - -SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDTACK_FSB_OBUF.D | 10174 | ? | 467 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDTACK_FSB_OBUF.Q | 10177 | ? | 467 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | MXSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 10583 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP27_.EXP | 10595 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 10583 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP27_.EXP | 10595 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEL.D1 | 10179 | ? | 468 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEL.D2 | 10180 | ? | 468 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP -SPPTERM | 1 | IV_TRUE | EXP27_.EXP -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEL.D | 10178 | ? | 468 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEL.Q | 10181 | ? | 500 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155877632 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP29_.EXP | 10619 | ? | 469 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd2.EXP | 10620 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP29_.EXP | 10619 | ? | 469 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 10183 | ? | 469 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 10184 | ? | 469 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP29_.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_TRUE | ram/BACTr -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr | IV_FALSE | cnt/RefCnt<7> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd2.EXP | 10610 | ? | 499 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | cnt/RefDone | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd2.D | 10182 | ? | 469 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd2.Q | 10185 | ? | 499 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 10187 | ? | 470 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 10188 | ? | 470 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd1.D | 10186 | ? | 470 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd1.Q | 10189 | ? | 499 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155877632 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK25EN_OBUF.EXP | 10616 | ? | 471 | 0 | MXSE_COPY_0_COPY_0 | NULL | CLK25EN_OBUF.EXP | CLK25EN_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK20EN_OBUF$BUF0.EXP | 10617 | ? | 471 | 0 | MXSE_COPY_0_COPY_0 | NULL | CLK20EN_OBUF$BUF0.EXP | CLK20EN_OBUF$BUF0 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK25EN_OBUF.EXP | 10616 | ? | 471 | 0 | MXSE_COPY_0_COPY_0 | NULL | CLK25EN_OBUF.EXP | CLK25EN_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK20EN_OBUF$BUF0.EXP | 10617 | ? | 471 | 0 | MXSE_COPY_0_COPY_0 | NULL | CLK20EN_OBUF$BUF0.EXP | CLK20EN_OBUF$BUF0 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 10191 | ? | 471 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 10192 | ? | 471 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | CLK25EN_OBUF.EXP -SPPTERM | 1 | IV_TRUE | CLK20EN_OBUF$BUF0.EXP -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | ram/Once | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> -SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> -SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> - -SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd3.D | 10190 | ? | 471 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd3.Q | 10193 | ? | 499 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9868 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9906 | ? | 528 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9972 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9868 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9906 | ? | 528 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9972 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 10195 | ? | 510 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 10196 | ? | 510 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 -SPPTERM | 5 | IV_FALSE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOREQr | IV_FALSE | iobm/BG - -SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd3.D | 10194 | ? | 510 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd3.Q | 10197 | ? | 535 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 10199 | ? | 511 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 10200 | ? | 511 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 -SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 - -SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd1.D | 10198 | ? | 511 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd1.Q | 10201 | ? | 534 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/IOS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9868 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9916 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9927 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9928 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9914 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9915 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9930 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9931 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9868 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9916 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9927 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9928 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9914 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9915 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9930 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9931 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 10203 | ? | 512 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 10204 | ? | 512 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 -SPPTERM | 5 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/ETACK -SPPTERM | 6 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 6 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 6 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr - -SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd2.D | 10202 | ? | 512 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd2.Q | 10205 | ? | 535 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/PS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9892 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP32_.EXP | 10637 | ? | 472 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 10638 | ? | 472 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9892 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP32_.EXP | 10637 | ? | 472 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 10638 | ? | 472 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 10207 | ? | 472 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 10208 | ? | 472 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP32_.EXP -SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP -SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/IOACTr -SPPTERM | 4 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 - -SRFF_INSTANCE | iobs/PS_FSM_FFd2.REG | iobs/PS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd2.D | 10206 | ? | 472 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd2.Q | 10209 | ? | 497 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobm/ES<0> | MXSE_COPY_0_COPY_0 | 2155877632 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9883 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9905 | ? | 525 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9917 | ? | 517 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9884 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9885 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9887 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9888 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<0> | 9883 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9883 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9905 | ? | 525 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9917 | ? | 517 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9884 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9885 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9887 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9888 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<0>.D1 | 10211 | ? | 513 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<0>.D2 | 10212 | ? | 513 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 -SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/Er -SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_FALSE | iobm/Er2 - -SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<0>.D | 10210 | ? | 513 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<0>.Q | 10213 | ? | 524 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<1> | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9883 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9884 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9905 | ? | 525 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9917 | ? | 517 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<1> | 9884 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9883 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9884 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9905 | ? | 525 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9917 | ? | 517 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<1>.D1 | 10215 | ? | 514 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<1>.D2 | 10216 | ? | 514 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> -SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> -SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 - -SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<1>.D | 10214 | ? | 514 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<1>.Q | 10217 | ? | 524 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<2> | MXSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9883 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9885 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9884 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9905 | ? | 525 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9917 | ? | 517 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9887 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9888 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<2> | 9885 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9883 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9885 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9884 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9905 | ? | 525 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9917 | ? | 517 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9887 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9888 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<2>.D1 | 10219 | ? | 515 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<2>.D2 | 10220 | ? | 515 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> -SPPTERM | 2 | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> -SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 -SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> -SPPTERM | 3 | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> - -SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<2>.D | 10218 | ? | 515 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<2>.Q | 10221 | ? | 524 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9892 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9892 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 10223 | ? | 473 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 10224 | ? | 473 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr - -SRFF_INSTANCE | iobs/PS_FSM_FFd1.REG | iobs/PS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd1.D | 10222 | ? | 473 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd1.Q | 10225 | ? | 497 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9887 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9905 | ? | 525 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9917 | ? | 517 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9883 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9884 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9885 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<3> | 9887 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9887 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9905 | ? | 525 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9917 | ? | 517 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9883 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9884 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9885 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<3>.D1 | 10227 | ? | 516 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<3>.D2 | 10228 | ? | 516 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 -SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/Er -SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/Er2 - -SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<3>.D | 10226 | ? | 516 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<3>.Q | 10229 | ? | 524 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9888 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9905 | ? | 525 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9917 | ? | 517 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9883 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9884 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9885 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9887 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<4> | 9888 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<4>.SI | iobm/ES<4> | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9888 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9905 | ? | 525 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9917 | ? | 517 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9883 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9884 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9885 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9887 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<4>.D1 | 10231 | ? | 517 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<4>.D2 | 10232 | ? | 517 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobm/ES<4> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 -SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_TRUE | iobm/Er -SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er2 -SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> - -SRFF_INSTANCE | iobm/ES<4>.REG | iobm/ES<4> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<4>.D | 10230 | ? | 517 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<4>.Q | 10233 | ? | 524 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<0> | MXSE_COPY_0_COPY_0 | 2155877376 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<0>.SI | cnt/RefCnt<0> | 0 | 0 | 2 -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<0>.D1 | 10235 | ? | 474 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<0>.D2 | 10236 | ? | 474 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_DC - -SRFF_INSTANCE | cnt/RefCnt<0>.REG | cnt/RefCnt<0> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<0>.D | 10234 | ? | 474 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<0>.Q | 10237 | ? | 486 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<5> | MXSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9894 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9895 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9896 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<5>.SI | cnt/RefCnt<5> | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9894 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9895 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9896 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<5>.D1 | 10239 | ? | 475 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<5>.D2 | 10240 | ? | 475 | 4096 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> - -SRFF_INSTANCE | cnt/RefCnt<5>.REG | cnt/RefCnt<5> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<5>.D | 10238 | ? | 475 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<5>.Q | 10241 | ? | 499 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<6> | MXSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9894 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9895 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9896 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<6>.SI | cnt/RefCnt<6> | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9894 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9895 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9896 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<6>.D1 | 10243 | ? | 476 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<6>.D2 | 10244 | ? | 476 | 4096 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> - -SRFF_INSTANCE | cnt/RefCnt<6>.REG | cnt/RefCnt<6> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<6>.D | 10242 | ? | 476 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<6>.Q | 10245 | ? | 499 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9899 | ? | 504 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOACTr | 9892 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9899 | ? | 504 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOACTr.D1 | 10247 | ? | 477 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOACTr.D2 | 10248 | ? | 477 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IOACT - -SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOACTr.D | 10246 | ? | 477 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOACTr.Q | 10249 | ? | 493 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<1> | MXSE_COPY_0_COPY_0 | 2155877376 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<1>.SI | cnt/RefCnt<1> | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<1>.D1 | 10251 | ? | 478 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<1>.D2 | 10252 | ? | 478 | 4096 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/RefCnt<0> - -SRFF_INSTANCE | cnt/RefCnt<1>.REG | cnt/RefCnt<1> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<1>.D | 10250 | ? | 478 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<1>.Q | 10253 | ? | 486 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<2> | MXSE_COPY_0_COPY_0 | 2155877376 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<2> | 9894 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<2>.SI | cnt/RefCnt<2> | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<2>.D1 | 10255 | ? | 479 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<2>.D2 | 10256 | ? | 479 | 4096 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> - -SRFF_INSTANCE | cnt/RefCnt<2>.REG | cnt/RefCnt<2> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<2>.D | 10254 | ? | 479 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<2>.Q | 10257 | ? | 486 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9894 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<3> | 9895 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<3>.SI | cnt/RefCnt<3> | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9894 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<3>.D1 | 10259 | ? | 480 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<3>.D2 | 10260 | ? | 480 | 4096 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> - -SRFF_INSTANCE | cnt/RefCnt<3>.REG | cnt/RefCnt<3> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<3>.D | 10258 | ? | 480 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<3>.Q | 10261 | ? | 486 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9894 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9895 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<4> | 9896 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<4>.SI | cnt/RefCnt<4> | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9894 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9895 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<4>.D1 | 10263 | ? | 481 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<4>.D2 | 10264 | ? | 481 | 4096 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> - -SRFF_INSTANCE | cnt/RefCnt<4>.REG | cnt/RefCnt<4> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<4>.D | 10262 | ? | 481 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<4>.Q | 10265 | ? | 486 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 10591 | ? | 482 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 10592 | ? | 482 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Load1 | 9897 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 10591 | ? | 482 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 10592 | ? | 482 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Load1.D1 | 10267 | ? | 482 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Load1.D2 | 10268 | ? | 482 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Once -SPPTERM | 1 | IV_FALSE | ALE1 -SPPTERM | 1 | IV_TRUE | RA_5_OBUF.EXP -SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 - -SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Load1.D | 10266 | ? | 482 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Load1.Q | 10269 | ? | 503 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | MXSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/BACTr.D1 | 10271 | ? | 483 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/BACTr.D2 | 10272 | ? | 483 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/BACTr.D | 10270 | ? | 483 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/BACTr.Q | 10273 | ? | 499 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOACT | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9906 | ? | 528 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9972 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9868 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9916 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9927 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9928 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nADoutLE0_OBUF.EXP | 10600 | ? | 518 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinLE_OBUF.EXP | 10601 | ? | 518 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOACT | 9899 | ? | 504 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9906 | ? | 528 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9972 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9868 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9916 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9927 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9928 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nADoutLE0_OBUF.EXP | 10600 | ? | 518 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinLE_OBUF.EXP | 10601 | ? | 518 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOACT.D1 | 10275 | ? | 518 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOACT.D2 | 10276 | ? | 518 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nADoutLE0_OBUF.EXP -SPPTERM | 1 | IV_TRUE | nDinLE_OBUF.EXP -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/BG -SPPTERM | 4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/ETACK -SPPTERM | 5 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr - -SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOACT.D | 10274 | ? | 518 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOACT.Q | 10277 | ? | 504 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IOBERR | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9900 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9868 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9872 | ? | 530 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9916 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9927 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9928 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9914 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9915 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK.EXP | 10599 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOBERR | 9900 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9900 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9868 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9872 | ? | 530 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9916 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9927 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9928 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9914 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9915 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK.EXP | 10599 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOBERR.D1 | 10279 | ? | 519 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOBERR.D2 | 10280 | ? | 519 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/ETACK.EXP -SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | IOBERR -SPPTERM | 7 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | IOBERR | IV_TRUE | iobm/ETACK -SPPTERM | 7 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | IOBERR | IV_TRUE | iobm/ETACK -SPPTERM | 8 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | IOBERR | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 8 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | IOBERR | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr - -SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOBERR.D | 10278 | ? | 519 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOBERR.Q | 10281 | ? | 519 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | RESr0 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9848 | ? | 533 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RESr0 | 9901 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | RESr0.SI | RESr0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9848 | ? | 533 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RESr0.D1 | 10283 | ? | 484 | 4096 | RESr0 | NULL | NULL | RESr0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RESr0.D2 | 10284 | ? | 484 | 4096 | RESr0 | NULL | NULL | RESr0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nRES_IBUF - -SRFF_INSTANCE | RESr0.REG | RESr0 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RESr0.D | 10282 | ? | 484 | 0 | RESr0 | NULL | NULL | RESr0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RESr0.Q | 10285 | ? | 502 | 0 | RESr0 | NULL | NULL | RESr0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | RESr1 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr0 | 9901 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RESr1 | 9902 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | RESr1.SI | RESr1 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr0 | 9901 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RESr1.D1 | 10287 | ? | 485 | 4096 | RESr1 | NULL | NULL | RESr1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RESr1.D2 | 10288 | ? | 485 | 4096 | RESr1 | NULL | NULL | RESr1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RESr0 - -SRFF_INSTANCE | RESr1.REG | RESr1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RESr1.D | 10286 | ? | 485 | 0 | RESr1 | NULL | NULL | RESr1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RESr1.Q | 10289 | ? | 502 | 0 | RESr1 | NULL | NULL | RESr1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<7> | MXSE_COPY_0_COPY_0 | 2155877376 | 14 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9894 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9895 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9896 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/RefCnt<7>.EXP | 10584 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cnt/RefCnt<7>.SI | cnt/RefCnt<7> | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9889 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9893 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9894 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9895 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9896 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<7>.D1 | 10291 | ? | 486 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<7>.D2 | 10292 | ? | 486 | 4096 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/RefCnt<7>.EXP | 10571 | ? | 0 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | SW_1_IBUF -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | SW_1_IBUF -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay1 - -SRFF_INSTANCE | cnt/RefCnt<7>.REG | cnt/RefCnt<7> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<7>.D | 10290 | ? | 486 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<7>.Q | 10293 | ? | 499 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | E_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | E_IOB | 10039 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | E_IOB_IBUF | 9904 | ? | 435 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IOB_IBUF | 9904 | ? | 435 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF/FCLK- | 9869 | ? | 525 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er | 9905 | ? | 525 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IOB_IBUF | 9904 | ? | 435 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er.D1 | 10295 | ? | 435 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er.D2 | 10296 | ? | 435 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | E_IOB_IBUF - -SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er.D | 10294 | ? | 435 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_IOB_IBUF/FCLK- | 9869 | ? | 525 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er.Q | 10297 | ? | 525 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/IOREQr | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ | 9924 | ? | 520 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK- | 9828 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOREQr | 9906 | ? | 528 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOREQr.SI | iobm/IOREQr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ | 9924 | ? | 520 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOREQr.D1 | 10299 | ? | 520 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOREQr.D2 | 10300 | ? | 520 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IOREQ - -SRFF_INSTANCE | iobm/IOREQr.REG | iobm/IOREQr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOREQr.D | 10298 | ? | 520 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK- | 9828 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOREQr.Q | 10301 | ? | 528 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -INPUT_INSTANCE | 0 | 0 | NULL | nIPL2_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nIPL2 | 10040 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nIPL2_IBUF | 9907 | ? | 487 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | IPL2r0 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 9907 | ? | 487 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IPL2r0 | 9908 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | IPL2r0.Q | IPL2r0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IPL2r0.SI | IPL2r0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 9907 | ? | 487 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IPL2r0.D1 | 10303 | ? | 487 | 4096 | IPL2r0 | NULL | NULL | IPL2r0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IPL2r0.D2 | 10304 | ? | 487 | 4096 | IPL2r0 | NULL | NULL | IPL2r0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nIPL2_IBUF - -SRFF_INSTANCE | IPL2r0.REG | IPL2r0 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IPL2r0.D | 10302 | ? | 487 | 0 | IPL2r0 | NULL | NULL | IPL2r0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IPL2r0.Q | 10305 | ? | 502 | 0 | IPL2r0 | NULL | NULL | IPL2r0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | RESr2 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr1 | 9902 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RESr2 | 9909 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESr2.Q | RESr2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | RESr2.SI | RESr2 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr1 | 9902 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RESr2.D1 | 10307 | ? | 488 | 4096 | RESr2 | NULL | NULL | RESr2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RESr2.D2 | 10308 | ? | 488 | 4096 | RESr2 | NULL | NULL | RESr2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RESr1 - -SRFF_INSTANCE | RESr2.REG | RESr2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RESr2.D | 10306 | ? | 488 | 0 | RESr2 | NULL | NULL | RESr2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RESr2.Q | 10309 | ? | 502 | 0 | RESr2 | NULL | NULL | RESr2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | RefAck | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefAck | 9910 | ? | 439 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | RefAck.SI | RefAck | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefAck.D1 | 10311 | ? | 489 | 4096 | RefAck | NULL | NULL | RefAck.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefAck.D2 | 10312 | ? | 489 | 4096 | RefAck | NULL | NULL | RefAck.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 - -SRFF_INSTANCE | RefAck.REG | RefAck | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefAck.D | 10310 | ? | 489 | 0 | RefAck | NULL | NULL | RefAck.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefAck.Q | 10313 | ? | 439 | 0 | RefAck | NULL | NULL | RefAck.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | nBG_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBG_IOB | 10041 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBG_IOB_IBUF | 9911 | ? | 521 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBG_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BGr0 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBG_IOB_IBUF | 9911 | ? | 521 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBG_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BGr0 | 9912 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BGr0.Q | iobm/BGr0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/BGr0.SI | iobm/BGr0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBG_IOB_IBUF | 9911 | ? | 521 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBG_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BGr0.D1 | 10315 | ? | 521 | 4096 | iobm/BGr0 | NULL | NULL | iobm/BGr0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BGr0.D2 | 10316 | ? | 521 | 4096 | iobm/BGr0 | NULL | NULL | iobm/BGr0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nBG_IOB_IBUF - -SRFF_INSTANCE | iobm/BGr0.REG | iobm/BGr0 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BGr0.D | 10314 | ? | 521 | 0 | iobm/BGr0 | NULL | NULL | iobm/BGr0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BGr0.Q | 10317 | ? | 536 | 0 | iobm/BGr0 | NULL | NULL | iobm/BGr0.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nDTACK_IOB | 10042 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nDTACK_IOB_IBUF | 9913 | ? | 523 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/DTACKrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9913 | ? | 523 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK- | 9828 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrf | 9914 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/DTACKrf.SI | iobm/DTACKrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9913 | ? | 523 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrf.D1 | 10319 | ? | 522 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrf.D2 | 10320 | ? | 522 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF - -SRFF_INSTANCE | iobm/DTACKrf.REG | iobm/DTACKrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrf.D | 10318 | ? | 522 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK- | 9828 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrf.Q | 10321 | ? | 519 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DTACKrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9913 | ? | 523 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrr | 9915 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/DTACKrr.SI | iobm/DTACKrr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9913 | ? | 523 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrr.D1 | 10323 | ? | 523 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrr.D2 | 10324 | ? | 523 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF - -SRFF_INSTANCE | iobm/DTACKrr.REG | iobm/DTACKrr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrr.D | 10322 | ? | 523 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrr.Q | 10325 | ? | 519 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | MXSE_COPY_0_COPY_0 | 2155873280 | 19 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 9830 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9883 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9884 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9885 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9887 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9888 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9868 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9872 | ? | 530 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9900 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9930 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9931 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9914 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9915 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9927 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9928 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ETACK | 9916 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/ETACK.EXP | 10599 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 18 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 9830 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9883 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9884 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9885 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9887 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9888 | ? | 524 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9868 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9872 | ? | 530 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9900 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9930 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9931 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9914 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9915 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9927 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9928 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ETACK.D1 | 10327 | ? | 524 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ETACK.D2 | 10328 | ? | 524 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/ETACK.EXP | 10596 | ? | 519 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr -SPPTERM | 8 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | IOBERR | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 8 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | IOBERR | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 8 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr - -SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ETACK.D | 10326 | ? | 524 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ETACK.Q | 10329 | ? | 519 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/Er2 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9905 | ? | 525 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er2 | 9917 | ? | 517 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/Er2.SI | iobm/Er2 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9905 | ? | 525 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er2.D1 | 10331 | ? | 525 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er2.D2 | 10332 | ? | 525 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/Er - -SRFF_INSTANCE | iobm/Er2.REG | iobm/Er2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er2.D | 10330 | ? | 525 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er2.Q | 10333 | ? | 517 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nVPA_IOB | 10043 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nVPA_IOB_IBUF | 9918 | ? | 527 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPArf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9918 | ? | 527 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK- | 9828 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArf | 9919 | ? | 504 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/VPArf.SI | iobm/VPArf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9918 | ? | 527 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArf.D1 | 10335 | ? | 526 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArf.D2 | 10336 | ? | 526 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF - -SRFF_INSTANCE | iobm/VPArf.REG | iobm/VPArf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArf.D | 10334 | ? | 526 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK- | 9828 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArf.Q | 10337 | ? | 504 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/VPArr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9918 | ? | 527 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArr | 9920 | ? | 504 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/VPArr.SI | iobm/VPArr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9918 | ? | 527 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArr.D1 | 10339 | ? | 527 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArr.D2 | 10340 | ? | 527 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF - -SRFF_INSTANCE | iobm/VPArr.REG | iobm/VPArr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArr.D | 10338 | ? | 527 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArr.Q | 10341 | ? | 504 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | RESDone | MXSE_COPY_0_COPY_0 | 2155873280 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr0 | 9901 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr1 | 9902 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr2 | 9909 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESr2.Q | RESr2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9865 | ? | 464 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9867 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9933 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RESDone | 9921 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESDone.Q | RESDone | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RESDone.EXP | 10640 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESDone.EXP | RESDone | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RESDone.SI | RESDone | 0 | 8 | 4 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr0 | 9901 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr1 | 9902 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr2 | 9909 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESr2.Q | RESr2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9865 | ? | 464 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9867 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9933 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RESDone.D1 | 10343 | ? | 490 | 4096 | RESDone | NULL | NULL | RESDone.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RESDone.D2 | 10344 | ? | 490 | 6144 | RESDone | NULL | NULL | RESDone.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_DC -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RESDone.EXP | 10627 | ? | 0 | 0 | RESDone | NULL | NULL | RESDone.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RESDone.CE | 10345 | ? | 491 | 4096 | RESDone | NULL | NULL | RESDone.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_FALSE | RESr0 | IV_FALSE | RESr1 | IV_TRUE | RESr2 - -SRFF_INSTANCE | RESDone.REG | RESDone | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RESDone.D | 10342 | ? | 490 | 0 | RESDone | NULL | NULL | RESDone.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RESDone.CE | 10345 | ? | 491 | 4096 | RESDone | NULL | NULL | RESDone.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_FALSE | RESr0 | IV_FALSE | RESr1 | IV_TRUE | RESr2 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RESDone.Q | 10346 | ? | 502 | 0 | RESDone | NULL | NULL | RESDone.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0M | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9906 | ? | 528 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9972 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0M | 9922 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9906 | ? | 528 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9972 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0M.D1 | 10348 | ? | 528 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0M.D2 | 10349 | ? | 528 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOREQr | IV_FALSE | iobm/BG - -SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0M.D | 10347 | ? | 528 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0M.Q | 10350 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0S | 9923 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0S.D1 | 10352 | ? | 492 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0S.D2 | 10353 | ? | 492 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 - -SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0S.D | 10351 | ? | 492 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0S.Q | 10354 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOREQ | MXSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9892 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 10641 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP33_.EXP | 10642 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOREQ | 9924 | ? | 520 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOREQ.SI | IOREQ | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9892 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 10641 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP33_.EXP | 10642 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOREQ.D1 | 10356 | ? | 493 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOREQ.D2 | 10357 | ? | 493 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP -SPPTERM | 1 | IV_TRUE | EXP33_.EXP -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -SPPTERM | 3 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 - -SRFF_INSTANCE | IOREQ.REG | IOREQ | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOREQ.D | 10355 | ? | 493 | 0 | IOREQ | NULL | NULL | IOREQ.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOREQ.Q | 10358 | ? | 520 | 0 | IOREQ | NULL | NULL | IOREQ.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | IPL2r1 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IPL2r0 | 9908 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | IPL2r0.Q | IPL2r0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IPL2r1 | 9925 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | IPL2r1.Q | IPL2r1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IPL2r1.SI | IPL2r1 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IPL2r0 | 9908 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | IPL2r0.Q | IPL2r0 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IPL2r1.D1 | 10360 | ? | 494 | 4096 | IPL2r1 | NULL | NULL | IPL2r1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IPL2r1.D2 | 10361 | ? | 494 | 4096 | IPL2r1 | NULL | NULL | IPL2r1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IPL2r0 - -SRFF_INSTANCE | IPL2r1.REG | IPL2r1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IPL2r1.D | 10359 | ? | 494 | 0 | IPL2r1 | NULL | NULL | IPL2r1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IPL2r1.Q | 10362 | ? | 502 | 0 | IPL2r1 | NULL | NULL | IPL2r1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK- | 9840 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/ASrf.D1 | 10364 | ? | 495 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/ASrf.D2 | 10365 | ? | 495 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/ASrf.D | 10363 | ? | 495 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK- | 9840 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/ASrf.Q | 10366 | ? | 499 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/BERRrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9872 | ? | 530 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK- | 9828 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrf | 9927 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/BERRrf.SI | iobm/BERRrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9872 | ? | 530 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrf.D1 | 10368 | ? | 529 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrf.D2 | 10369 | ? | 529 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF - -SRFF_INSTANCE | iobm/BERRrf.REG | iobm/BERRrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrf.D | 10367 | ? | 529 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK- | 9828 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrf.Q | 10370 | ? | 519 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BERRrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9872 | ? | 530 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrr | 9928 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/BERRrr.SI | iobm/BERRrr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9872 | ? | 530 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrr.D1 | 10372 | ? | 530 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrr.D2 | 10373 | ? | 530 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF - -SRFF_INSTANCE | iobm/BERRrr.REG | iobm/BERRrr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrr.D | 10371 | ? | 530 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrr.Q | 10374 | ? | 519 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BGr1 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BGr0 | 9912 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BGr0.Q | iobm/BGr0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BGr1 | 9929 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BGr1.Q | iobm/BGr1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/BGr1.SI | iobm/BGr1 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BGr0 | 9912 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BGr0.Q | iobm/BGr0 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BGr1.D1 | 10376 | ? | 531 | 4096 | iobm/BGr1 | NULL | NULL | iobm/BGr1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BGr1.D2 | 10377 | ? | 531 | 4096 | iobm/BGr1 | NULL | NULL | iobm/BGr1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/BGr0 - -SRFF_INSTANCE | iobm/BGr1.REG | iobm/BGr1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BGr1.D | 10375 | ? | 531 | 0 | iobm/BGr1 | NULL | NULL | iobm/BGr1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BGr1.Q | 10378 | ? | 536 | 0 | iobm/BGr1 | NULL | NULL | iobm/BGr1.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/RESrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9848 | ? | 533 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK- | 9828 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrf | 9930 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/RESrf.SI | iobm/RESrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9848 | ? | 533 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrf.D1 | 10380 | ? | 532 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrf.D2 | 10381 | ? | 532 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nRES_IBUF - -SRFF_INSTANCE | iobm/RESrf.REG | iobm/RESrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrf.D | 10379 | ? | 532 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK- | 9828 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrf.Q | 10382 | ? | 519 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/RESrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9848 | ? | 533 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrr | 9931 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/RESrr.SI | iobm/RESrr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9848 | ? | 533 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrr.D1 | 10384 | ? | 533 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrr.D2 | 10385 | ? | 533 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nRES_IBUF - -SRFF_INSTANCE | iobm/RESrr.REG | iobm/RESrr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrr.D | 10383 | ? | 533 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrr.Q | 10386 | ? | 519 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | MXSE_COPY_0_COPY_0 | 2155873280 | 17 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9858 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9864 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Clear1 | 9932 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/Clear1.EXP | 10569 | ? | 457 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 16 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9858 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9864 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Clear1.D1 | 10388 | ? | 497 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Clear1.D2 | 10389 | ? | 497 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/Clear1.EXP | 10555 | ? | 457 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 11 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 11 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 - -SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Clear1.D | 10387 | ? | 497 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Clear1.Q | 10390 | ? | 503 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMDIS1 | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 10587 | ? | 498 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 10588 | ? | 498 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMDIS1 | 9933 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RAMDIS1.SI | ram/RAMDIS1 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 10587 | ? | 498 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 10588 | ? | 498 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMDIS1.D1 | 10392 | ? | 498 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMDIS1.D2 | 10393 | ? | 498 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP24_.EXP -SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RAMDIS1.REG | ram/RAMDIS1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMDIS1.D | 10391 | ? | 498 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMDIS1.Q | 10394 | ? | 0 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RAMReady | MXSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_1_OBUF.EXP | 10612 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP30_.EXP | 10621 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMReady | 9934 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_1_OBUF.EXP | 10612 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP30_.EXP | 10621 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMReady.D1 | 10396 | ? | 499 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMReady.D2 | 10397 | ? | 499 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_1_OBUF.EXP -SPPTERM | 1 | IV_TRUE | EXP30_.EXP -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 - -SRFF_INSTANCE | ram/RAMReady.REG | ram/RAMReady | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMReady.D | 10395 | ? | 499 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMReady.Q | 10398 | ? | 467 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<1> | 10044 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_1_IBUF | 9935 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<2> | 10045 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_2_IBUF | 9936 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<3> | 10046 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_3_IBUF | 9937 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<4> | 10047 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_4_IBUF | 9938 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<5> | 10048 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_5_IBUF | 9939 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<6> | 10049 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_6_IBUF | 9940 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<7> | 10050 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_7_IBUF | 9941 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9818 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9935 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_0_OBUF | 9942 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9818 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9935 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_0_OBUF.D1 | 10400 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_0_OBUF.D2 | 10401 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF - -SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_0_OBUF.D | 10399 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_0_OBUF.Q | 10402 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB.EXP | 10613 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.EXP | TimeoutB | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_1_OBUF | 9943 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_1_OBUF.EXP | 10612 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB.EXP | 10613 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.EXP | TimeoutB | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_1_OBUF.D1 | 10404 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_1_OBUF.D2 | 10405 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | TimeoutB.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_1_OBUF.EXP | 10602 | ? | 499 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_1_OBUF.D | 10403 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_1_OBUF.Q | 10406 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 10593 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_2_OBUF | 9944 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_2_OBUF.EXP | 10592 | ? | 482 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 11 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 10593 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_2_OBUF.D1 | 10408 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_2_OBUF.D2 | 10409 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_2_OBUF.EXP | 10579 | ? | 482 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | SW_1_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 - -SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_2_OBUF.D | 10407 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_2_OBUF.Q | 10410 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9938 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9813 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9940 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_3_OBUF | 9945 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_3_OBUF.EXP | 10590 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9938 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9813 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9940 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_3_OBUF.D1 | 10412 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_3_OBUF.D2 | 10413 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_3_OBUF.EXP | 10577 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF - -SRFF_INSTANCE | RA_3_OBUF.REG | RA_3_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_3_OBUF.D | 10411 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_3_OBUF.Q | 10414 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 15 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 10589 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_4_OBUF | 9946 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_4_OBUF.EXP | 10588 | ? | 498 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 15 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 10589 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_4_OBUF.D1 | 10416 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_4_OBUF.D2 | 10417 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP25_.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_4_OBUF.EXP | 10575 | ? | 498 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 6 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 7 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_4_OBUF.D | 10415 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_4_OBUF.Q | 10418 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_3_OBUF.EXP | 10590 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_5_OBUF | 9947 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_5_OBUF.EXP | 10591 | ? | 482 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 8 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_3_OBUF.EXP | 10590 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_5_OBUF.D1 | 10420 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_5_OBUF.D2 | 10421 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_3_OBUF.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_5_OBUF.EXP | 10578 | ? | 482 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF - -SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_5_OBUF.D | 10419 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_5_OBUF.Q | 10422 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9941 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9816 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9937 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_6_OBUF | 9948 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_6_OBUF.EXP | 10593 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9941 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9816 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9937 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_6_OBUF.D1 | 10424 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_6_OBUF.D2 | 10425 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_6_OBUF.EXP | 10580 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF - -SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_6_OBUF.D | 10423 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_6_OBUF.Q | 10426 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9812 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_7_OBUF | 9949 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9812 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_7_OBUF.D1 | 10428 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_7_OBUF.D2 | 10429 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | ram/RASEL -SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL - -SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_7_OBUF.D | 10427 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_7_OBUF.Q | 10430 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF$BUF0.EXP | 10614 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_8_OBUF | 9950 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF$BUF0.EXP | 10614 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_8_OBUF.D1 | 10432 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_8_OBUF.D2 | 10433 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF$BUF0.EXP -SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_18_IBUF -SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_18_IBUF -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | cs/nOverlay1 -SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | cs/nOverlay1 - -SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_8_OBUF.D | 10431 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_8_OBUF.Q | 10434 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9857 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9934 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_9_OBUF | 9951 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_9_OBUF.EXP | 10615 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9857 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9934 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_9_OBUF.D1 | 10436 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_9_OBUF.D2 | 10437 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL -SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_9_OBUF.EXP | 10605 | ? | 467 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady - -SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_9_OBUF.D | 10435 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_9_OBUF.Q | 10438 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | nBERR_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP34_.EXP | 10643 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBERR_FSB_OBUF | 9952 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBERR_FSB_OBUF.EXP | 10644 | ? | 440 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 12 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP34_.EXP | 10643 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 10440 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 10441 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF -SPPTERM | 1 | IV_TRUE | EXP34_.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 10631 | ? | 440 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_TRUE | nWE_FSB_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | SW_1_IBUF -SPPTERM | 5 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 - -SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBERR_FSB_OBUF.D | 10439 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBERR_FSB_OBUF.Q | 10442 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nOE_OBUF | 9953 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nOE_OBUF.EXP | 10586 | ? | 498 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nOE_OBUF.D1 | 10444 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nOE_OBUF.D2 | 10445 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nOE_OBUF.EXP | 10573 | ? | 498 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nOE_OBUF.D | 10443 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nOE_OBUF.Q | 10446 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 26 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9811 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9812 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9813 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9816 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9817 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9818 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9845 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9855 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9856 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9858 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Disable | 9968 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$708.UIM | 10011 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$708.Q | $OpTx$FX_DC$708 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$182_INV$783.UIM | 10012 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$182_INV$783.Q | $OpTx$$OpTx$FX_DC$182_INV$783 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9864 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMWE_OBUF | 9954 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMWE_OBUF.EXP | 10568 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 26 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9811 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9812 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9813 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9816 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9817 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9818 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9845 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9855 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9856 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9858 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Disable | 9968 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$708.UIM | 10011 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$708.Q | $OpTx$FX_DC$708 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$182_INV$783.UIM | 10012 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$182_INV$783.Q | $OpTx$$OpTx$FX_DC$182_INV$783 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9864 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMWE_OBUF.D1 | 10448 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMWE_OBUF.D2 | 10449 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMWE_OBUF.EXP | 10554 | ? | 459 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_TRUE | Disable | IV_FALSE | $OpTx$FX_DC$708.UIM | IV_FALSE | $OpTx$$OpTx$FX_DC$182_INV$783.UIM -SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_TRUE | Disable | IV_FALSE | $OpTx$FX_DC$708.UIM | IV_FALSE | $OpTx$$OpTx$FX_DC$182_INV$783.UIM - -SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMWE_OBUF.D | 10447 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMWE_OBUF.Q | 10450 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nVPA_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9860 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVPA_FSB_OBUF | 9955 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9860 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 10452 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 10453 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVPA_FSB_OBUF.D | 10451 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVPA_FSB_OBUF.Q | 10454 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9922 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 9923 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9868 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9914 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9915 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE0_OBUF | 9956 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nADoutLE0_OBUF.EXP | 10600 | ? | 518 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 7 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9922 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 9923 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9868 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9914 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9915 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE0_OBUF.D1 | 10456 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE0_OBUF.D2 | 10457 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nADoutLE0_OBUF.EXP | 10597 | ? | 518 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr - -SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE0_OBUF.D | 10455 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE0_OBUF.Q | 10458 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 17 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK- | 9840 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9867 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nCAS_OBUF | 9957 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nCAS_OBUF.EXP | 10585 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 16 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9867 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nCAS_OBUF.D1 | 10460 | ? | 500 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nCAS_OBUF.D2 | 10461 | ? | 500 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | ram/RASEL -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nCAS_OBUF.EXP | 10572 | ? | 466 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nCAS_OBUF.D | 10459 | ? | 500 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK- | 9840 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nCAS_OBUF.Q | 10462 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nDinLE_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK- | 9828 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9868 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9930 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9931 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinLE_OBUF | 9958 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDinLE_OBUF.EXP | 10601 | ? | 518 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 6 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9880 | ? | 534 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9868 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9930 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9931 | ? | 519 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinLE_OBUF.D1 | 10464 | ? | 534 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinLE_OBUF.D2 | 10465 | ? | 534 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDinLE_OBUF.EXP | 10598 | ? | 518 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr - -SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinLE_OBUF.D | 10463 | ? | 534 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK- | 9828 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinLE_OBUF.Q | 10466 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | OptxMapped | nDinOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinOE_OBUF | 9959 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinOE_OBUF.D1 | 10468 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinOE_OBUF.D2 | 10469 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | SW_1_IBUF - -SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinOE_OBUF.D | 10467 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinOE_OBUF.Q | 10470 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | nDoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9846 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDoutOE_OBUF | 9960 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9846 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9879 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9881 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDoutOE_OBUF.D1 | 10472 | ? | 535 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDoutOE_OBUF.D2 | 10473 | ? | 535 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | IORW0 -SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 - -SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDoutOE_OBUF.D | 10471 | ? | 535 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDoutOE_OBUF.Q | 10474 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 14 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 10639 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAS_OBUF | 9961 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAS_OBUF.EXP | 10638 | ? | 472 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 14 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 10639 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAS_OBUF.D1 | 10476 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAS_OBUF.D2 | 10477 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRAMLWE_OBUF.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAS_OBUF.EXP | 10625 | ? | 472 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | SW_1_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 7 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 - -SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAS_OBUF.D | 10475 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAS_OBUF.Q | 10478 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9846 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_19_IBUF$BUF0 | 9962 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | A_FSB_19_IBUF$BUF0.EXP | 10636 | ? | 444 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 7 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9846 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 10480 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 10481 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.EXP | 10623 | ? | 444 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd1 -SPPTERM | 2 | IV_TRUE | iobs/Once | IV_TRUE | ALE1 -SPPTERM | 3 | IV_TRUE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | ALE1 - -SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_19_IBUF$BUF0.D | 10479 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_19_IBUF$BUF0.Q | 10482 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9811 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_21_IBUF$BUF0 | 9963 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | A_FSB_21_IBUF$BUF0.EXP | 10614 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 6 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9811 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 10484 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 10485 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.EXP | 10604 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/RASEL -SPPTERM | 6 | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/RASEL - -SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_21_IBUF$BUF0.D | 10483 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_21_IBUF$BUF0.Q | 10486 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | CLK20EN_OBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | SW<0> | 10051 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | CLK20EN_OBUF | 9964 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK20EN_OBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | OptxMapped | CLK20EN_OBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK20EN_OBUF | 9964 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK20EN_OBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | CLK20EN_OBUF$BUF0 | 9965 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | CLK20EN_OBUF$BUF0.Q | CLK20EN_OBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | CLK20EN_OBUF$BUF0.EXP | 10617 | ? | 471 | 0 | MXSE_COPY_0_COPY_0 | NULL | CLK20EN_OBUF$BUF0.EXP | CLK20EN_OBUF$BUF0 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | CLK20EN_OBUF$BUF0.SI | CLK20EN_OBUF$BUF0 | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK20EN_OBUF | 9964 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK20EN_OBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | CLK20EN_OBUF$BUF0.D1 | 10488 | ? | 0 | 4096 | CLK20EN_OBUF$BUF0 | NULL | NULL | CLK20EN_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | CLK20EN_OBUF$BUF0.D2 | 10489 | ? | 0 | 4096 | CLK20EN_OBUF$BUF0 | NULL | NULL | CLK20EN_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | CLK20EN_OBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | CLK20EN_OBUF$BUF0.EXP | 10607 | ? | 471 | 0 | CLK20EN_OBUF$BUF0 | NULL | NULL | CLK20EN_OBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | CLK20EN_OBUF$BUF0.REG | CLK20EN_OBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | CLK20EN_OBUF$BUF0.D | 10487 | ? | 0 | 0 | CLK20EN_OBUF$BUF0 | NULL | NULL | CLK20EN_OBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | CLK20EN_OBUF$BUF0.Q | 10490 | ? | 0 | 0 | CLK20EN_OBUF$BUF0 | NULL | NULL | CLK20EN_OBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | CLK25EN_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK20EN_OBUF | 9964 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK20EN_OBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | CLK25EN_OBUF | 9966 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | CLK25EN_OBUF.Q | CLK25EN_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | CLK25EN_OBUF.EXP | 10616 | ? | 471 | 0 | MXSE_COPY_0_COPY_0 | NULL | CLK25EN_OBUF.EXP | CLK25EN_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | CLK25EN_OBUF.SI | CLK25EN_OBUF | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK20EN_OBUF | 9964 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK20EN_OBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | CLK25EN_OBUF.D1 | 10492 | ? | 0 | 4096 | CLK25EN_OBUF | NULL | NULL | CLK25EN_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | CLK25EN_OBUF.D2 | 10493 | ? | 0 | 4096 | CLK25EN_OBUF | NULL | NULL | CLK25EN_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | CLK20EN_OBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | CLK25EN_OBUF.EXP | 10606 | ? | 471 | 0 | CLK25EN_OBUF | NULL | NULL | CLK25EN_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | CLK25EN_OBUF.REG | CLK25EN_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | CLK25EN_OBUF.D | 10491 | ? | 0 | 0 | CLK25EN_OBUF | NULL | NULL | CLK25EN_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | CLK25EN_OBUF.Q | 10494 | ? | 0 | 0 | CLK25EN_OBUF | NULL | NULL | CLK25EN_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldHigh+OptxMapped | Park | MXSE_COPY_0_COPY_0 | 2155872768 | 14 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr0 | 9901 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr1 | 9902 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IPL2r0 | 9908 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | IPL2r0.Q | IPL2r0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr2 | 9909 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESr2.Q | RESr2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESDone | 9921 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESDone.Q | RESDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IPL2r1 | 9925 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | IPL2r1.Q | IPL2r1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | Park | 9967 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | Disable | 9968 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | Park.EXP | 10646 | ? | 440 | 0 | MXSE_COPY_0_COPY_0 | NULL | Park.EXP | Park | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | Park.SI | Park | 0 | 13 | 4 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr0 | 9901 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr1 | 9902 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IPL2r0 | 9908 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | IPL2r0.Q | IPL2r0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr2 | 9909 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESr2.Q | RESr2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESDone | 9921 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESDone.Q | RESDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IPL2r1 | 9925 | ? | 502 | 0 | MXSE_COPY_0_COPY_0 | NULL | IPL2r1.Q | IPL2r1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | Park.D1 | 10496 | ? | 501 | 4096 | Park | NULL | NULL | Park.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | Park.D2 | 10497 | ? | 501 | 6144 | Park | NULL | NULL | Park.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | Park.EXP | 10633 | ? | 440 | 0 | Park | NULL | NULL | Park.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/Once -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | Park.CE | 10498 | ? | 502 | 4096 | Park | NULL | NULL | Park.SI | 10 | 9 | MC_SI_CE -SPPTERM | 6 | IV_TRUE | RESr0 | IV_TRUE | RESr1 | IV_TRUE | IPL2r0 | IV_TRUE | RESr2 | IV_FALSE | RESDone | IV_TRUE | IPL2r1 - -SRFF_INSTANCE | Park.REG | Park | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | Park.D | 10495 | ? | 501 | 0 | Park | NULL | NULL | Park.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | Park.CE | 10498 | ? | 502 | 4096 | Park | NULL | NULL | Park.SI | 10 | 9 | MC_SI_CE -SPPTERM | 6 | IV_TRUE | RESr0 | IV_TRUE | RESr1 | IV_TRUE | IPL2r0 | IV_TRUE | RESr2 | IV_FALSE | RESDone | IV_TRUE | IPL2r1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | Park.Q | 10499 | ? | 467 | 0 | Park | NULL | NULL | Park.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | MXSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9932 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9897 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE1_OBUF | 9969 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9932 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9897 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE1_OBUF.D1 | 10501 | ? | 503 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE1_OBUF.D2 | 10502 | ? | 503 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Load1 -SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 - -SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE1_OBUF.D | 10500 | ? | 503 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9839 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE1_OBUF.Q | 10503 | ? | 503 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldHigh+OptxMapped | nAoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872768 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BGr1 | 9929 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BGr1.Q | iobm/BGr1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9972 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BGr0 | 9912 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BGr0.Q | iobm/BGr0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 9833 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAoutOE_OBUF$Q | 9971 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BG | 9972 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BGr1 | 9929 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BGr1.Q | iobm/BGr1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9972 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BGr0 | 9912 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BGr0.Q | iobm/BGr0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 9833 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAoutOE_OBUF.D1 | 10505 | ? | 536 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAoutOE_OBUF.D2 | 10506 | ? | 536 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobm/BGr0 | IV_FALSE | iobm/BGr1 -SPPTERM | 2 | IV_FALSE | iobm/BGr1 | IV_TRUE | iobm/BG -SPPTERM | 3 | IV_FALSE | nAS_IOBout | IV_FALSE | iobm/BGr0 | IV_FALSE | iobm/BG - -SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAoutOE_OBUF.D | 10504 | ? | 536 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9827 | ? | 536 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAoutOE_OBUF.Q | 10507 | ? | 536 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9861 | ? | 460 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9867 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9933 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9910 | ? | 439 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMLWE_OBUF | 9973 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMLWE_OBUF.EXP | 10639 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9861 | ? | 460 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9867 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9933 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9910 | ? | 439 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMLWE_OBUF.D1 | 10509 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMLWE_OBUF.D2 | 10510 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMLWE_OBUF.EXP | 10626 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | RefAck -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 - -SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMLWE_OBUF.D | 10508 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMLWE_OBUF.Q | 10511 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESDone.EXP | 10640 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESDone.EXP | RESDone | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMUWE_OBUF | 9974 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMUWE_OBUF.EXP | 10641 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESDone.EXP | 10640 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RESDone.EXP | RESDone | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMUWE_OBUF.D1 | 10513 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMUWE_OBUF.D2 | 10514 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RESDone.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMUWE_OBUF.EXP | 10628 | ? | 493 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 - -SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMUWE_OBUF.D | 10512 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMUWE_OBUF.Q | 10515 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7>.EXP | 10584 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMCS_OBUF | 9975 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMCS_OBUF.EXP | 10583 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7>.EXP | 10584 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMCS_OBUF.D1 | 10517 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMCS_OBUF.D2 | 10518 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/RefCnt<7>.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMCS_OBUF.EXP | 10570 | ? | 468 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMCS_OBUF.D | 10516 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMCS_OBUF.Q | 10519 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q - -OUTPUT_INSTANCE | 0 | nVMA_IOB | MXSE_COPY_0_COPY_0 | 7 | 2 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVMA_IOBout$Q | 9829 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q -INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nVMA_IOBout$OE | 9831 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVMA_IOB | 9976 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nAS_IOB | MXSE_COPY_0_COPY_0 | 7 | 2 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAS_IOBout$Q | 9832 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q -INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nAS_IOBout$OE | 9834 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAS_IOB | 9977 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nLDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 2 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nLDS_IOBout | 9835 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q -INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nLDS_IOBout$OE | 9836 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nLDS_IOB | 9978 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nUDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 2 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nUDS_IOBout | 9837 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q -INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nUDS_IOBout$OE | 9838 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nUDS_IOB | 9979 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDTACK_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDTACK_FSB_OBUF | 9873 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDTACK_FSB | 9980 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<0> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_0_OBUF | 9942 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<0> | 9981 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<1> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_1_OBUF | 9943 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<1> | 9982 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<2> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_2_OBUF | 9944 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<2> | 9983 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<3> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_3_OBUF | 9945 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<3> | 9984 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<4> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_4_OBUF | 9946 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<4> | 9985 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<5> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_5_OBUF | 9947 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<5> | 9986 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<6> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_6_OBUF | 9948 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<6> | 9987 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<7> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_7_OBUF | 9949 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<7> | 9988 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<8> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_8_OBUF | 9950 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<8> | 9989 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<9> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_9_OBUF | 9951 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<9> | 9990 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nBERR_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBERR_FSB_OBUF | 9952 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBERR_FSB | 9991 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nOE_OBUF | 9953 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nOE | 9992 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nROMWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMWE_OBUF | 9954 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMWE | 9993 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nVPA_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVPA_FSB_OBUF | 9955 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVPA_FSB | 9994 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nADoutLE0 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE0_OBUF | 9956 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE0 | 9995 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nCAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nCAS_OBUF | 9957 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nCAS | 9996 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinLE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinLE_OBUF | 9958 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinLE | 9997 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinOE_OBUF | 9959 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinOE | 9998 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDoutOE_OBUF | 9960 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDoutOE | 9999 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAS_OBUF | 9961 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAS | 10000 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<11> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_19_IBUF$BUF0 | 9962 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<11> | 10001 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<10> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_21_IBUF$BUF0 | 9963 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<10> | 10002 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | CLK20EN | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | CLK20EN_OBUF$BUF0 | 9965 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | CLK20EN_OBUF$BUF0.Q | CLK20EN_OBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | CLK20EN | 10003 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK20EN | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | CLK25EN | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | CLK25EN_OBUF | 9966 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | CLK25EN_OBUF.Q | CLK25EN_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | CLK25EN | 10004 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK25EN | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nBR_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | Park | 9967 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBR_IOB | 10005 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nADoutLE1 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE1_OBUF | 9969 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE1 | 10006 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nAoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAoutOE_OBUF$Q | 9971 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAoutOE | 10007 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAMLWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMLWE_OBUF | 9973 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMLWE | 10008 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAMUWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMUWE_OBUF | 9974 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMUWE | 10009 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nROMCS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMCS_OBUF | 9975 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMCS | 10010 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT - -MACROCELL_INSTANCE | SoftPfbk | $OpTx$FX_DC$708 | MXSE_COPY_0_COPY_0 | 2181038080 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | $OpTx$FX_DC$708.UIM | 10011 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$708.Q | $OpTx$FX_DC$708 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | $OpTx$FX_DC$708.SI | $OpTx$FX_DC$708 | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | $OpTx$FX_DC$708.D1 | 10521 | ? | 459 | 4096 | $OpTx$FX_DC$708 | NULL | NULL | $OpTx$FX_DC$708.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | $OpTx$FX_DC$708.D2 | 10522 | ? | 459 | 4096 | $OpTx$FX_DC$708 | NULL | NULL | $OpTx$FX_DC$708.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | $OpTx$FX_DC$708.REG | $OpTx$FX_DC$708 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | $OpTx$FX_DC$708.D | 10520 | ? | 459 | 0 | $OpTx$FX_DC$708 | NULL | NULL | $OpTx$FX_DC$708.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | $OpTx$FX_DC$708.Q | 10523 | ? | 459 | 0 | $OpTx$FX_DC$708 | NULL | NULL | $OpTx$FX_DC$708.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | SoftPfbk | $OpTx$$OpTx$FX_DC$182_INV$783 | MXSE_COPY_0_COPY_0 | 2181038080 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9853 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9857 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9934 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_9_OBUF.EXP | 10615 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | $OpTx$$OpTx$FX_DC$182_INV$783.UIM | 10012 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$182_INV$783.Q | $OpTx$$OpTx$FX_DC$182_INV$783 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | $OpTx$$OpTx$FX_DC$182_INV$783.SI | $OpTx$$OpTx$FX_DC$182_INV$783 | 0 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9853 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9857 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9934 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_9_OBUF.EXP | 10615 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | $OpTx$$OpTx$FX_DC$182_INV$783.D1 | 10525 | ? | 467 | 4096 | $OpTx$$OpTx$FX_DC$182_INV$783 | NULL | NULL | $OpTx$$OpTx$FX_DC$182_INV$783.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | $OpTx$$OpTx$FX_DC$182_INV$783.D2 | 10526 | ? | 467 | 4096 | $OpTx$$OpTx$FX_DC$182_INV$783 | NULL | NULL | $OpTx$$OpTx$FX_DC$182_INV$783.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_9_OBUF.EXP -SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | TimeoutB -SPPTERM | 2 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | TimeoutB -SPPTERM | 2 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | TimeoutB -SPPTERM | 2 | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | TimeoutB -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady - -SRFF_INSTANCE | $OpTx$$OpTx$FX_DC$182_INV$783.REG | $OpTx$$OpTx$FX_DC$182_INV$783 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | $OpTx$$OpTx$FX_DC$182_INV$783.D | 10524 | ? | 467 | 0 | $OpTx$$OpTx$FX_DC$182_INV$783 | NULL | NULL | $OpTx$$OpTx$FX_DC$182_INV$783.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | $OpTx$$OpTx$FX_DC$182_INV$783.Q | 10527 | ? | 467 | 0 | $OpTx$$OpTx$FX_DC$182_INV$783 | NULL | NULL | $OpTx$$OpTx$FX_DC$182_INV$783.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | NULL | EXP14_ | MXSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r.EXP | 10556 | ? | 462 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP14_.EXP | 10557 | ? | 462 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r.EXP | 10556 | ? | 462 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP14_.EXP | 10543 | ? | 462 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | fsb/Ready1r.EXP -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF - -MACROCELL_INSTANCE | NULL | EXP15_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r.EXP | 10559 | ? | 462 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.EXP | fsb/Ready2r | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP15_.EXP | 10558 | ? | 462 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r.EXP | 10559 | ? | 462 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.EXP | fsb/Ready2r | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP15_.EXP | 10544 | ? | 462 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | fsb/Ready2r.EXP -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | SW_1_IBUF - -MACROCELL_INSTANCE | NULL | EXP16_ | MXSE_COPY_0_COPY_0 | 2147483648 | 21 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9812 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9813 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9816 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9817 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9818 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9852 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9859 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP16_.EXP | 10560 | ? | 458 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 21 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9812 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9813 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9816 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9817 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9818 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9852 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9859 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP16_.EXP | 10546 | ? | 458 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r - -MACROCELL_INSTANCE | NULL | EXP17_ | MXSE_COPY_0_COPY_0 | 2147483648 | 21 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9811 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9813 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9816 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9817 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9818 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9852 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9859 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9874 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9812 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP17_.EXP | 10561 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 21 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9811 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9813 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9816 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9817 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9818 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9852 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9859 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9874 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9812 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP17_.EXP | 10547 | ? | 467 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM - -MACROCELL_INSTANCE | NULL | EXP18_ | MXSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9858 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9864 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9874 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 10561 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP18_.EXP | 10562 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9858 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9864 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9874 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 10561 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP18_.EXP | 10548 | ? | 467 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP17_.EXP -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | SW_1_IBUF | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM - -MACROCELL_INSTANCE | NULL | EXP19_ | MXSE_COPY_0_COPY_0 | 2147483648 | 25 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9858 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9864 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9874 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9811 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9813 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9816 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9817 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9818 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9852 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9859 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9812 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 10564 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP19_.EXP | 10563 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 25 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9858 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9864 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9874 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9811 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9813 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9816 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9817 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9818 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9852 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9859 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9812 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 10564 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP19_.EXP | 10549 | ? | 467 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP20_.EXP -SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 -SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM - -MACROCELL_INSTANCE | NULL | EXP20_ | MXSE_COPY_0_COPY_0 | 2147483648 | 28 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9811 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9813 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9816 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9817 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9818 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9852 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9859 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9874 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9812 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9845 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9855 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9856 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9858 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Disable | 9968 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$182_INV$783.UIM | 10012 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$182_INV$783.Q | $OpTx$$OpTx$FX_DC$182_INV$783 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9864 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP20_.EXP | 10564 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 28 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9811 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9813 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9816 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9817 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9818 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9852 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9859 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9874 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9812 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9845 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9855 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9856 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9858 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Disable | 9968 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$182_INV$783.UIM | 10012 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$182_INV$783.Q | $OpTx$$OpTx$FX_DC$182_INV$783 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9864 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP20_.EXP | 10550 | ? | 467 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 22 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_TRUE | Disable | IV_FALSE | $OpTx$$OpTx$FX_DC$182_INV$783.UIM -SPPTERM | 22 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_TRUE | Disable | IV_FALSE | $OpTx$$OpTx$FX_DC$182_INV$783.UIM - -MACROCELL_INSTANCE | NULL | EXP21_ | MXSE_COPY_0_COPY_0 | 2147483648 | 22 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9811 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9813 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9816 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9817 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9818 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9852 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9859 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9860 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$708.UIM | 10011 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$708.Q | $OpTx$FX_DC$708 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9812 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP21_.EXP | 10565 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 22 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9811 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9813 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9816 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9817 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9818 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9852 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9859 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9860 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$708.UIM | 10011 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$708.Q | $OpTx$FX_DC$708 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9812 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP21_.EXP | 10551 | ? | 459 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$708.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$708.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$708.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$708.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$708.UIM - -MACROCELL_INSTANCE | NULL | EXP22_ | MXSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9858 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9860 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9864 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$708.UIM | 10011 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$708.Q | $OpTx$FX_DC$708 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 10565 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP22_.EXP | 10566 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9858 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9860 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9864 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$708.UIM | 10011 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$708.Q | $OpTx$FX_DC$708 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 10565 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP22_.EXP | 10552 | ? | 459 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP21_.EXP -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$FX_DC$708.UIM -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$FX_DC$708.UIM -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | SW_1_IBUF | IV_FALSE | $OpTx$FX_DC$708.UIM -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$FX_DC$708.UIM -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$FX_DC$708.UIM - -MACROCELL_INSTANCE | NULL | EXP23_ | MXSE_COPY_0_COPY_0 | 2147483648 | 26 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9858 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9860 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9864 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$708.UIM | 10011 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$708.Q | $OpTx$FX_DC$708 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9811 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9813 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9816 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9817 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9818 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9852 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9859 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9812 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 10568 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP23_.EXP | 10567 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 26 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9858 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9860 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9864 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$708.UIM | 10011 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$708.Q | $OpTx$FX_DC$708 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9811 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9813 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9816 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9817 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9818 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9852 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9859 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9812 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 10568 | ? | 459 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP23_.EXP | 10553 | ? | 459 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP -SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 | IV_FALSE | $OpTx$FX_DC$708.UIM -SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 | IV_FALSE | $OpTx$FX_DC$708.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$708.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$708.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$708.UIM - -MACROCELL_INSTANCE | NULL | EXP24_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 10586 | ? | 498 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP24_.EXP | 10587 | ? | 498 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 10586 | ? | 498 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP24_.EXP | 10574 | ? | 498 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr - -MACROCELL_INSTANCE | NULL | EXP25_ | MXSE_COPY_0_COPY_0 | 2147483648 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9939 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP25_.EXP | 10589 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9875 | ? | 500 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9939 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP25_.EXP | 10576 | ? | 0 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF - -MACROCELL_INSTANCE | NULL | EXP26_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP26_.EXP | 10594 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP26_.EXP | 10581 | ? | 468 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -MACROCELL_INSTANCE | NULL | EXP27_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 10594 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP27_.EXP | 10595 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 10594 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP27_.EXP | 10582 | ? | 468 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP26_.EXP -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr - -MACROCELL_INSTANCE | NULL | EXP28_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP28_.EXP | 10618 | ? | 469 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP28_.SI | EXP28_ | 0 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9876 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP28_.EXP | 10608 | ? | 469 | 0 | EXP28_ | NULL | NULL | EXP28_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP29_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP28_.EXP | 10618 | ? | 469 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP29_.EXP | 10619 | ? | 469 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP29_.SI | EXP29_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9878 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9890 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9891 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9903 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP28_.EXP | 10618 | ? | 469 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP29_.EXP | 10609 | ? | 469 | 0 | EXP29_ | NULL | NULL | EXP29_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP28_.EXP -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_TRUE | ram/BACTr -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 - -MACROCELL_INSTANCE | NULL | EXP30_ | MXSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2.EXP | 10620 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP30_.EXP | 10621 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP30_.SI | EXP30_ | 0 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9841 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9877 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9842 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9898 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2.EXP | 10620 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP30_.EXP | 10611 | ? | 499 | 0 | EXP30_ | NULL | NULL | EXP30_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2.EXP -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP31_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9846 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9863 | ? | 462 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF$BUF0.EXP | 10636 | ? | 444 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP31_.EXP | 10635 | ? | 444 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP31_.SI | EXP31_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9846 | ? | 535 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9863 | ? | 462 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9870 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9926 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF$BUF0.EXP | 10636 | ? | 444 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP31_.EXP | 10622 | ? | 444 | 0 | EXP31_ | NULL | NULL | EXP31_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF$BUF0.EXP -SPPTERM | 3 | IV_TRUE | IORW0 | IV_TRUE | iobs/IORW1 | IV_FALSE | ALE1 -SPPTERM | 3 | IV_FALSE | IORW0 | IV_FALSE | iobs/IORW1 | IV_FALSE | ALE1 -SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | IORW0 | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP32_ | MXSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP32_.EXP | 10637 | ? | 472 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP32_.SI | EXP32_ | 0 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP32_.EXP | 10624 | ? | 472 | 0 | EXP32_ | NULL | NULL | EXP32_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP33_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP33_.EXP | 10642 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP33_.SI | EXP33_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9882 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9847 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9814 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9815 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP33_.EXP | 10629 | ? | 493 | 0 | EXP33_ | NULL | NULL | EXP33_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | SW_1_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP34_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9845 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9853 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9855 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9856 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP34_.EXP | 10643 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP34_.SI | EXP34_ | 0 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9845 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9853 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9855 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9856 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP34_.EXP | 10630 | ? | 0 | 0 | EXP34_ | NULL | NULL | EXP34_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r - -MACROCELL_INSTANCE | NULL | EXP35_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 10644 | ? | 440 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP35_.EXP | 10645 | ? | 440 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP35_.SI | EXP35_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9843 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9886 | ? | 497 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9820 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9844 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 10644 | ? | 440 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP35_.EXP | 10632 | ? | 440 | 0 | EXP35_ | NULL | NULL | EXP35_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_FALSE | cs/nOverlay1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/Once - -MACROCELL_INSTANCE | NULL | EXP36_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP36_.EXP | 10647 | ? | 444 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP36_.SI | EXP36_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9819 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9821 | ? | 499 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9823 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9970 | ? | 503 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9824 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9825 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9826 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9822 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9871 | ? | 493 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP36_.EXP | 10634 | ? | 444 | 0 | EXP36_ | NULL | NULL | EXP36_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | SW_1_IBUF | IV_TRUE | ALE1 - -FB_INSTANCE | FOOBAR1_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/BACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | iobm/RESrr | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 -FBPIN | 3 | iobm/RESrf | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 -FBPIN | 4 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | cnt/RefCnt<2> | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 -FBPIN | 6 | cnt/RefCnt<1> | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 -FBPIN | 7 | RefAck | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | RESr2 | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 -FBPIN | 9 | RESr1 | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 -FBPIN | 10 | RESr0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | IPL2r1 | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 -FBPIN | 12 | IPL2r0 | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 -FBPIN | 13 | ALE0S | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | $OpTx$FX_DC$708 | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 -FBPIN | 15 | iobs/IOU1 | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 -FBPIN | 16 | iobs/IOL1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobm/IOS_FSM_FFd1 | 1 | CLK2X_IOB_IBUF | 0 | NULL | 0 | 22 | 57344 -FBPIN | 18 | IOU0 | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR2_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 6 | iobs/IOACTr | 1 | A_FSB_5_IBUF | 1 | NULL | 0 | 2 | 53248 -FBPIN | 7 | iobm/VPArr | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobm/VPArf | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 -FBPIN | 9 | iobm/IOREQr | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 -FBPIN | 10 | iobm/Er2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | iobm/Er | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 -FBPIN | 12 | iobm/DTACKrr | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 -FBPIN | 13 | iobm/DTACKrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobm/BGr1 | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 -FBPIN | 15 | iobm/BGr0 | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 -FBPIN | 16 | iobm/BERRrr | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobm/BERRrf | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 -FBPIN | 18 | cnt/RefCnt<0> | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR3_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | fsb/Ready1r | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | EXP14_ | 1 | CLK_IOB_IBUF | 1 | NULL | 0 | 23 | 57344 -FBPIN | 3 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | EXP15_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | fsb/Ready2r | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 -FBPIN | 6 | EXP16_ | 1 | E_IOB_IBUF | 1 | NULL | 0 | 25 | 49152 -FBPIN | 7 | EXP17_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | EXP18_ | 1 | CLK_FSB_IBUF | 0 | NULL | 0 | 27 | 57344 -FBPIN | 9 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 28 | 49152 -FBPIN | 10 | EXP19_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | EXP20_ | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 -FBPIN | 12 | NULL | 0 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 -FBPIN | 13 | EXP21_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | EXP22_ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 -FBPIN | 15 | fsb/VPA | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 -FBPIN | 16 | EXP23_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 34 | 49152 -FBPIN | 18 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR4_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | cnt/RefCnt<6> | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 87 | 49152 -FBPIN | 3 | cnt/RefCnt<5> | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cnt/RefCnt<4> | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 89 | 49152 -FBPIN | 6 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 90 | 49152 -FBPIN | 7 | cnt/RefCnt<3> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobs/PS_FSM_FFd1 | 1 | nRES_IBUF | 1 | NULL | 0 | 91 | 49152 -FBPIN | 9 | fsb/BERR1r | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 -FBPIN | 10 | cs/nOverlay1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 93 | 49152 -FBPIN | 12 | cnt/RefDone | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 -FBPIN | 13 | cs/nOverlay0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | cnt/TimeoutBPre | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 -FBPIN | 15 | TimeoutA | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 -FBPIN | 16 | IOL0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobs/IOReady | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 -FBPIN | 18 | BERR_IOBS | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR5_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/RASEL | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 35 | 49152 -FBPIN | 3 | cnt/RefCnt<7> | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | ram/RAMDIS2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 36 | 49152 -FBPIN | 6 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 37 | 49152 -FBPIN | 7 | EXP24_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | ram/RAMDIS1 | 1 | NULL | 0 | NULL | 0 | 39 | 49152 -FBPIN | 9 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 -FBPIN | 10 | EXP25_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | RA_3_OBUF | 1 | NULL | 0 | RA<3> | 1 | 41 | 49152 -FBPIN | 12 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 42 | 49152 -FBPIN | 13 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 43 | 49152 -FBPIN | 15 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 46 | 49152 -FBPIN | 16 | ram/Once | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | EXP26_ | 1 | NULL | 0 | NULL | 0 | 49 | 49152 -FBPIN | 18 | EXP27_ | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR6_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | iobm/ETACK | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | nVMA_IOBout | 1 | NULL | 0 | nVMA_IOB | 1 | 74 | 49152 -FBPIN | 3 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobm/ES<3> | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/ES<1> | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 76 | 49152 -FBPIN | 6 | iobm/ES<0> | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 77 | 49152 -FBPIN | 7 | ALE0M | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobm/ES<4> | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 78 | 49152 -FBPIN | 9 | nLDS_IOBout | 1 | NULL | 0 | nLDS_IOB | 1 | 79 | 49152 -FBPIN | 10 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | nUDS_IOBout | 1 | NULL | 0 | nUDS_IOB | 1 | 80 | 49152 -FBPIN | 12 | nAS_IOBout | 1 | NULL | 0 | nAS_IOB | 1 | 81 | 49152 -FBPIN | 13 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | nADoutLE1_OBUF | 1 | NULL | 0 | nADoutLE1 | 1 | 82 | 49152 -FBPIN | 15 | nADoutLE0_OBUF | 1 | NULL | 0 | nADoutLE0 | 1 | 85 | 49152 -FBPIN | 16 | IOACT | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 86 | 49152 -FBPIN | 18 | IOBERR | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR7_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/RAMReady | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 50 | 49152 -FBPIN | 3 | TimeoutB | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 52 | 49152 -FBPIN | 6 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 53 | 49152 -FBPIN | 7 | ram/RS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 54 | 49152 -FBPIN | 9 | A_FSB_21_IBUF$BUF0 | 1 | NULL | 0 | RA<10> | 1 | 55 | 49152 -FBPIN | 10 | $OpTx$$OpTx$FX_DC$182_INV$783 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 56 | 49152 -FBPIN | 12 | CLK25EN_OBUF | 1 | NULL | 0 | CLK25EN | 1 | 58 | 49152 -FBPIN | 13 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | CLK20EN_OBUF$BUF0 | 1 | NULL | 0 | CLK20EN | 1 | 59 | 49152 -FBPIN | 15 | EXP28_ | 1 | SW_1_IBUF | 1 | NULL | 0 | 60 | 49152 -FBPIN | 16 | EXP29_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | ram/RS_FSM_FFd2 | 1 | CLK20EN_OBUF | 1 | NULL | 0 | 61 | 49152 -FBPIN | 18 | EXP30_ | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR8_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP31_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 -FBPIN | 3 | EXP32_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobs/PS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 64 | 49152 -FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 65 | 49152 -FBPIN | 7 | RESDone | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 66 | 49152 -FBPIN | 9 | IOREQ | 1 | NULL | 0 | NULL | 0 | 67 | 49152 -FBPIN | 10 | EXP33_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | EXP34_ | 1 | NULL | 0 | NULL | 0 | 68 | 49152 -FBPIN | 12 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 70 | 49152 -FBPIN | 13 | EXP35_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobs/Once | 1 | NULL | 0 | NULL | 0 | 71 | 49152 -FBPIN | 15 | Park | 1 | NULL | 0 | nBR_IOB | 1 | 72 | 49152 -FBPIN | 16 | fsb/BERR0r | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | EXP36_ | 1 | nBG_IOB_IBUF | 1 | NULL | 0 | 73 | 49152 -FBPIN | 18 | IORW0 | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | INPUTPINS_FOOBAR9_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 - -BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> | 11 | A_FSB<13> | 10 | A_FSB<14> | 9 | A_FSB<15> | 8 | A_FSB<16> | 7 | A_FSB<17> | 6 | A_FSB<18> | 5 | A_FSB<19> | 4 | A_FSB<1> | 22 | A_FSB<20> | 3 | A_FSB<21> | 2 | A_FSB<22> | 1 | A_FSB<23> | 0 | A_FSB<2> | 21 | A_FSB<3> | 20 | A_FSB<4> | 19 | A_FSB<5> | 18 | A_FSB<6> | 17 | A_FSB<7> | 16 | A_FSB<8> | 15 | A_FSB<9> | 14 -BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 -BUSINFO | SW<2:0> | 3 | 0 | 0 | SW<0> | 2 | SW<1> | 1 | SW<2> | 0 - -FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | nLDS_FSB | 30 | 2 | iobm/IOS_FSM_FFd3 | NULL | 3 | iobs/PS_FSM_FFd2 | NULL | 4 | nRES | 91 | 6 | ram/RS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 7 | iobs/PS_FSM_FFd1 | NULL | 8 | nAS_FSB | 32 | 9 | iobm/IOS_FSM_FFd2 | NULL | 12 | iobs/Load1 | NULL | 13 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 14 | iobs/IOU1 | NULL | 16 | ram/RS_FSM_FFd2 | NULL | 27 | nUDS_FSB | 33 | 33 | RESr1 | NULL | 40 | cnt/RefCnt<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 41 | IPL2r0 | NULL | 44 | cnt/RefCnt<1> | NULL | 45 | iobm/IOS_FSM_FFd1 | NULL | 47 | fsb/ASrf | NULL | 49 | nIPL2 | 92 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 51 | RESr0 | NULL - -FB_IMUX_INDEX | FOOBAR1_ | 260 | -1 | 92 | 129 | 148 | -1 | 114 | 61 | 268 | 99 | -1 | -1 | 84 | 103 | 14 | -1 | 124 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 272 | -1 | -1 | -1 | -1 | -1 | 8 | -1 | -1 | -1 | -1 | -1 | -1 | 35 | 11 | -1 | -1 | 5 | 16 | -1 | 3 | -1 | 150 | -1 | 9 | -1 | -1 - - -FB_ORDER_OF_INPUTS | FOOBAR2_ | 7 | nDTACK_IOB | 78 | 8 | IOREQ | NULL | 11 | nVPA_IOB | 77 | 15 | IOACT | NULL | 16 | E_IOB | 25 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 23 | nBERR_IOB | 76 | 28 | iobm/Er | NULL | 37 | iobm/BGr0 | NULL | 43 | nBG_IOB | 73 - -FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 167 | 134 | -1 | -1 | 171 | -1 | -1 | -1 | 105 | 242 | -1 | -1 | -1 | -1 | -1 | -1 | 175 | -1 | -1 | -1 | -1 | 28 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 32 | -1 | -1 | -1 | -1 | -1 | 183 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 - - -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | fsb/Ready1r | NULL | 2 | iobs/IORW1 | NULL | 3 | fsb/ASrf | NULL | 4 | fsb/Ready2r | NULL | 5 | A_FSB<16> | 14 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 6 | A_FSB<10> | 8 | 7 | iobs/PS_FSM_FFd1 | NULL | 8 | nDTACK_FSB_OBUF.UIM | NULL | 9 | $OpTx$$OpTx$FX_DC$182_INV$783.UIM | NULL | 10 | A_FSB<11> | 9 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 13 | $OpTx$FX_DC$708.UIM | NULL | 14 | fsb/VPA | NULL | 15 | fsb/BERR0r | NULL | 16 | A_FSB<13> | 11 | 19 | A_FSB<20> | 18 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 | 24 | A_FSB<22> | 20 | 26 | BERR_IOBS | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 28 | nWE_FSB | 29 | 31 | TimeoutA | NULL | 33 | nAS_FSB | 32 | 34 | A_FSB<17> | 15 | 36 | cs/nOverlay1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 37 | iobs/IOReady | NULL | 38 | fsb/BERR1r | NULL | 39 | SW<1> | 60 | 40 | A_FSB<8> | 6 | 42 | Disable | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 43 | iobs/Once | NULL | 44 | A_FSB<14> | 12 | 45 | iobs/PS_FSM_FFd2 | NULL | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 49 | ALE1 | NULL | 50 | A_FSB<18> | 16 | 53 | A_FSB<9> | 7 - -FB_IMUX_INDEX | FOOBAR3_ | 36 | -1 | 38 | 3 | 40 | 214 | 198 | 61 | 44 | 117 | 202 | -1 | -1 | 13 | 50 | 141 | 208 | -1 | -1 | 226 | -1 | 222 | 206 | 240 | 230 | -1 | 71 | -1 | 256 | -1 | -1 | 68 | -1 | 268 | 216 | -1 | 63 | 70 | 62 | 215 | 194 | -1 | 140 | 139 | 210 | 129 | 212 | 228 | -1 | 103 | 218 | -1 | -1 | 196 - - -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | cnt/RefCnt<6> | NULL | 1 | iobm/BG | NULL | 2 | A_FSB<21> | 19 | 3 | iobs/PS_FSM_FFd2 | NULL | 4 | nRES | 91 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 5 | iobs/IOACTr | NULL | 6 | RefAck | NULL | 8 | nAS_FSB | 32 | 9 | iobm/IOS_FSM_FFd2 | NULL | 11 | nAS_IOBout | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 12 | cs/nOverlay0 | NULL | 13 | iobm/BGr1 | NULL | 14 | A_FSB<23> | 24 | 15 | iobs/IOL1 | NULL | 16 | iobs/IOReady | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 17 | IOBERR | NULL | 18 | cnt/RefCnt<4> | NULL | 19 | A_FSB<20> | 18 | 21 | IORW0 | NULL | 23 | SW<1> | 60 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 24 | A_FSB<22> | 20 | 26 | BERR_IOBS | NULL | 28 | nWE_FSB | 29 | 31 | TimeoutA | NULL | 32 | iobm/BGr0 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 33 | cnt/RefCnt<5> | NULL | 34 | iobs/PS_FSM_FFd1 | NULL | 35 | cnt/RefCnt<3> | NULL | 36 | cnt/RefCnt<2> | NULL | 37 | nLDS_FSB | 30 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 38 | fsb/BERR1r | NULL | 39 | cnt/RefCnt<7> | NULL | 40 | cnt/RefCnt<0> | NULL | 42 | fsb/VPA | NULL | 43 | iobs/Once | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 44 | cnt/RefCnt<1> | NULL | 45 | cnt/TimeoutBPre | NULL | 47 | fsb/ASrf | NULL | 49 | ALE1 | NULL | 51 | iobm/IOS_FSM_FFd3 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 53 | cnt/RefDone | NULL - -FB_IMUX_INDEX | FOOBAR4_ | 54 | 55 | 228 | 129 | 148 | 23 | 6 | -1 | 268 | 99 | -1 | 101 | 66 | 31 | 240 | 15 | 70 | 107 | 57 | 226 | -1 | 143 | -1 | 215 | 230 | -1 | 71 | -1 | 256 | -1 | -1 | 68 | 32 | 56 | 61 | 60 | 4 | 260 | 62 | 74 | 35 | -1 | 50 | 139 | 5 | 67 | -1 | 3 | -1 | 103 | -1 | 92 | -1 | 65 - - -FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | ram/RASEL | NULL | 1 | A_FSB<14> | 12 | 2 | cnt/RefCnt<5> | NULL | 3 | fsb/ASrf | NULL | 4 | cnt/RefCnt<2> | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 5 | cnt/RefCnt<1> | NULL | 6 | cnt/RefCnt<3> | NULL | 7 | iobs/PS_FSM_FFd1 | NULL | 8 | A_FSB<3> | 96 | 9 | cs/nOverlay1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 10 | A_FSB<6> | 3 | 11 | cnt/RefDone | NULL | 12 | ram/RS_FSM_FFd3 | NULL | 13 | ALE1 | NULL | 14 | A_FSB<7> | 4 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 15 | ram/Once | NULL | 16 | ram/RS_FSM_FFd2 | NULL | 17 | cnt/RefCnt<0> | NULL | 19 | A_FSB<20> | 18 | 20 | cnt/RefCnt<6> | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 | 23 | SW<1> | 60 | 24 | A_FSB<22> | 20 | 28 | nWE_FSB | 29 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 30 | A_FSB<4> | 97 | 33 | nAS_FSB | 32 | 34 | A_FSB<5> | 2 | 36 | A_FSB<23> | 24 | 39 | cnt/RefCnt<7> | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 40 | ram/BACTr | NULL | 41 | ram/RS_FSM_FFd1 | NULL | 42 | cnt/RefCnt<4> | NULL | 43 | iobs/Once | NULL | 44 | A_FSB<13> | 11 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 45 | iobs/PS_FSM_FFd2 | NULL | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 | 49 | A_FSB<17> | 15 | 50 | A_FSB<18> | 16 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 52 | ram/RAMDIS2 | NULL | 53 | A_FSB<16> | 14 - -FB_IMUX_INDEX | FOOBAR5_ | 72 | 210 | 56 | 3 | 4 | 5 | 60 | 61 | 168 | 63 | 186 | 65 | 120 | 103 | 190 | 87 | 124 | 35 | -1 | 226 | 54 | 222 | 206 | 215 | 230 | -1 | -1 | -1 | 256 | -1 | 172 | -1 | -1 | 268 | 182 | -1 | 240 | -1 | -1 | 74 | 0 | 114 | 57 | 139 | 208 | 129 | 212 | 228 | -1 | 216 | 218 | -1 | 75 | 214 - - -FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/ETACK | NULL | 1 | iobm/RESrr | NULL | 2 | iobm/RESrf | NULL | 3 | iobm/ES<3> | NULL | 4 | iobm/ES<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/ES<0> | NULL | 6 | iobm/VPArr | NULL | 7 | iobm/VPArf | NULL | 8 | iobm/IOREQr | NULL | 9 | iobm/Er2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | iobm/Er | NULL | 11 | iobm/DTACKrr | NULL | 12 | ALE0S | NULL | 15 | iobm/BERRrr | NULL | 16 | iobm/IOS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 17 | IOU0 | NULL | 21 | IORW0 | NULL | 23 | nBERR_IOB | 76 | 29 | iobm/ES<2> | NULL | 30 | iobm/IOS_FSM_FFd3 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 32 | ALE0M | NULL | 37 | iobs/Load1 | NULL | 38 | IOACT | NULL | 40 | iobm/IOS_FSM_FFd2 | NULL | 42 | iobm/ES<4> | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 43 | IOL0 | NULL | 44 | nVMA_IOBout | NULL | 46 | iobm/BERRrf | NULL | 47 | iobm/DTACKrf | NULL | 48 | CLK_IOB | 23 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 49 | ALE1 | NULL | 50 | iobs/Clear1 | NULL | 51 | iobm/BG | NULL | 53 | IOBERR | NULL - -FB_IMUX_INDEX | FOOBAR6_ | 90 | 1 | 2 | 93 | 94 | 95 | 24 | 25 | 26 | 27 | 28 | 29 | 12 | -1 | -1 | 33 | 16 | 17 | -1 | -1 | -1 | 143 | -1 | 175 | -1 | -1 | -1 | -1 | -1 | 102 | 92 | -1 | 96 | -1 | -1 | -1 | -1 | 84 | 105 | -1 | 99 | -1 | 97 | 69 | 91 | -1 | 34 | 30 | 238 | 103 | 53 | 55 | -1 | 107 - - -FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | ram/RAMReady | NULL | 2 | cnt/RefCnt<5> | NULL | 3 | fsb/ASrf | NULL | 4 | SW<0> | 61 | 5 | cnt/RefCnt<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 6 | cnt/RefCnt<3> | NULL | 7 | A_FSB<17> | 15 | 8 | nAS_FSB | 32 | 9 | cs/nOverlay1 | NULL | 11 | cnt/RefDone | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 12 | A_FSB<1> | 94 | 13 | cnt/TimeoutBPre | NULL | 14 | A_FSB<23> | 24 | 17 | cnt/RefCnt<0> | NULL | 18 | cnt/RefCnt<4> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 19 | A_FSB<2> | 95 | 20 | cnt/RefCnt<6> | NULL | 21 | A_FSB<19> | 17 | 24 | A_FSB<22> | 20 | 29 | ram/RS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 30 | A_FSB<20> | 18 | 31 | A_FSB<10> | 8 | 36 | cnt/RefCnt<2> | NULL | 39 | cnt/RefCnt<7> | NULL | 40 | A_FSB<8> | 6 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 41 | ram/RS_FSM_FFd1 | NULL | 42 | ram/BACTr | NULL | 43 | ram/Once | NULL | 44 | TimeoutB | NULL | 45 | A_FSB<21> | 19 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 47 | ram/RASEL | NULL | 48 | ram/RS_FSM_FFd3 | NULL | 50 | A_FSB<18> | 16 | 51 | fsb/Ready0r | NULL | 52 | A_FSB<11> | 9 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 53 | A_FSB<9> | 7 - -FB_IMUX_INDEX | FOOBAR7_ | 108 | -1 | 56 | 3 | 213 | 5 | 60 | 216 | 268 | 63 | -1 | 65 | 156 | 67 | 240 | -1 | -1 | 35 | 57 | 164 | 54 | 222 | -1 | -1 | 230 | -1 | -1 | -1 | -1 | 124 | 226 | 198 | -1 | -1 | -1 | -1 | 4 | -1 | -1 | 74 | 194 | 114 | 0 | 87 | 110 | 228 | -1 | 72 | 120 | -1 | 218 | 111 | 202 | 196 - - -FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | A_FSB<20> | 18 | 1 | A_FSB<14> | 12 | 2 | A_FSB<21> | 19 | 3 | ram/RAMDIS2 | NULL | 4 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 6 | RESDone | NULL | 7 | RESr2 | NULL | 8 | RESr1 | NULL | 9 | RESr0 | NULL | 10 | IPL2r1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 11 | IPL2r0 | NULL | 12 | nUDS_FSB | 33 | 13 | ALE1 | NULL | 14 | A_FSB<23> | 24 | 16 | A_FSB<13> | 11 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 17 | IORW0 | NULL | 21 | A_FSB<19> | 17 | 23 | SW<1> | 60 | 25 | iobs/Once | NULL | 26 | BERR_IOBS | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 28 | nWE_FSB | 29 | 30 | fsb/BERR0r | NULL | 33 | nAS_FSB | 32 | 34 | iobs/PS_FSM_FFd1 | NULL | 36 | cs/nOverlay1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 37 | nLDS_FSB | 30 | 38 | fsb/BERR1r | NULL | 39 | iobs/IORW1 | NULL | 41 | ram/RAMDIS1 | NULL | 43 | RefAck | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 44 | TimeoutB | NULL | 45 | iobs/PS_FSM_FFd2 | NULL | 47 | fsb/ASrf | NULL | 48 | iobs/IOACTr | NULL | 49 | A_FSB<17> | 15 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 50 | A_FSB<18> | 16 | 53 | A_FSB<16> | 14 - -FB_IMUX_INDEX | FOOBAR8_ | 226 | 210 | 228 | 75 | 230 | -1 | 132 | 7 | 8 | 9 | 10 | 11 | 272 | 103 | 240 | -1 | 208 | 143 | -1 | -1 | -1 | 222 | -1 | 215 | -1 | 139 | 71 | -1 | 256 | -1 | 141 | -1 | -1 | 268 | 61 | -1 | 63 | 260 | 62 | 38 | -1 | 79 | -1 | 6 | 110 | 129 | -1 | 3 | 23 | 216 | 218 | -1 | -1 | 214 - - -GLOBAL_FCLK | CLK2X_IOB | 0 | 0 | CLK_IOB | 1 | 1 | CLK_FSB | 2 | 2 - -TIME_TSPEC | TS_CLK_IOB | PERIOD:CLK_IOB:142.857nS:HIGH:71.428nS | CLK_IOB | 10003 | 1428 | 714 | NULL - -TIME_TSPEC | TS_CLK_FSB | PERIOD:CLK_FSB:40.000nS:HIGH:20.000nS | CLK_FSB | 10003 | 400 | 200 | NULL - -TIME_TSPEC | TS_CLK2X_IOB | PERIOD:CLK2X_IOB:66.666nS:HIGH:33.333nS | CLK2X_IOB | 10003 | 666 | 333 | NULL diff --git a/cpld/XC95144XL/MXSE.xml b/cpld/XC95144XL/MXSE.xml deleted file mode 100644 index 0e546e8..0000000 --- a/cpld/XC95144XL/MXSE.xml +++ /dev/null @@ -1,3 +0,0 @@ - - -MXSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpMXSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'MXSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation. diff --git a/cpld/XC95144XL/MXSE_html/fit/ascii.htm b/cpld/XC95144XL/MXSE_html/fit/ascii.htm deleted file mode 100644 index 63b6e4b..0000000 --- a/cpld/XC95144XL/MXSE_html/fit/ascii.htm +++ /dev/null @@ -1,1824 +0,0 @@ - -
- 
-cpldfit:  version P.20131013                        Xilinx Inc.
-                                  Fitter Report
-Design Name: MXSE                                Date:  3-27-2022, 10:08AM
-Device Used: XC95144XL-10-TQ100
-Fitting Status: Successful
-
-*************************  Mapped Resource Summary  **************************
-
-Macrocells     Product Terms    Function Block   Registers      Pins           
-Used/Tot       Used/Tot         Inps Used/Tot    Used/Tot       Used/Tot       
-115/144 ( 80%) 458 /720  ( 64%) 258/432 ( 60%)   89 /144 ( 62%) 74 /81  ( 91%)
-
-** Function Block Resources **
-
-Function    Mcells      FB Inps     Pterms      IO          
-Block       Used/Tot    Used/Tot    Used/Tot    Used/Tot    
-FB1          18/18*      21/54       23/90      11/11*
-FB2          13/18        9/54       12/90       8/10
-FB3           7/18       38/54       81/90      10/10*
-FB4          18/18*      41/54       41/90      10/10*
-FB5          14/18       42/54       81/90       8/10
-FB6          18/18*      34/54       64/90      10/10*
-FB7          15/18       36/54       75/90      10/10*
-FB8          12/18       37/54       81/90       7/10
-             -----       -----       -----      -----    
-            115/144     258/432     458/720     74/81 
-
-* - Resource is exhausted
-
-** Global Control Resources **
-
-Signal 'CLK2X_IOB' mapped onto global clock net GCK1.
-Signal 'CLK_IOB' mapped onto global clock net GCK2.
-Signal 'CLK_FSB' mapped onto global clock net GCK3.
-Global output enable net(s) unused.
-Global set/reset net(s) unused.
-
-** Pin Resources **
-
-Signal Type    Required     Mapped  |  Pin Type            Used    Total 
-------------------------------------|------------------------------------
-Input         :   36          36    |  I/O              :    68      73
-Output        :   35          35    |  GCK/IO           :     3       3
-Bidirectional :    0           0    |  GTS/IO           :     3       4
-GCK           :    3           3    |  GSR/IO           :     0       1
-GTS           :    0           0    |
-GSR           :    0           0    |
-                 ----        ----
-        Total     74          74
-
-** Power Data **
-
-There are 115 macrocells in high performance mode (MCHP).
-There are 0 macrocells in low power mode (MCLP).
-End of Mapped Resource Summary
-**************************  Errors and Warnings  ***************************
-
-WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will
-   use the default filename of 'MXSE.ise'.
-INFO:Cpld - Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC
-   constraint 'P22'. It is recommended that you declare this BUFG explicitedly
-   in your design. Note that for certain device families the output of a BUFG
-   constraint can not drive a gated clock, and the BUFG constraint will be
-   ignored.
-INFO:Cpld - Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC
-   constraint 'P27'. It is recommended that you declare this BUFG explicitedly
-   in your design. Note that for certain device families the output of a BUFG
-   constraint can not drive a gated clock, and the BUFG constraint will be
-   ignored.
-INFO:Cpld - Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC
-   constraint 'P23'. It is recommended that you declare this BUFG explicitedly
-   in your design. Note that for certain device families the output of a BUFG
-   constraint can not drive a gated clock, and the BUFG constraint will be
-   ignored.
-WARNING:Cpld:1007 - Removing unused input(s) 'SW<2>'.  The input(s) are unused
-   after optimization. Please verify functionality via simulation.
-*************************  Summary of Mapped Logic  ************************
-
-** 35 Outputs **
-
-Signal                         Total Total Loc     Pin  Pin     Pin     Pwr  Slew Reg Init
-Name                           Pts   Inps          No.  Type    Use     Mode Rate State
-nDTACK_FSB                     23    32    FB3_9   28   I/O     O       STD  FAST RESET
-nROMWE                         1     2     FB3_17  34   I/O     O       STD  FAST 
-nAoutOE                        3     4     FB4_2   87   I/O     O       STD  FAST SET
-nDoutOE                        2     3     FB4_5   89   I/O     O       STD  FAST RESET
-nDinOE                         3     7     FB4_6   90   I/O     O       STD  FAST 
-nVPA_FSB                       1     2     FB4_11  93   I/O     O       STD  FAST 
-nROMCS                         3     6     FB5_2   35   I/O     O       STD  FAST 
-nCAS                           1     1     FB5_5   36   I/O     O       STD  FAST RESET
-nOE                            1     2     FB5_6   37   I/O     O       STD  FAST 
-RA<4>                          2     3     FB5_9   40   I/O     O       STD  FAST 
-RA<3>                          2     3     FB5_11  41   I/O     O       STD  FAST 
-RA<5>                          2     3     FB5_12  42   I/O     O       STD  FAST 
-RA<2>                          2     3     FB5_14  43   I/O     O       STD  FAST 
-RA<6>                          2     3     FB5_15  46   I/O     O       STD  FAST 
-nVMA_IOB                       3     10    FB6_2   74   I/O     O       STD  FAST RESET
-nLDS_IOB                       4     6     FB6_9   79   I/O     O       STD  FAST RESET
-nUDS_IOB                       4     6     FB6_11  80   I/O     O       STD  FAST RESET
-nAS_IOB                        3     4     FB6_12  81   I/O     O       STD  FAST RESET
-nADoutLE1                      2     3     FB6_14  82   I/O     O       STD  FAST SET
-nADoutLE0                      1     2     FB6_15  85   I/O     O       STD  FAST 
-nDinLE                         1     2     FB6_17  86   I/O     O       STD  FAST RESET
-RA<1>                          2     3     FB7_2   50   I/O     O       STD  FAST 
-RA<7>                          2     3     FB7_5   52   I/O     O       STD  FAST 
-RA<0>                          2     3     FB7_6   53   I/O     O       STD  FAST 
-RA<8>                          7     7     FB7_8   54   I/O     O       STD  FAST 
-RA<10>                         1     1     FB7_9   55   I/O     O       STD  FAST 
-RA<9>                          2     3     FB7_11  56   I/O     O       STD  FAST 
-CLK25EN                        1     1     FB7_12  58   I/O     O       STD  FAST 
-CLK20EN                        1     1     FB7_14  59   I/O     O       STD  FAST 
-RA<11>                         1     1     FB8_2   63   I/O     O       STD  FAST 
-nRAS                           3     8     FB8_5   64   I/O     O       STD  FAST 
-nRAMLWE                        1     5     FB8_6   65   I/O     O       STD  FAST 
-nRAMUWE                        1     5     FB8_8   66   I/O     O       STD  FAST 
-nBERR_FSB                      3     9     FB8_12  70   I/O     O       STD  FAST 
-nBR_IOB                        1     6     FB8_15  72   I/O     O       STD  FAST SET
-
-** 80 Buried Nodes **
-
-Signal                         Total Total Loc     Pwr  Reg Init
-Name                           Pts   Inps          Mode State
-ram/BACTr                      1     2     FB1_1   STD  RESET
-iobm/RESrr                     1     1     FB1_2   STD  RESET
-iobm/RESrf                     1     1     FB1_3   STD  RESET
-fsb/ASrf                       1     1     FB1_4   STD  RESET
-cnt/RefCnt<2>                  1     2     FB1_5   STD  RESET
-cnt/RefCnt<1>                  1     1     FB1_6   STD  RESET
-RefAck                         1     2     FB1_7   STD  RESET
-RESr2                          1     1     FB1_8   STD  RESET
-RESr1                          1     1     FB1_9   STD  RESET
-RESr0                          1     1     FB1_10  STD  RESET
-IPL2r1                         1     1     FB1_11  STD  RESET
-IPL2r0                         1     1     FB1_12  STD  RESET
-ALE0S                          1     2     FB1_13  STD  RESET
-$OpTx$FX_DC$708                1     2     FB1_14  STD  
-iobs/IOU1                      2     2     FB1_15  STD  RESET
-iobs/IOL1                      2     2     FB1_16  STD  RESET
-iobm/IOS_FSM_FFd1              2     3     FB1_17  STD  RESET
-IOU0                           3     5     FB1_18  STD  RESET
-iobs/IOACTr                    1     1     FB2_6   STD  RESET
-iobm/VPArr                     1     1     FB2_7   STD  RESET
-iobm/VPArf                     1     1     FB2_8   STD  RESET
-iobm/IOREQr                    1     1     FB2_9   STD  RESET
-iobm/Er2                       1     1     FB2_10  STD  RESET
-iobm/Er                        1     1     FB2_11  STD  RESET
-iobm/DTACKrr                   1     1     FB2_12  STD  RESET
-iobm/DTACKrf                   1     1     FB2_13  STD  RESET
-iobm/BGr1                      1     1     FB2_14  STD  RESET
-iobm/BGr0                      1     1     FB2_15  STD  RESET
-iobm/BERRrr                    1     1     FB2_16  STD  RESET
-iobm/BERRrf                    1     1     FB2_17  STD  RESET
-cnt/RefCnt<0>                  0     0     FB2_18  STD  RESET
-fsb/Ready1r                    8     18    FB3_1   STD  RESET
-iobs/IORW1                     17    20    FB3_3   STD  RESET
-fsb/Ready2r                    9     22    FB3_5   STD  RESET
-fsb/VPA                        22    31    FB3_15  STD  RESET
-iobs/Clear1                    1     3     FB3_18  STD  RESET
-cnt/RefCnt<6>                  1     6     FB4_1   STD  RESET
-cnt/RefCnt<5>                  1     5     FB4_3   STD  RESET
-cnt/RefCnt<4>                  1     4     FB4_4   STD  RESET
-cnt/RefCnt<3>                  1     3     FB4_7   STD  RESET
-
-Signal                         Total Total Loc     Pwr  Reg Init
-Name                           Pts   Inps          Mode State
-iobs/PS_FSM_FFd1               2     3     FB4_8   STD  RESET
-fsb/BERR1r                     2     4     FB4_9   STD  RESET
-cs/nOverlay1                   2     3     FB4_10  STD  RESET
-cnt/RefDone                    2     10    FB4_12  STD  RESET
-cs/nOverlay0                   3     8     FB4_13  STD  RESET
-cnt/TimeoutBPre                3     11    FB4_14  STD  RESET
-TimeoutA                       3     10    FB4_15  STD  RESET
-IOL0                           3     5     FB4_16  STD  RESET
-iobs/IOReady                   4     8     FB4_17  STD  RESET
-BERR_IOBS                      4     8     FB4_18  STD  RESET
-ram/RASEL                      20    15    FB5_1   STD  RESET
-cnt/RefCnt<7>                  1     7     FB5_3   STD  RESET
-ram/RAMDIS2                    7     15    FB5_4   STD  RESET
-ram/RAMDIS1                    18    15    FB5_8   STD  RESET
-iobs/Load1                     15    19    FB5_13  STD  RESET
-ram/Once                       5     10    FB5_16  STD  RESET
-iobm/ETACK                     1     6     FB6_1   STD  RESET
-iobm/IOS_FSM_FFd3              3     6     FB6_3   STD  RESET
-iobm/ES<3>                     3     6     FB6_4   STD  RESET
-iobm/ES<1>                     3     4     FB6_5   STD  RESET
-iobm/ES<0>                     3     7     FB6_6   STD  RESET
-ALE0M                          3     5     FB6_7   STD  RESET
-iobm/ES<4>                     4     7     FB6_8   STD  RESET
-iobm/IOS_FSM_FFd2              5     11    FB6_10  STD  RESET
-iobm/ES<2>                     5     7     FB6_13  STD  RESET
-IOACT                          7     13    FB6_16  STD  RESET
-IOBERR                         9     13    FB6_18  STD  RESET
-ram/RAMReady                   16    15    FB7_1   STD  RESET
-TimeoutB                       3     12    FB7_3   STD  RESET
-fsb/Ready0r                    3     8     FB7_4   STD  RESET
-ram/RS_FSM_FFd1                5     10    FB7_7   STD  RESET
-$OpTx$$OpTx$FX_DC$182_INV$783  6     8     FB7_10  STD  
-ram/RS_FSM_FFd3                11    14    FB7_13  STD  RESET
-ram/RS_FSM_FFd2                13    14    FB7_17  STD  RESET
-iobs/PS_FSM_FFd2               15    20    FB8_4   STD  RESET
-RESDone                        1     3     FB8_7   STD  RESET
-IOREQ                          15    20    FB8_9   STD  RESET
-iobs/Once                      18    19    FB8_14  STD  RESET
-fsb/BERR0r                     3     8     FB8_16  STD  RESET
-IORW0                          19    21    FB8_18  STD  RESET
-
-** 39 Inputs **
-
-Signal                         Loc     Pin  Pin     Pin     
-Name                                   No.  Type    Use     
-A_FSB<13>                      FB1_2   11   I/O     I
-A_FSB<14>                      FB1_3   12   I/O     I
-A_FSB<15>                      FB1_5   13   I/O     I
-A_FSB<16>                      FB1_6   14   I/O     I
-A_FSB<17>                      FB1_8   15   I/O     I
-A_FSB<18>                      FB1_9   16   I/O     I
-A_FSB<19>                      FB1_11  17   I/O     I
-A_FSB<20>                      FB1_12  18   I/O     I
-A_FSB<21>                      FB1_14  19   I/O     I
-A_FSB<22>                      FB1_15  20   I/O     I
-CLK2X_IOB                      FB1_17  22   GCK/I/O GCK
-A_FSB<5>                       FB2_6   2    GTS/I/O I
-A_FSB<6>                       FB2_8   3    GTS/I/O I
-A_FSB<7>                       FB2_9   4    GTS/I/O I
-A_FSB<8>                       FB2_11  6    I/O     I
-A_FSB<9>                       FB2_12  7    I/O     I
-A_FSB<10>                      FB2_14  8    I/O     I
-A_FSB<11>                      FB2_15  9    I/O     I
-A_FSB<12>                      FB2_17  10   I/O     I
-CLK_IOB                        FB3_2   23   GCK/I/O GCK/I
-A_FSB<23>                      FB3_5   24   I/O     I
-E_IOB                          FB3_6   25   I/O     I
-CLK_FSB                        FB3_8   27   GCK/I/O GCK
-nWE_FSB                        FB3_11  29   I/O     I
-nLDS_FSB                       FB3_12  30   I/O     I
-nAS_FSB                        FB3_14  32   I/O     I
-nUDS_FSB                       FB3_15  33   I/O     I
-nRES                           FB4_8   91   I/O     I
-nIPL2                          FB4_9   92   I/O     I
-A_FSB<1>                       FB4_12  94   I/O     I
-A_FSB<2>                       FB4_14  95   I/O     I
-A_FSB<3>                       FB4_15  96   I/O     I
-A_FSB<4>                       FB4_17  97   I/O     I
-nBERR_IOB                      FB6_5   76   I/O     I
-nVPA_IOB                       FB6_6   77   I/O     I
-nDTACK_IOB                     FB6_8   78   I/O     I
-SW<1>                          FB7_15  60   I/O     I
-SW<0>                          FB7_17  61   I/O     I
-nBG_IOB                        FB8_17  73   I/O     I
-
-Legend:
-Pin No. - ~ - User Assigned
-**************************  Function Block Details  ************************
-Legend:
-Total Pt     - Total product terms used by the macrocell signal
-Imp Pt       - Product terms imported from other macrocells
-Exp Pt       - Product terms exported to other macrocells
-               in direction shown
-Unused Pt    - Unused local product terms remaining in macrocell
-Loc          - Location where logic was mapped in device
-Pin Type/Use - I  - Input             GCK - Global Clock
-               O  - Output            GTS - Global Output Enable
-              (b) - Buried macrocell  GSR - Global Set/Reset
-X            - Signal used as input to the macrocell logic.
-Pin No.      - ~  - User Assigned
-*********************************** FB1  ***********************************
-Number of function block inputs used/remaining:               21/33
-Number of signals used by logic mapping into function block:  21
-Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
-Name                Pt      Pt    Pt  Pt               #    Type    Use
-ram/BACTr             1       0     0   4     FB1_1         (b)     (b)
-iobm/RESrr            1       0     0   4     FB1_2   11    I/O     I
-iobm/RESrf            1       0     0   4     FB1_3   12    I/O     I
-fsb/ASrf              1       0     0   4     FB1_4         (b)     (b)
-cnt/RefCnt<2>         1       0     0   4     FB1_5   13    I/O     I
-cnt/RefCnt<1>         1       0     0   4     FB1_6   14    I/O     I
-RefAck                1       0     0   4     FB1_7         (b)     (b)
-RESr2                 1       0     0   4     FB1_8   15    I/O     I
-RESr1                 1       0     0   4     FB1_9   16    I/O     I
-RESr0                 1       0     0   4     FB1_10        (b)     (b)
-IPL2r1                1       0     0   4     FB1_11  17    I/O     I
-IPL2r0                1       0     0   4     FB1_12  18    I/O     I
-ALE0S                 1       0     0   4     FB1_13        (b)     (b)
-$OpTx$FX_DC$708       1       0     0   4     FB1_14  19    I/O     I
-iobs/IOU1             2       0     0   3     FB1_15  20    I/O     I
-iobs/IOL1             2       0     0   3     FB1_16        (b)     (b)
-iobm/IOS_FSM_FFd1     2       0     0   3     FB1_17  22    GCK/I/O GCK
-IOU0                  3       0     0   2     FB1_18        (b)     (b)
-
-Signals Used by Logic in Function Block
-  1: IPL2r0              8: iobm/IOS_FSM_FFd2  15: nAS_FSB 
-  2: RESr0               9: iobm/IOS_FSM_FFd3  16: nIPL2 
-  3: RESr1              10: iobs/IOU1          17: nLDS_FSB 
-  4: cnt/RefCnt<0>      11: iobs/Load1         18: nRES 
-  5: cnt/RefCnt<1>      12: iobs/PS_FSM_FFd1   19: nUDS_FSB 
-  6: fsb/ASrf           13: iobs/PS_FSM_FFd2   20: ram/RS_FSM_FFd1 
-  7: iobm/IOS_FSM_FFd1  14: nADoutLE1          21: ram/RS_FSM_FFd2 
-
-Signal                        1         2         3         4 FB
-Name                0----+----0----+----0----+----0----+----0 Inputs
-ram/BACTr            .....X........X......................... 2
-iobm/RESrr           .................X...................... 1
-iobm/RESrf           .................X...................... 1
-fsb/ASrf             ..............X......................... 1
-cnt/RefCnt<2>        ...XX................................... 2
-cnt/RefCnt<1>        ...X.................................... 1
-RefAck               ...................XX................... 2
-RESr2                ..X..................................... 1
-RESr1                .X...................................... 1
-RESr0                .................X...................... 1
-IPL2r1               X....................................... 1
-IPL2r0               ...............X........................ 1
-ALE0S                ...........XX........................... 2
-$OpTx$FX_DC$708      .....X........X......................... 2
-iobs/IOU1            ..........X.......X..................... 2
-iobs/IOL1            ..........X.....X....................... 2
-iobm/IOS_FSM_FFd1    ......XXX............................... 3
-IOU0                 .........X.XXX....X..................... 5
-                    0----+----1----+----2----+----3----+----4
-                              0         0         0         0
-*********************************** FB2  ***********************************
-Number of function block inputs used/remaining:               9/45
-Number of signals used by logic mapping into function block:  9
-Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
-Name                Pt      Pt    Pt  Pt               #    Type    Use
-(unused)              0       0     0   5     FB2_1         (b)     
-(unused)              0       0     0   5     FB2_2   99    GSR/I/O 
-(unused)              0       0     0   5     FB2_3         (b)     
-(unused)              0       0     0   5     FB2_4         (b)     
-(unused)              0       0     0   5     FB2_5   1     GTS/I/O 
-iobs/IOACTr           1       0     0   4     FB2_6   2     GTS/I/O I
-iobm/VPArr            1       0     0   4     FB2_7         (b)     (b)
-iobm/VPArf            1       0     0   4     FB2_8   3     GTS/I/O I
-iobm/IOREQr           1       0     0   4     FB2_9   4     GTS/I/O I
-iobm/Er2              1       0     0   4     FB2_10        (b)     (b)
-iobm/Er               1       0     0   4     FB2_11  6     I/O     I
-iobm/DTACKrr          1       0     0   4     FB2_12  7     I/O     I
-iobm/DTACKrf          1       0     0   4     FB2_13        (b)     (b)
-iobm/BGr1             1       0     0   4     FB2_14  8     I/O     I
-iobm/BGr0             1       0     0   4     FB2_15  9     I/O     I
-iobm/BERRrr           1       0     0   4     FB2_16        (b)     (b)
-iobm/BERRrf           1       0     0   4     FB2_17  10    I/O     I
-cnt/RefCnt<0>         0       0     0   5     FB2_18        (b)     (b)
-
-Signals Used by Logic in Function Block
-  1: E_IOB              4: iobm/BGr0          7: nBG_IOB 
-  2: IOACT              5: iobm/Er            8: nDTACK_IOB 
-  3: IOREQ              6: nBERR_IOB          9: nVPA_IOB 
-
-Signal                        1         2         3         4 FB
-Name                0----+----0----+----0----+----0----+----0 Inputs
-iobs/IOACTr          .X...................................... 1
-iobm/VPArr           ........X............................... 1
-iobm/VPArf           ........X............................... 1
-iobm/IOREQr          ..X..................................... 1
-iobm/Er2             ....X................................... 1
-iobm/Er              X....................................... 1
-iobm/DTACKrr         .......X................................ 1
-iobm/DTACKrf         .......X................................ 1
-iobm/BGr1            ...X.................................... 1
-iobm/BGr0            ......X................................. 1
-iobm/BERRrr          .....X.................................. 1
-iobm/BERRrf          .....X.................................. 1
-cnt/RefCnt<0>        ........................................ 0
-                    0----+----1----+----2----+----3----+----4
-                              0         0         0         0
-*********************************** FB3  ***********************************
-Number of function block inputs used/remaining:               38/16
-Number of signals used by logic mapping into function block:  38
-Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
-Name                Pt      Pt    Pt  Pt               #    Type    Use
-fsb/Ready1r           8       4<- \/1   0     FB3_1         (b)     (b)
-(unused)              0       0   \/5   0     FB3_2   23    GCK/I/O GCK/I
-iobs/IORW1           17      12<-   0   0     FB3_3         (b)     (b)
-(unused)              0       0   /\5   0     FB3_4         (b)     (b)
-fsb/Ready2r           9       5<- /\1   0     FB3_5   24    I/O     I
-(unused)              0       0   /\5   0     FB3_6   25    I/O     I
-(unused)              0       0   \/3   2     FB3_7         (b)     (b)
-(unused)              0       0   \/5   0     FB3_8   27    GCK/I/O GCK
-nDTACK_FSB           23      18<-   0   0     FB3_9   28    I/O     O
-(unused)              0       0   /\5   0     FB3_10        (b)     (b)
-(unused)              0       0   /\5   0     FB3_11  29    I/O     I
-(unused)              0       0     0   5     FB3_12  30    I/O     I
-(unused)              0       0   \/5   0     FB3_13        (b)     (b)
-(unused)              0       0   \/5   0     FB3_14  32    I/O     I
-fsb/VPA              22      17<-   0   0     FB3_15  33    I/O     I
-(unused)              0       0   /\5   0     FB3_16        (b)     (b)
-nROMWE                1       0   /\2   2     FB3_17  34    I/O     O
-iobs/Clear1           1       0   \/4   0     FB3_18        (b)     (b)
-
-Signals Used by Logic in Function Block
-  1: $OpTx$$OpTx$FX_DC$182_INV$783  14: A_FSB<21>         27: fsb/Ready2r 
-  2: $OpTx$FX_DC$708                15: A_FSB<22>         28: fsb/VPA 
-  3: A_FSB<10>                      16: A_FSB<23>         29: iobs/IORW1 
-  4: A_FSB<11>                      17: A_FSB<8>          30: iobs/IOReady 
-  5: A_FSB<12>                      18: A_FSB<9>          31: iobs/Once 
-  6: A_FSB<13>                      19: BERR_IOBS         32: iobs/PS_FSM_FFd1 
-  7: A_FSB<14>                      20: SW<1>             33: iobs/PS_FSM_FFd2 
-  8: A_FSB<15>                      21: TimeoutA          34: nADoutLE1 
-  9: A_FSB<16>                      22: cs/nOverlay1      35: nAS_FSB 
- 10: A_FSB<17>                      23: fsb/ASrf          36: nBR_IOB 
- 11: A_FSB<18>                      24: fsb/BERR0r        37: nDTACK_FSB 
- 12: A_FSB<19>                      25: fsb/BERR1r        38: nWE_FSB 
- 13: A_FSB<20>                      26: fsb/Ready1r      
-
-Signal                        1         2         3         4 FB
-Name                0----+----0----+----0----+----0----+----0 Inputs
-fsb/Ready1r          .....XX.XXXXXXXX...X.XX..X...X...XX..X.. 18
-iobs/IORW1           .....XX.XXXXXXXX...X.XX.....X.XXXXX..X.. 20
-fsb/Ready2r          ..XXXXXXXXXXXXXXXX..XXX...X.......X..X.. 22
-nDTACK_FSB           X.XXXXXXXXXXXXXXXXXXXXXXXXX..X...XXXXX.. 32
-fsb/VPA              XXXXXXXXXXXXXXXXXXXXXX.XXXXX.X...X.X.X.. 31
-nROMWE               ..................................X..X.. 2
-iobs/Clear1          ...............................XXX...... 3
-                    0----+----1----+----2----+----3----+----4
-                              0         0         0         0
-*********************************** FB4  ***********************************
-Number of function block inputs used/remaining:               41/13
-Number of signals used by logic mapping into function block:  41
-Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
-Name                Pt      Pt    Pt  Pt               #    Type    Use
-cnt/RefCnt<6>         1       0     0   4     FB4_1         (b)     (b)
-nAoutOE               3       0     0   2     FB4_2   87    I/O     O
-cnt/RefCnt<5>         1       0     0   4     FB4_3         (b)     (b)
-cnt/RefCnt<4>         1       0     0   4     FB4_4         (b)     (b)
-nDoutOE               2       0     0   3     FB4_5   89    I/O     O
-nDinOE                3       0     0   2     FB4_6   90    I/O     O
-cnt/RefCnt<3>         1       0     0   4     FB4_7         (b)     (b)
-iobs/PS_FSM_FFd1      2       0     0   3     FB4_8   91    I/O     I
-fsb/BERR1r            2       0     0   3     FB4_9   92    I/O     I
-cs/nOverlay1          2       0     0   3     FB4_10        (b)     (b)
-nVPA_FSB              1       0     0   4     FB4_11  93    I/O     O
-cnt/RefDone           2       0     0   3     FB4_12  94    I/O     I
-cs/nOverlay0          3       0     0   2     FB4_13        (b)     (b)
-cnt/TimeoutBPre       3       0     0   2     FB4_14  95    I/O     I
-TimeoutA              3       0     0   2     FB4_15  96    I/O     I
-IOL0                  3       0     0   2     FB4_16        (b)     (b)
-iobs/IOReady          4       0     0   1     FB4_17  97    I/O     I
-BERR_IOBS             4       0     0   1     FB4_18        (b)     (b)
-
-Signals Used by Logic in Function Block
-  1: A_FSB<20>         15: cnt/RefCnt<4>      29: iobs/IOACTr 
-  2: A_FSB<21>         16: cnt/RefCnt<5>      30: iobs/IOL1 
-  3: A_FSB<22>         17: cnt/RefCnt<6>      31: iobs/IOReady 
-  4: A_FSB<23>         18: cnt/RefCnt<7>      32: iobs/Once 
-  5: BERR_IOBS         19: cnt/RefDone        33: iobs/PS_FSM_FFd1 
-  6: IOBERR            20: cnt/TimeoutBPre    34: iobs/PS_FSM_FFd2 
-  7: IORW0             21: cs/nOverlay0       35: nADoutLE1 
-  8: RefAck            22: fsb/ASrf           36: nAS_FSB 
-  9: SW<1>             23: fsb/BERR1r         37: nAS_IOB 
- 10: TimeoutA          24: fsb/VPA            38: nAoutOE 
- 11: cnt/RefCnt<0>     25: iobm/BGr0          39: nLDS_FSB 
- 12: cnt/RefCnt<1>     26: iobm/BGr1          40: nRES 
- 13: cnt/RefCnt<2>     27: iobm/IOS_FSM_FFd2  41: nWE_FSB 
- 14: cnt/RefCnt<3>     28: iobm/IOS_FSM_FFd3 
-
-Signal                        1         2         3         4         5 FB
-Name                0----+----0----+----0----+----0----+----0----+----0 Inputs
-cnt/RefCnt<6>        ..........XXXXXX.................................. 6
-nAoutOE              ........................XX..........XX............ 4
-cnt/RefCnt<5>        ..........XXXXX................................... 5
-cnt/RefCnt<4>        ..........XXXX.................................... 4
-nDoutOE              ......X...................XX...................... 3
-nDinOE               XXXX....X..........................X....X......... 7
-cnt/RefCnt<3>        ..........XXX..................................... 3
-iobs/PS_FSM_FFd1     ............................X...XX................ 3
-fsb/BERR1r           ....X................XX............X.............. 4
-cs/nOverlay1         ....................XX.............X.............. 3
-nVPA_FSB             .......................X...........X.............. 2
-cnt/RefDone          .......X..XXXXXXXXX............................... 10
-cs/nOverlay0         XXXX................XX.............X...X.......... 8
-cnt/TimeoutBPre      ..........XXXXXXXX.X.X.............X.............. 11
-TimeoutA             .........XXXXXXXX....X.............X.............. 10
-IOL0                 .............................X..XXX...X........... 5
-iobs/IOReady         .....X...............X......X.XX.XXX.............. 8
-BERR_IOBS            ....XX...............X......X..X.XXX.............. 8
-                    0----+----1----+----2----+----3----+----4----+----5
-                              0         0         0         0         0
-*********************************** FB5  ***********************************
-Number of function block inputs used/remaining:               42/12
-Number of signals used by logic mapping into function block:  42
-Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
-Name                Pt      Pt    Pt  Pt               #    Type    Use
-ram/RASEL            20      15<-   0   0     FB5_1         (b)     (b)
-nROMCS                3       3<- /\5   0     FB5_2   35    I/O     O
-cnt/RefCnt<7>         1       0   /\3   1     FB5_3         (b)     (b)
-ram/RAMDIS2           7       2<-   0   0     FB5_4         (b)     (b)
-nCAS                  1       0   /\2   2     FB5_5   36    I/O     O
-nOE                   1       0   \/3   1     FB5_6   37    I/O     O
-(unused)              0       0   \/5   0     FB5_7         (b)     (b)
-ram/RAMDIS1          18      13<-   0   0     FB5_8   39    I/O     (b)
-RA<4>                 2       2<- /\5   0     FB5_9   40    I/O     O
-(unused)              0       0   /\2   3     FB5_10        (b)     (b)
-RA<3>                 2       0   \/2   1     FB5_11  41    I/O     O
-RA<5>                 2       2<- \/5   0     FB5_12  42    I/O     O
-iobs/Load1           15      10<-   0   0     FB5_13        (b)     (b)
-RA<2>                 2       2<- /\5   0     FB5_14  43    I/O     O
-RA<6>                 2       0   /\2   1     FB5_15  46    I/O     O
-ram/Once              5       0     0   0     FB5_16        (b)     (b)
-(unused)              0       0   \/5   0     FB5_17  49    I/O     (b)
-(unused)              0       0   \/5   0     FB5_18        (b)     (b)
-
-Signals Used by Logic in Function Block
-  1: A_FSB<12>         15: A_FSB<5>          29: fsb/ASrf 
-  2: A_FSB<13>         16: A_FSB<6>          30: iobs/Once 
-  3: A_FSB<14>         17: A_FSB<7>          31: iobs/PS_FSM_FFd1 
-  4: A_FSB<15>         18: SW<1>             32: iobs/PS_FSM_FFd2 
-  5: A_FSB<16>         19: cnt/RefCnt<0>     33: nADoutLE1 
-  6: A_FSB<17>         20: cnt/RefCnt<1>     34: nAS_FSB 
-  7: A_FSB<18>         21: cnt/RefCnt<2>     35: nWE_FSB 
-  8: A_FSB<19>         22: cnt/RefCnt<3>     36: ram/BACTr 
-  9: A_FSB<20>         23: cnt/RefCnt<4>     37: ram/Once 
- 10: A_FSB<21>         24: cnt/RefCnt<5>     38: ram/RAMDIS2 
- 11: A_FSB<22>         25: cnt/RefCnt<6>     39: ram/RASEL 
- 12: A_FSB<23>         26: cnt/RefCnt<7>     40: ram/RS_FSM_FFd1 
- 13: A_FSB<3>          27: cnt/RefDone       41: ram/RS_FSM_FFd2 
- 14: A_FSB<4>          28: cs/nOverlay1      42: ram/RS_FSM_FFd3 
-
-Signal                        1         2         3         4         5 FB
-Name                0----+----0----+----0----+----0----+----0----+----0 Inputs
-ram/RASEL            .........XXX...........XXXXXX....X.XX..XXX........ 15
-nROMCS               ........XXXX.....X.........X...................... 6
-cnt/RefCnt<7>        ..................XXXXXXX......................... 7
-ram/RAMDIS2          .........XXX...........XXXXXX....X..XX.XXX........ 15
-nCAS                 ......................................X........... 1
-nOE                  .................................XX............... 2
-ram/RAMDIS1          .........XXX...........XXXXXX....X.XX..XXX........ 15
-RA<4>                ..X...........X.......................X........... 3
-RA<3>                .X...........X........................X........... 3
-RA<5>                ...X...........X......................X........... 3
-iobs/Load1           .XX.XXXXXXXX.....X.........XXXXXXXX............... 19
-RA<2>                X...........X.........................X........... 3
-RA<6>                ....X...........X.....................X........... 3
-ram/Once             .........XXX...............XX....X..X..XXX........ 10
-                    0----+----1----+----2----+----3----+----4----+----5
-                              0         0         0         0         0
-*********************************** FB6  ***********************************
-Number of function block inputs used/remaining:               34/20
-Number of signals used by logic mapping into function block:  34
-Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
-Name                Pt      Pt    Pt  Pt               #    Type    Use
-iobm/ETACK            1       0   /\4   0     FB6_1         (b)     (b)
-nVMA_IOB              3       0     0   2     FB6_2   74    I/O     O
-iobm/IOS_FSM_FFd3     3       0     0   2     FB6_3         (b)     (b)
-iobm/ES<3>            3       0     0   2     FB6_4         (b)     (b)
-iobm/ES<1>            3       0     0   2     FB6_5   76    I/O     I
-iobm/ES<0>            3       0     0   2     FB6_6   77    I/O     I
-ALE0M                 3       0     0   2     FB6_7         (b)     (b)
-iobm/ES<4>            4       0     0   1     FB6_8   78    I/O     I
-nLDS_IOB              4       0     0   1     FB6_9   79    I/O     O
-iobm/IOS_FSM_FFd2     5       0     0   0     FB6_10        (b)     (b)
-nUDS_IOB              4       0     0   1     FB6_11  80    I/O     O
-nAS_IOB               3       0     0   2     FB6_12  81    I/O     O
-iobm/ES<2>            5       0     0   0     FB6_13        (b)     (b)
-nADoutLE1             2       0     0   3     FB6_14  82    I/O     O
-nADoutLE0             1       0   \/1   3     FB6_15  85    I/O     O
-IOACT                 7       2<-   0   0     FB6_16        (b)     (b)
-nDinLE                1       0   /\1   3     FB6_17  86    I/O     O
-IOBERR                9       4<-   0   0     FB6_18        (b)     (b)
-
-Signals Used by Logic in Function Block
-  1: ALE0M             13: iobm/ES<0>         24: iobm/IOS_FSM_FFd3 
-  2: ALE0S             14: iobm/ES<1>         25: iobm/RESrf 
-  3: CLK_IOB           15: iobm/ES<2>         26: iobm/RESrr 
-  4: IOACT             16: iobm/ES<3>         27: iobm/VPArf 
-  5: IOBERR            17: iobm/ES<4>         28: iobm/VPArr 
-  6: IOL0              18: iobm/ETACK         29: iobs/Clear1 
-  7: IORW0             19: iobm/Er            30: iobs/Load1 
-  8: IOU0              20: iobm/Er2           31: nADoutLE1 
-  9: iobm/BERRrf       21: iobm/IOREQr        32: nAoutOE 
- 10: iobm/BERRrr       22: iobm/IOS_FSM_FFd1  33: nBERR_IOB 
- 11: iobm/DTACKrf      23: iobm/IOS_FSM_FFd2  34: nVMA_IOB 
- 12: iobm/DTACKrr     
-
-Signal                        1         2         3         4 FB
-Name                0----+----0----+----0----+----0----+----0 Inputs
-iobm/ETACK           ............XXXXX................X...... 6
-nVMA_IOB             ...X........XXXXX.........XX...X.X...... 10
-iobm/IOS_FSM_FFd3    ..X.................XXXX.......X........ 6
-iobm/ES<3>           ............XXXX..XX.................... 6
-iobm/ES<1>           ............XX....XX.................... 4
-iobm/ES<0>           ............XXXXX.XX.................... 7
-ALE0M                ....................XXXX.......X........ 5
-iobm/ES<4>           ............XXXXX.XX.................... 7
-nLDS_IOB             .....XX..............XXX.......X........ 6
-iobm/IOS_FSM_FFd2    ..X.....XXXX.....X...XXXXX.............. 11
-nUDS_IOB             ......XX.............XXX.......X........ 6
-nAS_IOB              .....................XXX.......X........ 4
-iobm/ES<2>           ............XXXXX.XX.................... 7
-nADoutLE1            ............................XXX......... 3
-nADoutLE0            XX...................................... 2
-IOACT                ..X.....XXXX.....X..XXXXXX.....X........ 13
-nDinLE               .....................XX................. 2
-IOBERR               ..X.X...XXXX.....X...XXXXX......X....... 13
-                    0----+----1----+----2----+----3----+----4
-                              0         0         0         0
-*********************************** FB7  ***********************************
-Number of function block inputs used/remaining:               36/18
-Number of signals used by logic mapping into function block:  36
-Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
-Name                Pt      Pt    Pt  Pt               #    Type    Use
-ram/RAMReady         16      11<-   0   0     FB7_1         (b)     (b)
-RA<1>                 2       2<- /\5   0     FB7_2   50    I/O     O
-TimeoutB              3       0   /\2   0     FB7_3         (b)     (b)
-fsb/Ready0r           3       0     0   2     FB7_4         (b)     (b)
-RA<7>                 2       0     0   3     FB7_5   52    I/O     O
-RA<0>                 2       0     0   3     FB7_6   53    I/O     O
-ram/RS_FSM_FFd1       5       0     0   0     FB7_7         (b)     (b)
-RA<8>                 7       2<-   0   0     FB7_8   54    I/O     O
-RA<10>                1       0   /\2   2     FB7_9   55    I/O     O
-$OpTx$$OpTx$FX_DC$182_INV$783
-                      6       1<-   0   0     FB7_10        (b)     (b)
-RA<9>                 2       0   /\1   2     FB7_11  56    I/O     O
-CLK25EN               1       0   \/4   0     FB7_12  58    I/O     O
-ram/RS_FSM_FFd3      11       6<-   0   0     FB7_13        (b)     (b)
-CLK20EN               1       0   /\2   2     FB7_14  59    I/O     O
-(unused)              0       0   \/4   1     FB7_15  60    I/O     I
-(unused)              0       0   \/5   0     FB7_16        (b)     (b)
-ram/RS_FSM_FFd2      13       9<- \/1   0     FB7_17  61    I/O     I
-(unused)              0       0   \/5   0     FB7_18        (b)     (b)
-
-Signals Used by Logic in Function Block
-  1: A_FSB<10>         13: A_FSB<9>          25: cnt/TimeoutBPre 
-  2: A_FSB<11>         14: SW<0>             26: cs/nOverlay1 
-  3: A_FSB<17>         15: TimeoutB          27: fsb/ASrf 
-  4: A_FSB<18>         16: cnt/RefCnt<0>     28: fsb/Ready0r 
-  5: A_FSB<19>         17: cnt/RefCnt<1>     29: nAS_FSB 
-  6: A_FSB<1>          18: cnt/RefCnt<2>     30: ram/BACTr 
-  7: A_FSB<20>         19: cnt/RefCnt<3>     31: ram/Once 
-  8: A_FSB<21>         20: cnt/RefCnt<4>     32: ram/RAMReady 
-  9: A_FSB<22>         21: cnt/RefCnt<5>     33: ram/RASEL 
- 10: A_FSB<23>         22: cnt/RefCnt<6>     34: ram/RS_FSM_FFd1 
- 11: A_FSB<2>          23: cnt/RefCnt<7>     35: ram/RS_FSM_FFd2 
- 12: A_FSB<8>          24: cnt/RefDone       36: ram/RS_FSM_FFd3 
-
-Signal                        1         2         3         4 FB
-Name                0----+----0----+----0----+----0----+----0 Inputs
-ram/RAMReady         .......XXX..........XXXX.XX.XXX..XXX.... 15
-RA<1>                .X........X.....................X....... 3
-TimeoutB             ..............XXXXXXXXX.X.X.X........... 12
-fsb/Ready0r          .......XXX...............XXXX..X........ 8
-RA<7>                ..X........X....................X....... 3
-RA<0>                X....X..........................X....... 3
-ram/RS_FSM_FFd1      .......XXX...............XX.X.X..XXX.... 10
-RA<8>                ...X...XXX..X............X......X....... 7
-RA<10>               .......X................................ 1
-$OpTx$$OpTx$FX_DC$182_INV$783 
-                     ......XXXX....X..........X.X...X........ 8
-RA<9>                ....X.X.........................X....... 3
-CLK25EN              .............X.......................... 1
-ram/RS_FSM_FFd3      .......XXX..........XXXX.XX.X.X..XXX.... 14
-CLK20EN              .............X.......................... 1
-ram/RS_FSM_FFd2      .......XXX..........XXXX.XX.XX...XXX.... 14
-                    0----+----1----+----2----+----3----+----4
-                              0         0         0         0
-*********************************** FB8  ***********************************
-Number of function block inputs used/remaining:               37/17
-Number of signals used by logic mapping into function block:  37
-Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
-Name                Pt      Pt    Pt  Pt               #    Type    Use
-(unused)              0       0   /\5   0     FB8_1         (b)     (b)
-RA<11>                1       0   /\4   0     FB8_2   63    I/O     O
-(unused)              0       0   \/5   0     FB8_3         (b)     (b)
-iobs/PS_FSM_FFd2     15      10<-   0   0     FB8_4         (b)     (b)
-nRAS                  3       3<- /\5   0     FB8_5   64    I/O     O
-nRAMLWE               1       0   /\3   1     FB8_6   65    I/O     O
-RESDone               1       0   \/1   3     FB8_7         (b)     (b)
-nRAMUWE               1       1<- \/5   0     FB8_8   66    I/O     O
-IOREQ                15      10<-   0   0     FB8_9   67    I/O     (b)
-(unused)              0       0   /\5   0     FB8_10        (b)     (b)
-(unused)              0       0   \/2   3     FB8_11  68    I/O     (b)
-nBERR_FSB             3       2<- \/4   0     FB8_12  70    I/O     O
-(unused)              0       0   \/5   0     FB8_13        (b)     (b)
-iobs/Once            18      13<-   0   0     FB8_14  71    I/O     (b)
-nBR_IOB               1       0   /\4   0     FB8_15  72    I/O     O
-fsb/BERR0r            3       0     0   2     FB8_16        (b)     (b)
-(unused)              0       0   \/5   0     FB8_17  73    I/O     I
-IORW0                19      14<-   0   0     FB8_18        (b)     (b)
-
-Signals Used by Logic in Function Block
-  1: A_FSB<13>         14: IPL2r1            26: iobs/IOACTr 
-  2: A_FSB<14>         15: RESDone           27: iobs/IORW1 
-  3: A_FSB<16>         16: RESr0             28: iobs/Once 
-  4: A_FSB<17>         17: RESr1             29: iobs/PS_FSM_FFd1 
-  5: A_FSB<18>         18: RESr2             30: iobs/PS_FSM_FFd2 
-  6: A_FSB<19>         19: RefAck            31: nADoutLE1 
-  7: A_FSB<20>         20: SW<1>             32: nAS_FSB 
-  8: A_FSB<21>         21: TimeoutB          33: nLDS_FSB 
-  9: A_FSB<22>         22: cs/nOverlay1      34: nUDS_FSB 
- 10: A_FSB<23>         23: fsb/ASrf          35: nWE_FSB 
- 11: BERR_IOBS         24: fsb/BERR0r        36: ram/RAMDIS1 
- 12: IORW0             25: fsb/BERR1r        37: ram/RAMDIS2 
- 13: IPL2r0           
-
-Signal                        1         2         3         4 FB
-Name                0----+----0----+----0----+----0----+----0 Inputs
-RA<11>               .....X.................................. 1
-iobs/PS_FSM_FFd2     XXXXXXXXXX.........X.XX..X.XXXXX..X..... 20
-nRAS                 .......XXX........X..X.........X...XX... 8
-nRAMLWE              ...............................XX.XXX... 5
-RESDone              ...............XXX...................... 3
-nRAMUWE              ...............................X.XXXX... 5
-IOREQ                XXXXXXXXXX.........X.XX..X.XXXXX..X..... 20
-nBERR_FSB            ......XXXXX.........X..XX......X........ 9
-iobs/Once            XXXXXXXXXX.........X.XX....XXXXX..X..... 19
-nBR_IOB              ............XXXXXX...................... 6
-fsb/BERR0r           ......XXXX..........X.XX.......X........ 8
-IORW0                XXXXXXXXXX.X.......X.XX...XXXXXX..X..... 21
-                    0----+----1----+----2----+----3----+----4
-                              0         0         0         0
-*******************************  Equations  ********************************
-
-********** Mapped Logic **********
-
-
-$OpTx$$OpTx$FX_DC$182_INV$783 <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)
-	OR (A_FSB(23) AND TimeoutB)
-	OR (NOT A_FSB(22) AND TimeoutB)
-	OR (A_FSB(21) AND TimeoutB)
-	OR (NOT A_FSB(20) AND TimeoutB)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
-	NOT fsb/Ready0r AND NOT ram/RAMReady));
-
-
-$OpTx$FX_DC$708 <= (nAS_FSB AND NOT fsb/ASrf);
-
-FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0');
-ALE0M_D <= ((iobm/IOS_FSM_FFd2)
-	OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1)
-	OR (NOT iobm/IOS_FSM_FFd1 AND iobm/IOREQr AND NOT nAoutOE));
-
-FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0');
-ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
-
-FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0');
-BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf)
-	OR (iobs/Once AND BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND 
-	NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1)
-	OR (iobs/Once AND NOT BERR_IOBS AND NOT nAS_FSB AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1)
-	OR (iobs/Once AND NOT BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND 
-	NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1));
-
-
-CLK20EN <= SW(0);
-
-
-CLK25EN <= NOT SW(0);
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0');
-IOACT_D <= ((CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND 
-	iobm/DTACKrf AND iobm/DTACKrr)
-	OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND 
-	iobm/RESrf AND iobm/RESrr)
-	OR (iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2)
-	OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND 
-	NOT iobm/IOREQr)
-	OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND nAoutOE)
-	OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND 
-	iobm/ETACK)
-	OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND 
-	iobm/BERRrf AND iobm/BERRrr));
-
-FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0');
-IOBERR_T <= ((CLK_IOB AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND 
-	iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/RESrf AND 
-	iobm/RESrr)
-	OR (CLK_IOB AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND 
-	iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/DTACKrf AND 
-	iobm/DTACKrr)
-	OR (CLK_IOB AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND 
-	iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/BERRrf AND 
-	iobm/BERRrr)
-	OR (CLK_IOB AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND 
-	iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/RESrf AND 
-	iobm/RESrr)
-	OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND 
-	NOT iobm/IOS_FSM_FFd2 AND IOBERR)
-	OR (CLK_IOB AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND 
-	iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/ETACK)
-	OR (CLK_IOB AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND 
-	iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/ETACK)
-	OR (CLK_IOB AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND 
-	iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/DTACKrf AND 
-	iobm/DTACKrr)
-	OR (CLK_IOB AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND 
-	iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/BERRrf AND 
-	iobm/BERRrr));
-
-FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE);
-IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1)
-	OR (iobs/IOL1 AND NOT nADoutLE1));
-IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
-
-FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0');
-IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND 
-	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20) AND 
-	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND 
-	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND 
-	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND 
-	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND 
-	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND 
-	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(20) AND SW(1) AND 
-	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND 
-	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1)
-	OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)
-	OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND 
-	nADoutLE1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND 
-	NOT iobs/PS_FSM_FFd2 AND nADoutLE1));
-
-FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0');
-IORW0_T <= ((A_FSB_19_IBUF$BUF0.EXP)
-	OR (IORW0 AND iobs/IORW1 AND NOT nADoutLE1)
-	OR (NOT IORW0 AND NOT iobs/IORW1 AND NOT nADoutLE1)
-	OR (nAS_FSB AND NOT fsb/ASrf AND nADoutLE1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT IORW0 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND nADoutLE1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(20) AND SW(1) AND nADoutLE1)
-	OR (NOT IORW0 AND NOT nWE_FSB AND nADoutLE1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND 
-	nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20) AND nADoutLE1)
-	OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND 
-	nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	cs/nOverlay1 AND nADoutLE1));
-
-FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE);
-IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1)
-	OR (iobs/IOU1 AND NOT nADoutLE1));
-IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
-
-FDCPE_IPL2r0: FDCPE port map (IPL2r0,NOT nIPL2,CLK_FSB,'0','0');
-
-FDCPE_IPL2r1: FDCPE port map (IPL2r1,IPL2r0,CLK_FSB,'0','0');
-
-
-RA(0) <= ((A_FSB(10) AND NOT ram/RASEL)
-	OR (ram/RASEL AND A_FSB(1)));
-
-
-RA(1) <= ((A_FSB(11) AND NOT ram/RASEL)
-	OR (ram/RASEL AND A_FSB(2)));
-
-
-RA(2) <= ((A_FSB(12) AND NOT ram/RASEL)
-	OR (ram/RASEL AND A_FSB(3)));
-
-
-RA(3) <= ((A_FSB(13) AND NOT ram/RASEL)
-	OR (ram/RASEL AND A_FSB(4)));
-
-
-RA(4) <= ((A_FSB(14) AND NOT ram/RASEL)
-	OR (ram/RASEL AND A_FSB(5)));
-
-
-RA(5) <= ((A_FSB(15) AND NOT ram/RASEL)
-	OR (ram/RASEL AND A_FSB(6)));
-
-
-RA(6) <= ((A_FSB(16) AND NOT ram/RASEL)
-	OR (ram/RASEL AND A_FSB(7)));
-
-
-RA(7) <= ((A_FSB(8) AND ram/RASEL)
-	OR (A_FSB(17) AND NOT ram/RASEL));
-
-
-RA(8) <= ((A_FSB(9) AND NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
-	ram/RASEL)
-	OR (A_FSB(9) AND NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	NOT cs/nOverlay1 AND ram/RASEL)
-	OR (A_FSB(23) AND A_FSB(18))
-	OR (A_FSB(18) AND NOT ram/RASEL)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(18))
-	OR (A_FSB(22) AND A_FSB(18) AND cs/nOverlay1)
-	OR (NOT A_FSB(22) AND A_FSB(18) AND NOT cs/nOverlay1));
-
-
-RA(9) <= ((A_FSB(20) AND ram/RASEL)
-	OR (A_FSB(19) AND NOT ram/RASEL));
-
-
-RA(10) <= A_FSB(21);
-
-
-RA(11) <= A_FSB(19);
-
-FDCPE_RESDone: FDCPE port map (RESDone,'1',CLK_FSB,'0','0',RESDone_CE);
-RESDone_CE <= (NOT RESr0 AND NOT RESr1 AND RESr2);
-
-FDCPE_RESr0: FDCPE port map (RESr0,NOT nRES,CLK_FSB,'0','0');
-
-FDCPE_RESr1: FDCPE port map (RESr1,RESr0,CLK_FSB,'0','0');
-
-FDCPE_RESr2: FDCPE port map (RESr2,RESr1,CLK_FSB,'0','0');
-
-FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0');
-RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1);
-
-FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0');
-TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf)
-	OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND 
-	NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND 
-	NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4))
-	OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND 
-	NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND 
-	NOT cnt/RefCnt(4) AND fsb/ASrf));
-
-FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0');
-TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf)
-	OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND 
-	NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND 
-	NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7))
-	OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND 
-	NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND 
-	NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf));
-
-FTCPE_cnt/RefCnt0: FTCPE port map (cnt/RefCnt(0),'1',CLK_FSB,'0','0');
-
-FTCPE_cnt/RefCnt1: FTCPE port map (cnt/RefCnt(1),cnt/RefCnt(0),CLK_FSB,'0','0');
-
-FTCPE_cnt/RefCnt2: FTCPE port map (cnt/RefCnt(2),cnt/RefCnt_T(2),CLK_FSB,'0','0');
-cnt/RefCnt_T(2) <= (cnt/RefCnt(0) AND cnt/RefCnt(1));
-
-FTCPE_cnt/RefCnt3: FTCPE port map (cnt/RefCnt(3),cnt/RefCnt_T(3),CLK_FSB,'0','0');
-cnt/RefCnt_T(3) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2));
-
-FTCPE_cnt/RefCnt4: FTCPE port map (cnt/RefCnt(4),cnt/RefCnt_T(4),CLK_FSB,'0','0');
-cnt/RefCnt_T(4) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND 
-	cnt/RefCnt(3));
-
-FTCPE_cnt/RefCnt5: FTCPE port map (cnt/RefCnt(5),cnt/RefCnt_T(5),CLK_FSB,'0','0');
-cnt/RefCnt_T(5) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND 
-	cnt/RefCnt(3) AND cnt/RefCnt(4));
-
-FTCPE_cnt/RefCnt6: FTCPE port map (cnt/RefCnt(6),cnt/RefCnt_T(6),CLK_FSB,'0','0');
-cnt/RefCnt_T(6) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(1) AND 
-	cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4));
-
-FTCPE_cnt/RefCnt7: FTCPE port map (cnt/RefCnt(7),cnt/RefCnt_T(7),CLK_FSB,'0','0');
-cnt/RefCnt_T(7) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND 
-	cnt/RefCnt(1) AND cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4));
-
-FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0');
-cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck)
-	OR (NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND 
-	NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND 
-	NOT cnt/RefCnt(7)));
-
-FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0');
-cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf)
-	OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND 
-	NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND 
-	NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7))
-	OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND 
-	NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND 
-	NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf));
-
-FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0');
-cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND 
-	NOT cs/nOverlay0 AND NOT nAS_FSB)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND 
-	NOT cs/nOverlay0 AND fsb/ASrf));
-
-FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE);
-cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf);
-
-FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0');
-
-FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0');
-fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r)
-	OR (nAS_FSB AND NOT fsb/ASrf)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND 
-	NOT fsb/BERR0r));
-
-FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0');
-fsb/BERR1r_D <= ((NOT BERR_IOBS AND NOT fsb/BERR1r)
-	OR (nAS_FSB AND NOT fsb/ASrf));
-
-FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0');
-fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
-	NOT fsb/Ready0r AND NOT ram/RAMReady)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady));
-
-FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0');
-fsb/Ready1r_D <= ((A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
-	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
-	NOT fsb/Ready1r AND NOT iobs/IOReady)
-	OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
-	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
-	NOT fsb/Ready1r AND NOT iobs/IOReady)
-	OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
-	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
-	cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND 
-	NOT nADoutLE1)
-	OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
-	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
-	cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND 
-	NOT nADoutLE1)
-	OR (nAS_FSB AND NOT fsb/ASrf)
-	OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND 
-	NOT iobs/IOReady)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND 
-	NOT iobs/IOReady AND NOT SW(1)));
-
-FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0');
-fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf)
-	OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
-	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
-	OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
-	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
-	OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
-	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
-	OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
-	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
-	OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
-	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
-	OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
-	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
-	OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
-	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
-	OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
-	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r));
-
-FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0');
-fsb/VPA_D <= ((EXP21_.EXP)
-	OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND 
-	NOT iobs/IOReady AND NOT $OpTx$FX_DC$708)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND 
-	fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$708)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND fsb/VPA AND 
-	NOT iobs/IOReady AND NOT SW(1) AND NOT $OpTx$FX_DC$708)
-	OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
-	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
-	NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$708)
-	OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
-	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
-	NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$708)
-	OR (nROMWE_OBUF.EXP)
-	OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
-	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
-	cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND 
-	NOT nADoutLE1 AND NOT $OpTx$FX_DC$708)
-	OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
-	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
-	cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND 
-	NOT nADoutLE1 AND NOT $OpTx$FX_DC$708)
-	OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
-	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND 
-	fsb/VPA AND NOT $OpTx$FX_DC$708)
-	OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
-	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND 
-	fsb/VPA AND NOT $OpTx$FX_DC$708)
-	OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
-	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND 
-	fsb/VPA AND NOT $OpTx$FX_DC$708)
-	OR (BERR_IOBS AND fsb/VPA AND NOT $OpTx$FX_DC$708)
-	OR (fsb/BERR0r AND fsb/VPA AND NOT $OpTx$FX_DC$708)
-	OR (fsb/BERR1r AND fsb/VPA AND NOT $OpTx$FX_DC$708)
-	OR (fsb/VPA AND NOT nBR_IOB AND NOT $OpTx$FX_DC$708)
-	OR (fsb/VPA AND NOT $OpTx$FX_DC$708 AND 
-	$OpTx$$OpTx$FX_DC$182_INV$783));
-
-FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0');
-
-FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0');
-
-FDCPE_iobm/BGr0: FDCPE port map (iobm/BGr0,NOT nBG_IOB,CLK2X_IOB,'0','0');
-
-FDCPE_iobm/BGr1: FDCPE port map (iobm/BGr1,iobm/BGr0,CLK2X_IOB,'0','0');
-
-FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0');
-
-FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0');
-
-FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),CLK2X_IOB,'0','0');
-iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2)
-	OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND 
-	NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er)
-	OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND 
-	NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2));
-
-FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),CLK2X_IOB,'0','0');
-iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1))
-	OR (NOT iobm/ES(0) AND NOT iobm/ES(1))
-	OR (NOT iobm/Er AND iobm/Er2));
-
-FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),CLK2X_IOB,'0','0');
-iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2))
-	OR (NOT iobm/ES(1) AND NOT iobm/ES(2))
-	OR (NOT iobm/Er AND iobm/Er2)
-	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2))
-	OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4)));
-
-FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),CLK2X_IOB,'0','0');
-iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2)
-	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er)
-	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2));
-
-FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),CLK2X_IOB,'0','0');
-iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2)
-	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND 
-	iobm/ES(3) AND iobm/Er)
-	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND 
-	iobm/ES(3) AND NOT iobm/Er2)
-	OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND 
-	NOT iobm/ES(3) AND iobm/ES(4)));
-
-FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,'0','0');
-iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND 
-	NOT iobm/ES(3) AND iobm/ES(4));
-
-FDCPE_iobm/Er: FDCPE port map (iobm/Er,E_IOB,NOT CLK_IOB,'0','0');
-
-FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0');
-
-FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0');
-
-FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,'0','0');
-iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1)
-	OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2));
-
-FTCPE_iobm/IOS_FSM_FFd2: FTCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,CLK2X_IOB,'0','0');
-iobm/IOS_FSM_FFd2_T <= ((iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND 
-	NOT iobm/IOS_FSM_FFd2)
-	OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND 
-	iobm/IOS_FSM_FFd2 AND iobm/ETACK)
-	OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND 
-	iobm/IOS_FSM_FFd2 AND iobm/DTACKrf AND iobm/DTACKrr)
-	OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND 
-	iobm/IOS_FSM_FFd2 AND iobm/BERRrf AND iobm/BERRrr)
-	OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND 
-	iobm/IOS_FSM_FFd2 AND iobm/RESrf AND iobm/RESrr));
-
-FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0');
-iobm/IOS_FSM_FFd3_D <= ((iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2)
-	OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND 
-	NOT iobm/IOS_FSM_FFd2)
-	OR (NOT CLK_IOB AND NOT iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2 AND 
-	iobm/IOREQr AND NOT nAoutOE));
-
-FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0');
-
-FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES,CLK2X_IOB,'0','0');
-
-FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0');
-
-FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0');
-
-FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0');
-iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1);
-
-FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0');
-
-FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1);
-
-FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0');
-iobs/IORW1_T <= ((iobs/Once)
-	OR (NOT nADoutLE1)
-	OR (fsb/Ready1r.EXP)
-	OR (nAS_FSB AND NOT fsb/ASrf)
-	OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20))
-	OR (fsb/Ready2r.EXP)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19))
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18))
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17))
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16))
-	OR (NOT A_FSB(23) AND NOT A_FSB(20) AND SW(1))
-	OR (nWE_FSB AND iobs/IORW1)
-	OR (NOT nWE_FSB AND NOT iobs/IORW1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1));
-
-FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0');
-iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf)
-	OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND 
-	NOT iobs/IOACTr AND IOBERR AND nADoutLE1)
-	OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1)
-	OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND 
-	NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1));
-
-FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1);
-
-FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0');
-iobs/Load1_D <= ((iobs/Once)
-	OR (NOT nADoutLE1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20))
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19))
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17))
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16))
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18))
-	OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB)
-	OR (NOT A_FSB(23) AND NOT A_FSB(20) AND SW(1))
-	OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21))
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	cs/nOverlay1)
-	OR (nAS_FSB AND NOT fsb/ASrf)
-	OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1));
-
-FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0');
-iobs/Once_D <= ((nBERR_FSB_OBUF.EXP)
-	OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)
-	OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Once AND 
-	NOT cs/nOverlay1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20) AND NOT iobs/Once)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once)
-	OR (nAS_FSB AND NOT fsb/ASrf)
-	OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2)
-	OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2)
-	OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)
-	OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1));
-
-FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0');
-iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2)
-	OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr));
-
-FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0');
-iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20) AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(20) AND SW(1) AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
-	OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
-	OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND 
-	iobs/IOACTr)
-	OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND 
-	NOT iobs/IOACTr)
-	OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND 
-	nADoutLE1)
-	OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND 
-	NOT fsb/ASrf AND nADoutLE1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1));
-
-
-nADoutLE0 <= (NOT ALE0M AND NOT ALE0S);
-
-FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0');
-nADoutLE1_D <= ((iobs/Load1)
-	OR (NOT iobs/Clear1 AND NOT nADoutLE1));
-
-FDCPE_nAS_IOB: FDCPE port map (nAS_IOB_I,nAS_IOB,NOT CLK2X_IOB,'0','0');
-nAS_IOB <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2)
-	OR (iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2));
-nAS_IOB <= nAS_IOB_I when nAS_IOB_OE = '1' else 'Z';
-nAS_IOB_OE <= NOT nAoutOE;
-
-FDCPE_nAoutOE: FDCPE port map (nAoutOE,nAoutOE_D,CLK2X_IOB,'0','0');
-nAoutOE_D <= ((NOT iobm/BGr0 AND NOT iobm/BGr1)
-	OR (NOT iobm/BGr1 AND nAoutOE)
-	OR (NOT nAS_IOB AND NOT iobm/BGr0 AND NOT nAoutOE));
-
-
-nBERR_FSB <= ((nAS_FSB)
-	OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND 
-	NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r));
-
-FDCPE_nBR_IOB: FDCPE port map (nBR_IOB,'0',CLK_FSB,'0','0',nBR_IOB_CE);
-nBR_IOB_CE <= (RESr0 AND RESr1 AND IPL2r0 AND RESr2 AND NOT RESDone AND 
-	IPL2r1);
-
-FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0');
-
-FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0');
-nDTACK_FSB_D <= ((EXP17_.EXP)
-	OR (nAS_FSB AND NOT fsb/ASrf)
-	OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND 
-	nDTACK_FSB)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND 
-	NOT iobs/IOReady AND nDTACK_FSB)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND 
-	NOT iobs/IOReady AND NOT SW(1) AND nDTACK_FSB)
-	OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
-	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
-	NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB)
-	OR (EXP20_.EXP)
-	OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
-	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
-	NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB)
-	OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
-	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
-	cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND 
-	nDTACK_FSB AND NOT nADoutLE1)
-	OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
-	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
-	cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND 
-	nDTACK_FSB AND NOT nADoutLE1)
-	OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
-	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND 
-	nDTACK_FSB)
-	OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
-	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND 
-	nDTACK_FSB)
-	OR (BERR_IOBS AND nDTACK_FSB)
-	OR (fsb/BERR0r AND nDTACK_FSB)
-	OR (fsb/BERR1r AND nDTACK_FSB)
-	OR (nDTACK_FSB AND NOT nBR_IOB)
-	OR (nDTACK_FSB AND $OpTx$$OpTx$FX_DC$182_INV$783));
-
-FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0');
-nDinLE_D <= (iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2);
-
-
-nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND 
-	NOT nAS_FSB)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND nWE_FSB AND NOT nAS_FSB AND 
-	NOT SW(1)));
-
-FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0');
-nDoutOE_D <= ((NOT IORW0)
-	OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2));
-
-FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT CLK2X_IOB,'0','0');
-nLDS_IOB <= ((IOL0 AND NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2)
-	OR (IOL0 AND iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2)
-	OR (NOT IORW0 AND IOL0 AND iobm/IOS_FSM_FFd3 AND 
-	NOT iobm/IOS_FSM_FFd1));
-nLDS_IOB <= nLDS_IOB_I when nLDS_IOB_OE = '1' else 'Z';
-nLDS_IOB_OE <= NOT nAoutOE;
-
-
-nOE <= NOT ((nWE_FSB AND NOT nAS_FSB));
-
-
-nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND 
-	NOT ram/RAMDIS1));
-
-
-nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND 
-	NOT ram/RAMDIS1));
-
-
-nRAS <= NOT (((RefAck)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
-	NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1)));
-
-
-nROMCS <= NOT (((A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND 
-	NOT SW(1))
-	OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND 
-	SW(1))
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND 
-	NOT cs/nOverlay1)));
-
-
-nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB));
-
-FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB_I,nUDS_IOB,NOT CLK2X_IOB,'0','0');
-nUDS_IOB <= ((IOU0 AND NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2)
-	OR (IOU0 AND iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2)
-	OR (NOT IORW0 AND IOU0 AND iobm/IOS_FSM_FFd3 AND 
-	NOT iobm/IOS_FSM_FFd1));
-nUDS_IOB <= nUDS_IOB_I when nUDS_IOB_OE = '1' else 'Z';
-nUDS_IOB_OE <= NOT nAoutOE;
-
-FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,CLK2X_IOB,'0','0');
-nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND 
-	NOT iobm/ES(3) AND NOT iobm/ES(4))
-	OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND 
-	NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr));
-nVMA_IOB <= nVMA_IOB_I when nVMA_IOB_OE = '1' else 'Z';
-nVMA_IOB_OE <= NOT nAoutOE;
-
-
-nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB));
-
-FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0');
-ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf);
-
-FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0');
-ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND 
-	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND 
-	NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND 
-	NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3 AND fsb/ASrf));
-
-FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0');
-ram/RAMDIS1_D <= ((nOE_OBUF.EXP)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
-	OR (A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
-	OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND 
-	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
-	OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
-	OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND 
-	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
-	OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND 
-	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
-	OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND 
-	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf)
-	OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1)
-	OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3)
-	OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3)
-	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/BACTr AND fsb/ASrf));
-
-FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0');
-ram/RAMDIS2_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND ram/Once AND 
-	NOT cnt/RefDone AND NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND 
-	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
-	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND ram/Once AND 
-	NOT cnt/RefDone AND NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND 
-	cnt/RefCnt(7) AND fsb/ASrf)
-	OR (ram/RAMDIS2 AND nAS_FSB AND NOT fsb/ASrf)
-	OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND 
-	ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND 
-	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
-	OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND 
-	ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND 
-	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/Once AND NOT cnt/RefDone AND 
-	cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND 
-	cnt/RefCnt(7))
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/Once AND NOT cnt/RefDone AND 
-	cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
-	fsb/ASrf));
-
-FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0');
-ram/RAMReady_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
-	OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1)
-	OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3)
-	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/BACTr AND fsb/ASrf)
-	OR (ram/RS_FSM_FFd2.EXP)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND 
-	NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
-	OR (A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
-	OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND 
-	NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
-	OR (A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (NOT A_FSB(21) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (NOT A_FSB(21) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND 
-	NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1));
-
-FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0');
-ram/RASEL_D <= ((A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND 
-	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
-	OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND 
-	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
-	OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd2 AND 
-	NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
-	NOT fsb/ASrf)
-	OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND 
-	ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
-	NOT fsb/ASrf)
-	OR (EXP26_.EXP)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND 
-	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
-	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND 
-	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
-	OR (A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
-	OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3)
-	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
-	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
-	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND 
-	NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)));
-
-FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0');
-ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND 
-	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND 
-	NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND 
-	NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3 AND fsb/ASrf));
-
-FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0');
-ram/RS_FSM_FFd2_T <= ((EXP28_.EXP)
-	OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
-	NOT cnt/RefCnt(5) AND ram/BACTr)
-	OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
-	NOT cnt/RefCnt(5) AND NOT fsb/ASrf)
-	OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
-	NOT cnt/RefCnt(6) AND NOT fsb/ASrf)
-	OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
-	NOT cnt/RefCnt(7) AND NOT fsb/ASrf)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT nAS_FSB AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
-	OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
-	OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
-	OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
-	NOT cnt/RefCnt(6) AND ram/BACTr)
-	OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND 
-	NOT cnt/RefCnt(7)));
-
-FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0');
-ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
-	OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
-	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
-	OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf)
-	OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND 
-	ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND 
-	cnt/RefCnt(7))
-	OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND 
-	ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
-	fsb/ASrf)
-	OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3)
-	OR (ram/Once AND cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
-	OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(5))
-	OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6))
-	OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7)));
-
-Register Legend:
- FDCPE (Q,D,C,CLR,PRE,CE); 
- FTCPE (Q,D,C,CLR,PRE,CE); 
- LDCP  (Q,D,G,CLR,PRE); 
-
-******************************  Device Pin Out *****************************
-
-Device : XC95144XL-10-TQ100
-
-
-   --------------------------------------------------  
-  /100 98  96  94  92  90  88  86  84  82  80  78  76  \
- |   99  97  95  93  91  89  87  85  83  81  79  77    |
- | 1                                               75  | 
- | 2                                               74  | 
- | 3                                               73  | 
- | 4                                               72  | 
- | 5                                               71  | 
- | 6                                               70  | 
- | 7                                               69  | 
- | 8                                               68  | 
- | 9                                               67  | 
- | 10                                              66  | 
- | 11                                              65  | 
- | 12                                              64  | 
- | 13              XC95144XL-10-TQ100              63  | 
- | 14                                              62  | 
- | 15                                              61  | 
- | 16                                              60  | 
- | 17                                              59  | 
- | 18                                              58  | 
- | 19                                              57  | 
- | 20                                              56  | 
- | 21                                              55  | 
- | 22                                              54  | 
- | 23                                              53  | 
- | 24                                              52  | 
- | 25                                              51  | 
- |   27  29  31  33  35  37  39  41  43  45  47  49    |
-  \26  28  30  32  34  36  38  40  42  44  46  48  50  /
-   --------------------------------------------------  
-
-
-Pin Signal                         Pin Signal                        
-No. Name                           No. Name                          
-  1 KPR                              51 VCC                           
-  2 A_FSB<5>                         52 RA<7>                         
-  3 A_FSB<6>                         53 RA<0>                         
-  4 A_FSB<7>                         54 RA<8>                         
-  5 VCC                              55 RA<10>                        
-  6 A_FSB<8>                         56 RA<9>                         
-  7 A_FSB<9>                         57 VCC                           
-  8 A_FSB<10>                        58 CLK25EN                       
-  9 A_FSB<11>                        59 CLK20EN                       
- 10 A_FSB<12>                        60 SW<1>                         
- 11 A_FSB<13>                        61 SW<0>                         
- 12 A_FSB<14>                        62 GND                           
- 13 A_FSB<15>                        63 RA<11>                        
- 14 A_FSB<16>                        64 nRAS                          
- 15 A_FSB<17>                        65 nRAMLWE                       
- 16 A_FSB<18>                        66 nRAMUWE                       
- 17 A_FSB<19>                        67 KPR                           
- 18 A_FSB<20>                        68 KPR                           
- 19 A_FSB<21>                        69 GND                           
- 20 A_FSB<22>                        70 nBERR_FSB                     
- 21 GND                              71 KPR                           
- 22 CLK2X_IOB                        72 nBR_IOB                       
- 23 CLK_IOB                          73 nBG_IOB                       
- 24 A_FSB<23>                        74 nVMA_IOB                      
- 25 E_IOB                            75 GND                           
- 26 VCC                              76 nBERR_IOB                     
- 27 CLK_FSB                          77 nVPA_IOB                      
- 28 nDTACK_FSB                       78 nDTACK_IOB                    
- 29 nWE_FSB                          79 nLDS_IOB                      
- 30 nLDS_FSB                         80 nUDS_IOB                      
- 31 GND                              81 nAS_IOB                       
- 32 nAS_FSB                          82 nADoutLE1                     
- 33 nUDS_FSB                         83 TDO                           
- 34 nROMWE                           84 GND                           
- 35 nROMCS                           85 nADoutLE0                     
- 36 nCAS                             86 nDinLE                        
- 37 nOE                              87 nAoutOE                       
- 38 VCC                              88 VCC                           
- 39 KPR                              89 nDoutOE                       
- 40 RA<4>                            90 nDinOE                        
- 41 RA<3>                            91 nRES                          
- 42 RA<5>                            92 nIPL2                         
- 43 RA<2>                            93 nVPA_FSB                      
- 44 GND                              94 A_FSB<1>                      
- 45 TDI                              95 A_FSB<2>                      
- 46 RA<6>                            96 A_FSB<3>                      
- 47 TMS                              97 A_FSB<4>                      
- 48 TCK                              98 VCC                           
- 49 KPR                              99 KPR                           
- 50 RA<1>                           100 GND                           
-
-
-Legend :  NC  = Not Connected, unbonded pin
-         PGND = Unused I/O configured as additional Ground pin
-         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
-         KPR  = Unused I/O with weak keeper (leave unconnected)
-         VCC  = Dedicated Power Pin
-         GND  = Dedicated Ground Pin
-         TDI  = Test Data In, JTAG pin
-         TDO  = Test Data Out, JTAG pin
-         TCK  = Test Clock, JTAG pin
-         TMS  = Test Mode Select, JTAG pin
-  PROHIBITED  = User reserved pin
-****************************  Compiler Options  ****************************
-
-Following is a list of all global compiler options used by the fitter run.
-
-Device(s) Specified                         : xc95144xl-10-TQ100
-Optimization Method                         : SPEED
-Multi-Level Logic Optimization              : ON
-Ignore Timing Specifications                : OFF
-Default Register Power Up Value             : LOW
-Keep User Location Constraints              : ON
-What-You-See-Is-What-You-Get                : OFF
-Exhaustive Fitting                          : OFF
-Keep Unused Inputs                          : OFF
-Slew Rate                                   : FAST
-Power Mode                                  : STD
-Ground on Unused IOs                        : OFF
-Set I/O Pin Termination                     : KEEPER
-Global Clock Optimization                   : ON
-Global Set/Reset Optimization               : ON
-Global Ouput Enable Optimization            : ON
-Input Limit                                 : 54
-Pterm Limit                                 : 25
-
-
- - -
- diff --git a/cpld/XC95144XL/MXSE_html/fit/defeqns.htm b/cpld/XC95144XL/MXSE_html/fit/defeqns.htm deleted file mode 100644 index 0f13545..0000000 --- a/cpld/XC95144XL/MXSE_html/fit/defeqns.htm +++ /dev/null @@ -1,990 +0,0 @@ - -

Equations

- - -
-
-********** Mapped Logic ********** -
-
-$OpTx$$OpTx$FX_DC$182_INV$783 <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady) -
      OR (A_FSB(23) AND TimeoutB) -
      OR (NOT A_FSB(22) AND TimeoutB) -
      OR (A_FSB(21) AND TimeoutB) -
      OR (NOT A_FSB(20) AND TimeoutB) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND -
      NOT fsb/Ready0r AND NOT ram/RAMReady)); -
-
-$OpTx$FX_DC$708 <= (nAS_FSB AND NOT fsb/ASrf); -
-FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); -
     ALE0M_D <= ((iobm/IOS_FSM_FFd2) -
      OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1) -
      OR (NOT iobm/IOS_FSM_FFd1 AND iobm/IOREQr AND NOT nAoutOE)); -
-FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0'); -
     ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); -
-FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); -
     BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) -
      OR (iobs/Once AND BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) -
      OR (iobs/Once AND NOT BERR_IOBS AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1) -
      OR (iobs/Once AND NOT BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1)); -
-
-CLK20EN <= SW(0); -
-
-CLK25EN <= NOT SW(0); -
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); -
     IOACT_D <= ((CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND -
      iobm/DTACKrf AND iobm/DTACKrr) -
      OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND -
      iobm/RESrf AND iobm/RESrr) -
      OR (iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) -
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND -
      NOT iobm/IOREQr) -
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND nAoutOE) -
      OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND -
      iobm/ETACK) -
      OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND -
      iobm/BERRrf AND iobm/BERRrr)); -
-FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); -
     IOBERR_T <= ((CLK_IOB AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/RESrf AND -
      iobm/RESrr) -
      OR (CLK_IOB AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/DTACKrf AND -
      iobm/DTACKrr) -
      OR (CLK_IOB AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/BERRrf AND -
      iobm/BERRrr) -
      OR (CLK_IOB AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/RESrf AND -
      iobm/RESrr) -
      OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND -
      NOT iobm/IOS_FSM_FFd2 AND IOBERR) -
      OR (CLK_IOB AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/ETACK) -
      OR (CLK_IOB AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/ETACK) -
      OR (CLK_IOB AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/DTACKrf AND -
      iobm/DTACKrr) -
      OR (CLK_IOB AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/BERRrf AND -
      iobm/BERRrr)); -
-FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); -
     IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) -
      OR (iobs/IOL1 AND NOT nADoutLE1)); -
     IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); -
-FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); -
     IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND -
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20) AND -
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND -
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND -
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND -
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND -
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND SW(1) AND -
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND -
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1) -
      OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) -
      OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND -
      nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND -
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1)); -
-FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); -
     IORW0_T <= ((A_FSB_19_IBUF$BUF0.EXP) -
      OR (IORW0 AND iobs/IORW1 AND NOT nADoutLE1) -
      OR (NOT IORW0 AND NOT iobs/IORW1 AND NOT nADoutLE1) -
      OR (nAS_FSB AND NOT fsb/ASrf AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT IORW0 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND SW(1) AND nADoutLE1) -
      OR (NOT IORW0 AND NOT nWE_FSB AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND -
      nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20) AND nADoutLE1) -
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND -
      nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      cs/nOverlay1 AND nADoutLE1)); -
-FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE); -
     IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) -
      OR (iobs/IOU1 AND NOT nADoutLE1)); -
     IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); -
-FDCPE_IPL2r0: FDCPE port map (IPL2r0,NOT nIPL2,CLK_FSB,'0','0'); -
-FDCPE_IPL2r1: FDCPE port map (IPL2r1,IPL2r0,CLK_FSB,'0','0'); -
-
-RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(1))); -
-
-RA(1) <= ((A_FSB(11) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(2))); -
-
-RA(2) <= ((A_FSB(12) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(3))); -
-
-RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(4))); -
-
-RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(5))); -
-
-RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(6))); -
-
-RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(7))); -
-
-RA(7) <= ((A_FSB(8) AND ram/RASEL) -
      OR (A_FSB(17) AND NOT ram/RASEL)); -
-
-RA(8) <= ((A_FSB(9) AND NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND -
      ram/RASEL) -
      OR (A_FSB(9) AND NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND ram/RASEL) -
      OR (A_FSB(23) AND A_FSB(18)) -
      OR (A_FSB(18) AND NOT ram/RASEL) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(18)) -
      OR (A_FSB(22) AND A_FSB(18) AND cs/nOverlay1) -
      OR (NOT A_FSB(22) AND A_FSB(18) AND NOT cs/nOverlay1)); -
-
-RA(9) <= ((A_FSB(20) AND ram/RASEL) -
      OR (A_FSB(19) AND NOT ram/RASEL)); -
-
-RA(10) <= A_FSB(21); -
-
-RA(11) <= A_FSB(19); -
-FDCPE_RESDone: FDCPE port map (RESDone,'1',CLK_FSB,'0','0',RESDone_CE); -
     RESDone_CE <= (NOT RESr0 AND NOT RESr1 AND RESr2); -
-FDCPE_RESr0: FDCPE port map (RESr0,NOT nRES,CLK_FSB,'0','0'); -
-FDCPE_RESr1: FDCPE port map (RESr1,RESr0,CLK_FSB,'0','0'); -
-FDCPE_RESr2: FDCPE port map (RESr2,RESr1,CLK_FSB,'0','0'); -
-FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0'); -
     RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); -
-FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0'); -
     TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND -
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND -
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4)) -
      OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND -
      NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND -
      NOT cnt/RefCnt(4) AND fsb/ASrf)); -
-FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0'); -
     TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND -
      NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND -
      NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) -
      OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND -
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND -
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); -
-FTCPE_cnt/RefCnt0: FTCPE port map (cnt/RefCnt(0),'1',CLK_FSB,'0','0'); -
-FTCPE_cnt/RefCnt1: FTCPE port map (cnt/RefCnt(1),cnt/RefCnt(0),CLK_FSB,'0','0'); -
-FTCPE_cnt/RefCnt2: FTCPE port map (cnt/RefCnt(2),cnt/RefCnt_T(2),CLK_FSB,'0','0'); -
     cnt/RefCnt_T(2) <= (cnt/RefCnt(0) AND cnt/RefCnt(1)); -
-FTCPE_cnt/RefCnt3: FTCPE port map (cnt/RefCnt(3),cnt/RefCnt_T(3),CLK_FSB,'0','0'); -
     cnt/RefCnt_T(3) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2)); -
-FTCPE_cnt/RefCnt4: FTCPE port map (cnt/RefCnt(4),cnt/RefCnt_T(4),CLK_FSB,'0','0'); -
     cnt/RefCnt_T(4) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND -
      cnt/RefCnt(3)); -
-FTCPE_cnt/RefCnt5: FTCPE port map (cnt/RefCnt(5),cnt/RefCnt_T(5),CLK_FSB,'0','0'); -
     cnt/RefCnt_T(5) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND -
      cnt/RefCnt(3) AND cnt/RefCnt(4)); -
-FTCPE_cnt/RefCnt6: FTCPE port map (cnt/RefCnt(6),cnt/RefCnt_T(6),CLK_FSB,'0','0'); -
     cnt/RefCnt_T(6) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(1) AND -
      cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); -
-FTCPE_cnt/RefCnt7: FTCPE port map (cnt/RefCnt(7),cnt/RefCnt_T(7),CLK_FSB,'0','0'); -
     cnt/RefCnt_T(7) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND -
      cnt/RefCnt(1) AND cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); -
-FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0'); -
     cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck) -
      OR (NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND -
      NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND -
      NOT cnt/RefCnt(7))); -
-FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0'); -
     cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND -
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND -
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) -
      OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND -
      NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND -
      NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); -
-FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0'); -
     cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND -
      NOT cs/nOverlay0 AND NOT nAS_FSB) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND -
      NOT cs/nOverlay0 AND fsb/ASrf)); -
-FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE); -
     cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf); -
-FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0'); -
-FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0'); -
     fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r) -
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND -
      NOT fsb/BERR0r)); -
-FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0'); -
     fsb/BERR1r_D <= ((NOT BERR_IOBS AND NOT fsb/BERR1r) -
      OR (nAS_FSB AND NOT fsb/ASrf)); -
-FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0'); -
     fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND -
      NOT fsb/Ready0r AND NOT ram/RAMReady) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); -
-FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); -
     fsb/Ready1r_D <= ((A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND NOT iobs/IOReady) -
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND NOT iobs/IOReady) -
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND -
      NOT nADoutLE1) -
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND -
      NOT nADoutLE1) -
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND -
      NOT iobs/IOReady) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND -
      NOT iobs/IOReady AND NOT SW(1))); -
-FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); -
     fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) -
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND -
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND -
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND -
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND -
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); -
-FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); -
     fsb/VPA_D <= ((EXP21_.EXP) -
      OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND -
      NOT iobs/IOReady AND NOT $OpTx$FX_DC$708) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND -
      fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$708) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND fsb/VPA AND -
      NOT iobs/IOReady AND NOT SW(1) AND NOT $OpTx$FX_DC$708) -
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$708) -
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$708) -
      OR (nROMWE_OBUF.EXP) -
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND -
      NOT nADoutLE1 AND NOT $OpTx$FX_DC$708) -
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND -
      NOT nADoutLE1 AND NOT $OpTx$FX_DC$708) -
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND -
      fsb/VPA AND NOT $OpTx$FX_DC$708) -
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND -
      fsb/VPA AND NOT $OpTx$FX_DC$708) -
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND -
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND -
      fsb/VPA AND NOT $OpTx$FX_DC$708) -
      OR (BERR_IOBS AND fsb/VPA AND NOT $OpTx$FX_DC$708) -
      OR (fsb/BERR0r AND fsb/VPA AND NOT $OpTx$FX_DC$708) -
      OR (fsb/BERR1r AND fsb/VPA AND NOT $OpTx$FX_DC$708) -
      OR (fsb/VPA AND NOT nBR_IOB AND NOT $OpTx$FX_DC$708) -
      OR (fsb/VPA AND NOT $OpTx$FX_DC$708 AND -
      $OpTx$$OpTx$FX_DC$182_INV$783)); -
-FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); -
-FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0'); -
-FDCPE_iobm/BGr0: FDCPE port map (iobm/BGr0,NOT nBG_IOB,CLK2X_IOB,'0','0'); -
-FDCPE_iobm/BGr1: FDCPE port map (iobm/BGr1,iobm/BGr0,CLK2X_IOB,'0','0'); -
-FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0'); -
-FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0'); -
-FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),CLK2X_IOB,'0','0'); -
     iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) -
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND -
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) -
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND -
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); -
-FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),CLK2X_IOB,'0','0'); -
     iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) -
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) -
      OR (NOT iobm/Er AND iobm/Er2)); -
-FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),CLK2X_IOB,'0','0'); -
     iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) -
      OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) -
      OR (NOT iobm/Er AND iobm/Er2) -
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) -
      OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); -
-FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),CLK2X_IOB,'0','0'); -
     iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) -
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) -
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); -
-FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),CLK2X_IOB,'0','0'); -
     iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) -
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND -
      iobm/ES(3) AND iobm/Er) -
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND -
      iobm/ES(3) AND NOT iobm/Er2) -
      OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND -
      NOT iobm/ES(3) AND iobm/ES(4))); -
-FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,'0','0'); -
     iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND -
      NOT iobm/ES(3) AND iobm/ES(4)); -
-FDCPE_iobm/Er: FDCPE port map (iobm/Er,E_IOB,NOT CLK_IOB,'0','0'); -
-FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0'); -
-FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0'); -
-FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,'0','0'); -
     iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1) -
      OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2)); -
-FTCPE_iobm/IOS_FSM_FFd2: FTCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,CLK2X_IOB,'0','0'); -
     iobm/IOS_FSM_FFd2_T <= ((iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND -
      NOT iobm/IOS_FSM_FFd2) -
      OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND -
      iobm/IOS_FSM_FFd2 AND iobm/ETACK) -
      OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND -
      iobm/IOS_FSM_FFd2 AND iobm/DTACKrf AND iobm/DTACKrr) -
      OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND -
      iobm/IOS_FSM_FFd2 AND iobm/BERRrf AND iobm/BERRrr) -
      OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND -
      iobm/IOS_FSM_FFd2 AND iobm/RESrf AND iobm/RESrr)); -
-FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0'); -
     iobm/IOS_FSM_FFd3_D <= ((iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) -
      OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND -
      NOT iobm/IOS_FSM_FFd2) -
      OR (NOT CLK_IOB AND NOT iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2 AND -
      iobm/IOREQr AND NOT nAoutOE)); -
-FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0'); -
-FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES,CLK2X_IOB,'0','0'); -
-FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0'); -
-FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0'); -
-FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0'); -
     iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); -
-FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0'); -
-FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1); -
-FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); -
     iobs/IORW1_T <= ((iobs/Once) -
      OR (NOT nADoutLE1) -
      OR (fsb/Ready1r.EXP) -
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20)) -
      OR (fsb/Ready2r.EXP) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND SW(1)) -
      OR (nWE_FSB AND iobs/IORW1) -
      OR (NOT nWE_FSB AND NOT iobs/IORW1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); -
-FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); -
     iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) -
      OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/IOACTr AND IOBERR AND nADoutLE1) -
      OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) -
      OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)); -
-FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1); -
-FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0'); -
     iobs/Load1_D <= ((iobs/Once) -
      OR (NOT nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20)) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) -
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND SW(1)) -
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21)) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      cs/nOverlay1) -
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1)); -
-FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); -
     iobs/Once_D <= ((nBERR_FSB_OBUF.EXP) -
      OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) -
      OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Once AND -
      NOT cs/nOverlay1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20) AND NOT iobs/Once) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once) -
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) -
      OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) -
      OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) -
      OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1)); -
-FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0'); -
     iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) -
      OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); -
-FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); -
     iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20) AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND SW(1) AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND -
      iobs/IOACTr) -
      OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND -
      NOT iobs/IOACTr) -
      OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND -
      nADoutLE1) -
      OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND -
      NOT fsb/ASrf AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); -
-
-nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); -
-FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0'); -
     nADoutLE1_D <= ((iobs/Load1) -
      OR (NOT iobs/Clear1 AND NOT nADoutLE1)); -
-FDCPE_nAS_IOB: FDCPE port map (nAS_IOB_I,nAS_IOB,NOT CLK2X_IOB,'0','0'); -
     nAS_IOB <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2) -
      OR (iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2)); -
     nAS_IOB <= nAS_IOB_I when nAS_IOB_OE = '1' else 'Z'; -
     nAS_IOB_OE <= NOT nAoutOE; -
-FDCPE_nAoutOE: FDCPE port map (nAoutOE,nAoutOE_D,CLK2X_IOB,'0','0'); -
     nAoutOE_D <= ((NOT iobm/BGr0 AND NOT iobm/BGr1) -
      OR (NOT iobm/BGr1 AND nAoutOE) -
      OR (NOT nAS_IOB AND NOT iobm/BGr0 AND NOT nAoutOE)); -
-
-nBERR_FSB <= ((nAS_FSB) -
      OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND -
      NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); -
-FDCPE_nBR_IOB: FDCPE port map (nBR_IOB,'0',CLK_FSB,'0','0',nBR_IOB_CE); -
     nBR_IOB_CE <= (RESr0 AND RESr1 AND IPL2r0 AND RESr2 AND NOT RESDone AND -
      IPL2r1); -
-FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); -
-FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); -
     nDTACK_FSB_D <= ((EXP17_.EXP) -
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND -
      nDTACK_FSB) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND -
      NOT iobs/IOReady AND nDTACK_FSB) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND -
      NOT iobs/IOReady AND NOT SW(1) AND nDTACK_FSB) -
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) -
      OR (EXP20_.EXP) -
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) -
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND -
      nDTACK_FSB AND NOT nADoutLE1) -
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND -
      nDTACK_FSB AND NOT nADoutLE1) -
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND -
      nDTACK_FSB) -
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND -
      nDTACK_FSB) -
      OR (BERR_IOBS AND nDTACK_FSB) -
      OR (fsb/BERR0r AND nDTACK_FSB) -
      OR (fsb/BERR1r AND nDTACK_FSB) -
      OR (nDTACK_FSB AND NOT nBR_IOB) -
      OR (nDTACK_FSB AND $OpTx$$OpTx$FX_DC$182_INV$783)); -
-FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0'); -
     nDinLE_D <= (iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2); -
-
-nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND -
      NOT nAS_FSB) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND nWE_FSB AND NOT nAS_FSB AND -
      NOT SW(1))); -
-FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0'); -
     nDoutOE_D <= ((NOT IORW0) -
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2)); -
-FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT CLK2X_IOB,'0','0'); -
     nLDS_IOB <= ((IOL0 AND NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) -
      OR (IOL0 AND iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) -
      OR (NOT IORW0 AND IOL0 AND iobm/IOS_FSM_FFd3 AND -
      NOT iobm/IOS_FSM_FFd1)); -
     nLDS_IOB <= nLDS_IOB_I when nLDS_IOB_OE = '1' else 'Z'; -
     nLDS_IOB_OE <= NOT nAoutOE; -
-
-nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); -
-
-nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND -
      NOT ram/RAMDIS1)); -
-
-nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND -
      NOT ram/RAMDIS1)); -
-
-nRAS <= NOT (((RefAck) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND -
      NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); -
-
-nROMCS <= NOT (((A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND -
      NOT SW(1)) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND -
      SW(1)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND -
      NOT cs/nOverlay1))); -
-
-nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); -
-FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB_I,nUDS_IOB,NOT CLK2X_IOB,'0','0'); -
     nUDS_IOB <= ((IOU0 AND NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) -
      OR (IOU0 AND iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) -
      OR (NOT IORW0 AND IOU0 AND iobm/IOS_FSM_FFd3 AND -
      NOT iobm/IOS_FSM_FFd1)); -
     nUDS_IOB <= nUDS_IOB_I when nUDS_IOB_OE = '1' else 'Z'; -
     nUDS_IOB_OE <= NOT nAoutOE; -
-FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,CLK2X_IOB,'0','0'); -
     nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND -
      NOT iobm/ES(3) AND NOT iobm/ES(4)) -
      OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND -
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr)); -
     nVMA_IOB <= nVMA_IOB_I when nVMA_IOB_OE = '1' else 'Z'; -
     nVMA_IOB_OE <= NOT nAoutOE; -
-
-nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB)); -
-FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0'); -
     ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); -
-FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); -
     ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND -
      NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND -
      NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); -
-FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); -
     ram/RAMDIS1_D <= ((nOE_OBUF.EXP) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND -
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) -
      OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND -
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) -
      OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND -
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) -
      OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND -
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf) -
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) -
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) -
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3) -
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/BACTr AND fsb/ASrf)); -
-FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0'); -
     ram/RAMDIS2_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND ram/Once AND -
      NOT cnt/RefDone AND NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND -
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND ram/Once AND -
      NOT cnt/RefDone AND NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND -
      cnt/RefCnt(7) AND fsb/ASrf) -
      OR (ram/RAMDIS2 AND nAS_FSB AND NOT fsb/ASrf) -
      OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND -
      ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND -
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) -
      OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND -
      ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND -
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/Once AND NOT cnt/RefDone AND -
      cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND -
      cnt/RefCnt(7)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/Once AND NOT cnt/RefDone AND -
      cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND -
      fsb/ASrf)); -
-FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0'); -
     ram/RAMReady_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) -
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1) -
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) -
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/BACTr AND fsb/ASrf) -
      OR (ram/RS_FSM_FFd2.EXP) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND -
      NOT ram/RS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND -
      NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (NOT A_FSB(21) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (NOT A_FSB(21) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND -
      NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); -
-FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); -
     ram/RASEL_D <= ((A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND -
      NOT fsb/ASrf) -
      OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND -
      ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND -
      NOT fsb/ASrf) -
      OR (EXP26_.EXP) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) -
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3) -
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))); -
-FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0'); -
     ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND -
      NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND -
      NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); -
-FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0'); -
     ram/RS_FSM_FFd2_T <= ((EXP28_.EXP) -
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND -
      NOT cnt/RefCnt(5) AND ram/BACTr) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND -
      NOT cnt/RefCnt(5) AND NOT fsb/ASrf) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND -
      NOT cnt/RefCnt(6) AND NOT fsb/ASrf) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND -
      NOT cnt/RefCnt(7) AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) -
      OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND -
      NOT cnt/RefCnt(6) AND ram/BACTr) -
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND -
      NOT cnt/RefCnt(7))); -
-FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0'); -
     ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) -
      OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND -
      ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND -
      cnt/RefCnt(7)) -
      OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND -
      ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND -
      fsb/ASrf) -
      OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3) -
      OR (ram/Once AND cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(5)) -
      OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6)) -
      OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7))); -
-Register Legend: -
      FDCPE (Q,D,C,CLR,PRE,CE); -
      FTCPE (Q,D,C,CLR,PRE,CE); -
      LDCP (Q,D,G,CLR,PRE); -
-
-
- - -
- diff --git a/cpld/XC95144XL/MXSE_html/fit/time.htm b/cpld/XC95144XL/MXSE_html/fit/time.htm deleted file mode 100644 index f8cf5e6..0000000 --- a/cpld/XC95144XL/MXSE_html/fit/time.htm +++ /dev/null @@ -1,4 +0,0 @@ - -
-
- diff --git a/cpld/XC95144XL/MXSE_html/tim/timing_report.htm b/cpld/XC95144XL/MXSE_html/tim/timing_report.htm deleted file mode 100644 index 45f4a36..0000000 --- a/cpld/XC95144XL/MXSE_html/tim/timing_report.htm +++ /dev/null @@ -1,2993 +0,0 @@ - - - - - - - -Timing report for MXSE - - -
-

Timing Report

-
Need help reading this report?

- - - - - - - - - - - - - - - - - - -
Design NameMXSE
Device, Speed (SpeedFile Version)XC95144XL, -10 (3.0)
Date Created Sun Mar 27 10:09:02 2022 -
Created By Timing Report Generator: version P.20131013
Copyright Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
-

Summary

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Performance Summary
Min. Clock Period20.100 ns.
Max. Clock Frequency (fSYSTEM)49.751 MHz.
Limited by Cycle Time for CLK_FSB
Clock to Setup (tCYC)20.100 ns.
Pad to Pad Delay (tPD)11.000 ns.
Setup to Clock at the Pad (tSU)16.600 ns.
Clock Pad to Output Pad Delay (tCO)14.500 ns.
-
-

Timing Constraints

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Constraint NameRequirement (ns)Delay (ns)PathsPaths Failing
TS_CLK_IOB142.80.000
TS_CLK_FSB40.020.12870
TS_CLK2X_IOB66.611.01050
-
-
- -

Constraint: TS_CLK_IOB

- - - - - - - - -
Description: PERIOD:CLK_IOB:142.857nS:HIGH:71.428nS
PathRequirement (ns)Delay (ns)Slack (ns)
-
-
-
-

Constraint: TS_CLK_FSB

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Description: PERIOD:CLK_FSB:40.000nS:HIGH:20.000nS
PathRequirement (ns)Delay (ns)Slack (ns)
cs/nOverlay1.Q to fsb/VPA.D40.00020.10019.900
cs/nOverlay1.Q to nDTACK_FSB.D40.00020.10019.900
fsb/Ready0r.Q to fsb/VPA.D40.00020.10019.900
-
-
- -

Constraint: TS_CLK2X_IOB

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Description: PERIOD:CLK2X_IOB:66.666nS:HIGH:33.333nS
PathRequirement (ns)Delay (ns)Slack (ns)
IOBERR.Q to IOBERR.D66.60011.00055.600
iobm/BERRrf.Q to IOBERR.D33.30011.00022.300
iobm/BERRrr.Q to IOBERR.D66.60011.00055.600
-
-
-
Number of constraints not met: 0
-
- -

Data Sheet Report

- -

Maximum External Clock Speeds

- - - - - - - - - - - - - - - - - - - - - -
ClockfEXT (MHz)Reason
CLK_IOB111.111Limited by Clock Pulse Width for CLK_IOB
CLK_FSB49.751Limited by Cycle Time for CLK_FSB
CLK2X_IOB90.909Limited by Cycle Time for CLK2X_IOB
-
- -

Setup/Hold Times for Clocks

- - - - - - - - - - - - -
Setup/Hold Times for Clock CLK_IOB
Source PadSetup to clk (edge) Hold to clk (edge)
E_IOB6.5000.000

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Setup/Hold Times for Clock CLK_FSB
Source PadSetup to clk (edge) Hold to clk (edge)
A_FSB<10>7.9000.000
A_FSB<11>7.9000.000
A_FSB<12>7.9000.000
A_FSB<13>7.9000.000
A_FSB<14>7.9000.000
A_FSB<15>7.9000.000
A_FSB<16>7.9000.000
A_FSB<17>7.9000.000
A_FSB<18>7.9000.000
A_FSB<19>7.9000.000
A_FSB<20>15.6000.000
A_FSB<21>16.6000.000
A_FSB<22>16.6000.000
A_FSB<23>16.6000.000
A_FSB<8>7.9000.000
A_FSB<9>7.9000.000
SW<1>7.9000.000
nAS_FSB15.6000.000
nIPL26.5000.000
nLDS_FSB6.5000.000
nRES6.5000.000
nUDS_FSB6.5000.000
nWE_FSB7.9000.000

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Setup/Hold Times for Clock CLK2X_IOB
Source PadSetup to clk (edge) Hold to clk (edge)
CLK_IOB7.5000.000
nBERR_IOB7.5000.000
nBG_IOB6.5000.000
nDTACK_IOB6.5000.000
nRES6.5000.000
nVPA_IOB6.5000.000

-
- -

Clock to Pad Timing

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Clock CLK_FSB to Pad
Destination PadClock (edge) to Pad
RA<1>14.500
RA<2>14.500
RA<4>14.500
RA<5>14.500
RA<8>14.500
nBERR_FSB14.500
nRAMUWE14.500
nRAS14.500
nROMCS14.500
RA<0>13.500
RA<3>13.500
RA<6>13.500
RA<7>13.500
RA<9>13.500
nADoutLE013.500
nRAMLWE13.500
nVPA_FSB13.500
nADoutLE15.800
nBR_IOB5.800
nCAS5.800
nDTACK_FSB5.800

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Clock CLK2X_IOB to Pad
Destination PadClock (edge) to Pad
nAS_IOB14.500
nLDS_IOB14.500
nUDS_IOB14.500
nVMA_IOB14.500
nADoutLE013.500
nAoutOE5.800
nDinLE5.800
nDoutOE5.800

-
- -

Clock to Setup Times for Clocks

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Clock to Setup for clock CLK_FSB
SourceDestinationDelay
cs/nOverlay1.Qfsb/VPA.D20.100
cs/nOverlay1.QnDTACK_FSB.D20.100
fsb/Ready0r.Qfsb/VPA.D20.100
fsb/Ready0r.QnDTACK_FSB.D20.100
ram/RAMReady.Qfsb/VPA.D20.100
ram/RAMReady.QnDTACK_FSB.D20.100
TimeoutB.Qfsb/VPA.D19.100
TimeoutB.QnDTACK_FSB.D19.100
fsb/ASrf.Qfsb/VPA.D19.100
BERR_IOBS.Qfsb/VPA.D11.400
BERR_IOBS.QnDTACK_FSB.D11.400
IORW0.QIORW0.D11.400
TimeoutA.Qfsb/VPA.D11.400
TimeoutA.QnDTACK_FSB.D11.400
cnt/RefCnt<5>.Qram/RAMDIS1.D11.400
cnt/RefCnt<5>.Qram/RAMReady.D11.400
cnt/RefCnt<5>.Qram/RASEL.D11.400
cnt/RefCnt<5>.Qram/RS_FSM_FFd2.D11.400
cnt/RefCnt<6>.Qram/RAMDIS1.D11.400
cnt/RefCnt<6>.Qram/RAMReady.D11.400
cnt/RefCnt<6>.Qram/RASEL.D11.400
cnt/RefCnt<6>.Qram/RS_FSM_FFd2.D11.400
cnt/RefCnt<7>.Qram/RAMDIS1.D11.400
cnt/RefCnt<7>.Qram/RAMReady.D11.400
cnt/RefCnt<7>.Qram/RASEL.D11.400
cnt/RefCnt<7>.Qram/RS_FSM_FFd2.D11.400
cnt/RefDone.Qram/RAMDIS1.D11.400
cnt/RefDone.Qram/RAMReady.D11.400
cnt/RefDone.Qram/RASEL.D11.400
cnt/RefDone.Qram/RS_FSM_FFd2.D11.400
cs/nOverlay1.Qiobs/IORW1.D11.400
cs/nOverlay1.Qiobs/Once.D11.400
cs/nOverlay1.Qram/RAMDIS1.D11.400
cs/nOverlay1.Qram/RASEL.D11.400
cs/nOverlay1.Qram/RS_FSM_FFd2.D11.400
fsb/ASrf.Qram/RASEL.D11.400
fsb/ASrf.Qram/RS_FSM_FFd2.D11.400
fsb/BERR0r.Qfsb/VPA.D11.400
fsb/BERR0r.QnDTACK_FSB.D11.400
fsb/BERR1r.Qfsb/VPA.D11.400
fsb/BERR1r.QnDTACK_FSB.D11.400
fsb/Ready1r.Qfsb/VPA.D11.400
fsb/Ready1r.QnDTACK_FSB.D11.400
fsb/Ready2r.Qfsb/VPA.D11.400
fsb/Ready2r.QnDTACK_FSB.D11.400
fsb/VPA.Qfsb/VPA.D11.400
iobs/IOReady.Qfsb/VPA.D11.400
iobs/IOReady.QnDTACK_FSB.D11.400
iobs/Once.QIORW0.D11.400
iobs/Once.Qiobs/Once.D11.400
iobs/PS_FSM_FFd1.QIORW0.D11.400
iobs/PS_FSM_FFd2.QIORW0.D11.400
nADoutLE1.QIORW0.D11.400
nBR_IOB.Qfsb/VPA.D11.400
nBR_IOB.QnDTACK_FSB.D11.400
nDTACK_FSB.QnDTACK_FSB.D11.400
ram/Once.Qram/RASEL.D11.400
ram/RS_FSM_FFd1.Qram/RAMDIS1.D11.400
ram/RS_FSM_FFd1.Qram/RASEL.D11.400
ram/RS_FSM_FFd1.Qram/RS_FSM_FFd2.D11.400
ram/RS_FSM_FFd2.Qram/RASEL.D11.400
ram/RS_FSM_FFd2.Qram/RS_FSM_FFd2.D11.400
ram/RS_FSM_FFd3.Qram/RS_FSM_FFd2.D11.400
TimeoutA.Qfsb/Ready2r.D11.000
cnt/RefCnt<5>.Qram/RAMDIS2.D11.000
cnt/RefCnt<5>.Qram/RS_FSM_FFd3.D11.000
cnt/RefCnt<6>.Qram/RAMDIS2.D11.000
cnt/RefCnt<6>.Qram/RS_FSM_FFd3.D11.000
cnt/RefCnt<7>.Qram/RAMDIS2.D11.000
cnt/RefCnt<7>.Qram/RS_FSM_FFd3.D11.000
cnt/RefDone.Qram/RAMDIS2.D11.000
cnt/RefDone.Qram/RS_FSM_FFd3.D11.000
cs/nOverlay1.QIOREQ.D11.000
cs/nOverlay1.Qfsb/Ready1r.D11.000
cs/nOverlay1.Qfsb/Ready2r.D11.000
cs/nOverlay1.Qiobs/Load1.D11.000
cs/nOverlay1.Qiobs/PS_FSM_FFd2.D11.000
cs/nOverlay1.Qram/RAMDIS2.D11.000
cs/nOverlay1.Qram/RAMReady.D11.000
cs/nOverlay1.Qram/RS_FSM_FFd3.D11.000
fsb/ASrf.QIORW0.D11.000
fsb/ASrf.Qfsb/Ready2r.D11.000
fsb/ASrf.Qiobs/IORW1.D11.000
fsb/ASrf.QnDTACK_FSB.D11.000
fsb/ASrf.Qram/RAMDIS1.D11.000
fsb/ASrf.Qram/RAMDIS2.D11.000
fsb/ASrf.Qram/RAMReady.D11.000
fsb/ASrf.Qram/RS_FSM_FFd3.D11.000
fsb/Ready1r.Qfsb/Ready1r.D11.000
fsb/Ready2r.Qfsb/Ready2r.D11.000
iobs/IORW1.QIORW0.D11.000
iobs/IOReady.Qfsb/Ready1r.D11.000
iobs/PS_FSM_FFd1.Qiobs/IORW1.D11.000
iobs/PS_FSM_FFd1.Qiobs/Once.D11.000
iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd2.D11.000
iobs/PS_FSM_FFd2.QIOREQ.D11.000
iobs/PS_FSM_FFd2.Qiobs/IORW1.D11.000
iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd2.D11.000
nADoutLE1.QIOREQ.D11.000
nADoutLE1.Qfsb/Ready1r.D11.000
nADoutLE1.Qfsb/VPA.D11.000
nADoutLE1.Qiobs/Once.D11.000
nADoutLE1.Qiobs/PS_FSM_FFd2.D11.000
nADoutLE1.QnDTACK_FSB.D11.000
ram/BACTr.Qram/RAMDIS1.D11.000
ram/BACTr.Qram/RAMReady.D11.000
ram/BACTr.Qram/RASEL.D11.000
ram/BACTr.Qram/RS_FSM_FFd2.D11.000
ram/Once.Qram/RAMDIS1.D11.000
ram/Once.Qram/RAMDIS2.D11.000
ram/Once.Qram/RAMReady.D11.000
ram/RAMDIS2.Qram/RAMDIS2.D11.000
ram/RS_FSM_FFd1.Qram/RAMDIS2.D11.000
ram/RS_FSM_FFd1.Qram/RAMReady.D11.000
ram/RS_FSM_FFd1.Qram/RS_FSM_FFd3.D11.000
ram/RS_FSM_FFd2.Qram/RAMDIS1.D11.000
ram/RS_FSM_FFd2.Qram/RAMDIS2.D11.000
ram/RS_FSM_FFd2.Qram/RAMReady.D11.000
ram/RS_FSM_FFd2.Qram/RS_FSM_FFd3.D11.000
ram/RS_FSM_FFd3.Qram/RAMDIS1.D11.000
ram/RS_FSM_FFd3.Qram/RAMDIS2.D11.000
ram/RS_FSM_FFd3.Qram/RAMReady.D11.000
ram/RS_FSM_FFd3.Qram/RASEL.D11.000
ram/RS_FSM_FFd3.Qram/RS_FSM_FFd3.D11.000
BERR_IOBS.QBERR_IOBS.D10.000
BERR_IOBS.Qfsb/BERR1r.D10.000
IPL2r0.QIPL2r1.D10.000
IPL2r0.QnBR_IOB.CE10.000
IPL2r1.QnBR_IOB.CE10.000
RESDone.QnBR_IOB.CE10.000
RESr0.QRESDone.CE10.000
RESr0.QRESr1.D10.000
RESr0.QnBR_IOB.CE10.000
RESr1.QRESDone.CE10.000
RESr1.QRESr2.D10.000
RESr1.QnBR_IOB.CE10.000
RESr2.QRESDone.CE10.000
RESr2.QnBR_IOB.CE10.000
RefAck.Qcnt/RefDone.D10.000
TimeoutA.QTimeoutA.D10.000
TimeoutB.QTimeoutB.D10.000
TimeoutB.Qfsb/BERR0r.D10.000
cnt/RefCnt<0>.QTimeoutA.D10.000
cnt/RefCnt<0>.QTimeoutB.D10.000
cnt/RefCnt<0>.Qcnt/RefCnt<1>.D10.000
cnt/RefCnt<0>.Qcnt/RefCnt<2>.D10.000
cnt/RefCnt<0>.Qcnt/RefCnt<3>.D10.000
cnt/RefCnt<0>.Qcnt/RefCnt<4>.D10.000
cnt/RefCnt<0>.Qcnt/RefCnt<5>.D10.000
cnt/RefCnt<0>.Qcnt/RefCnt<6>.D10.000
cnt/RefCnt<0>.Qcnt/RefCnt<7>.D10.000
cnt/RefCnt<0>.Qcnt/RefDone.D10.000
cnt/RefCnt<0>.Qcnt/TimeoutBPre.D10.000
cnt/RefCnt<1>.QTimeoutA.D10.000
cnt/RefCnt<1>.QTimeoutB.D10.000
cnt/RefCnt<1>.Qcnt/RefCnt<2>.D10.000
cnt/RefCnt<1>.Qcnt/RefCnt<3>.D10.000
cnt/RefCnt<1>.Qcnt/RefCnt<4>.D10.000
cnt/RefCnt<1>.Qcnt/RefCnt<5>.D10.000
cnt/RefCnt<1>.Qcnt/RefCnt<6>.D10.000
cnt/RefCnt<1>.Qcnt/RefCnt<7>.D10.000
cnt/RefCnt<1>.Qcnt/RefDone.D10.000
cnt/RefCnt<1>.Qcnt/TimeoutBPre.D10.000
cnt/RefCnt<2>.QTimeoutA.D10.000
cnt/RefCnt<2>.QTimeoutB.D10.000
cnt/RefCnt<2>.Qcnt/RefCnt<3>.D10.000
cnt/RefCnt<2>.Qcnt/RefCnt<4>.D10.000
cnt/RefCnt<2>.Qcnt/RefCnt<5>.D10.000
cnt/RefCnt<2>.Qcnt/RefCnt<6>.D10.000
cnt/RefCnt<2>.Qcnt/RefCnt<7>.D10.000
cnt/RefCnt<2>.Qcnt/RefDone.D10.000
cnt/RefCnt<2>.Qcnt/TimeoutBPre.D10.000
cnt/RefCnt<3>.QTimeoutA.D10.000
cnt/RefCnt<3>.QTimeoutB.D10.000
cnt/RefCnt<3>.Qcnt/RefCnt<4>.D10.000
cnt/RefCnt<3>.Qcnt/RefCnt<5>.D10.000
cnt/RefCnt<3>.Qcnt/RefCnt<6>.D10.000
cnt/RefCnt<3>.Qcnt/RefCnt<7>.D10.000
cnt/RefCnt<3>.Qcnt/RefDone.D10.000
cnt/RefCnt<3>.Qcnt/TimeoutBPre.D10.000
cnt/RefCnt<4>.QTimeoutA.D10.000
cnt/RefCnt<4>.QTimeoutB.D10.000
cnt/RefCnt<4>.Qcnt/RefCnt<5>.D10.000
cnt/RefCnt<4>.Qcnt/RefCnt<6>.D10.000
cnt/RefCnt<4>.Qcnt/RefCnt<7>.D10.000
cnt/RefCnt<4>.Qcnt/RefDone.D10.000
cnt/RefCnt<4>.Qcnt/TimeoutBPre.D10.000
cnt/RefCnt<5>.QTimeoutA.D10.000
cnt/RefCnt<5>.QTimeoutB.D10.000
cnt/RefCnt<5>.Qcnt/RefCnt<6>.D10.000
cnt/RefCnt<5>.Qcnt/RefCnt<7>.D10.000
cnt/RefCnt<5>.Qcnt/RefDone.D10.000
cnt/RefCnt<5>.Qcnt/TimeoutBPre.D10.000
cnt/RefCnt<6>.QTimeoutA.D10.000
cnt/RefCnt<6>.QTimeoutB.D10.000
cnt/RefCnt<6>.Qcnt/RefCnt<7>.D10.000
cnt/RefCnt<6>.Qcnt/RefDone.D10.000
cnt/RefCnt<6>.Qcnt/TimeoutBPre.D10.000
cnt/RefCnt<7>.QTimeoutB.D10.000
cnt/RefCnt<7>.Qcnt/RefDone.D10.000
cnt/RefCnt<7>.Qcnt/TimeoutBPre.D10.000
cnt/RefDone.Qcnt/RefDone.D10.000
cnt/TimeoutBPre.QTimeoutB.D10.000
cnt/TimeoutBPre.Qcnt/TimeoutBPre.D10.000
cs/nOverlay0.Qcs/nOverlay0.D10.000
cs/nOverlay0.Qcs/nOverlay1.D10.000
cs/nOverlay1.QIORW0.D10.000
cs/nOverlay1.Qfsb/Ready0r.D10.000
cs/nOverlay1.Qram/Once.D10.000
cs/nOverlay1.Qram/RS_FSM_FFd1.D10.000
fsb/ASrf.QBERR_IOBS.D10.000
fsb/ASrf.QIOREQ.D10.000
fsb/ASrf.QTimeoutA.D10.000
fsb/ASrf.QTimeoutB.D10.000
fsb/ASrf.Qcnt/TimeoutBPre.D10.000
fsb/ASrf.Qcs/nOverlay0.D10.000
fsb/ASrf.Qcs/nOverlay1.CE10.000
fsb/ASrf.Qfsb/BERR0r.D10.000
fsb/ASrf.Qfsb/BERR1r.D10.000
fsb/ASrf.Qfsb/Ready0r.D10.000
fsb/ASrf.Qfsb/Ready1r.D10.000
fsb/ASrf.Qiobs/IOReady.D10.000
fsb/ASrf.Qiobs/Load1.D10.000
fsb/ASrf.Qiobs/Once.D10.000
fsb/ASrf.Qiobs/PS_FSM_FFd2.D10.000
fsb/ASrf.Qram/BACTr.D10.000
fsb/ASrf.Qram/Once.D10.000
fsb/ASrf.Qram/RS_FSM_FFd1.D10.000
fsb/BERR0r.Qfsb/BERR0r.D10.000
fsb/BERR1r.Qfsb/BERR1r.D10.000
fsb/Ready0r.Qfsb/Ready0r.D10.000
iobs/Clear1.QnADoutLE1.D10.000
iobs/IOACTr.QBERR_IOBS.D10.000
iobs/IOACTr.QIOREQ.D10.000
iobs/IOACTr.Qiobs/IOReady.D10.000
iobs/IOACTr.Qiobs/PS_FSM_FFd1.D10.000
iobs/IOACTr.Qiobs/PS_FSM_FFd2.D10.000
iobs/IOL1.QIOL0.D10.000
iobs/IORW1.Qiobs/IORW1.D10.000
iobs/IOReady.Qiobs/IOReady.D10.000
iobs/IOU1.QIOU0.D10.000
iobs/Load1.Qiobs/IOL1.CE10.000
iobs/Load1.Qiobs/IOU1.CE10.000
iobs/Load1.QnADoutLE1.D10.000
iobs/Once.QBERR_IOBS.D10.000
iobs/Once.QIOREQ.D10.000
iobs/Once.Qiobs/IORW1.D10.000
iobs/Once.Qiobs/IOReady.D10.000
iobs/Once.Qiobs/Load1.D10.000
iobs/Once.Qiobs/PS_FSM_FFd2.D10.000
iobs/PS_FSM_FFd1.QALE0S.D10.000
iobs/PS_FSM_FFd1.QIOL0.CE10.000
iobs/PS_FSM_FFd1.QIOREQ.D10.000
iobs/PS_FSM_FFd1.QIOU0.CE10.000
iobs/PS_FSM_FFd1.Qiobs/Clear1.D10.000
iobs/PS_FSM_FFd1.Qiobs/Load1.D10.000
iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd1.D10.000
iobs/PS_FSM_FFd2.QALE0S.D10.000
iobs/PS_FSM_FFd2.QBERR_IOBS.D10.000
iobs/PS_FSM_FFd2.QIOL0.CE10.000
iobs/PS_FSM_FFd2.QIOU0.CE10.000
iobs/PS_FSM_FFd2.Qiobs/Clear1.D10.000
iobs/PS_FSM_FFd2.Qiobs/IOReady.D10.000
iobs/PS_FSM_FFd2.Qiobs/Load1.D10.000
iobs/PS_FSM_FFd2.Qiobs/Once.D10.000
iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd1.D10.000
nADoutLE1.QBERR_IOBS.D10.000
nADoutLE1.QIOL0.D10.000
nADoutLE1.QIOU0.D10.000
nADoutLE1.Qiobs/Clear1.D10.000
nADoutLE1.Qiobs/IORW1.D10.000
nADoutLE1.Qiobs/IOReady.D10.000
nADoutLE1.Qiobs/Load1.D10.000
nADoutLE1.QnADoutLE1.D10.000
ram/Once.Qram/Once.D10.000
ram/Once.Qram/RS_FSM_FFd1.D10.000
ram/Once.Qram/RS_FSM_FFd3.D10.000
ram/RAMReady.Qfsb/Ready0r.D10.000
ram/RASEL.QnCAS.D10.000
ram/RS_FSM_FFd1.QRefAck.D10.000
ram/RS_FSM_FFd1.Qram/Once.D10.000
ram/RS_FSM_FFd1.Qram/RS_FSM_FFd1.D10.000
ram/RS_FSM_FFd2.QRefAck.D10.000
ram/RS_FSM_FFd2.Qram/Once.D10.000
ram/RS_FSM_FFd2.Qram/RS_FSM_FFd1.D10.000
ram/RS_FSM_FFd3.Qram/Once.D10.000
ram/RS_FSM_FFd3.Qram/RS_FSM_FFd1.D10.000

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Clock to Setup for clock CLK2X_IOB
SourceDestinationDelay
IOBERR.QIOBERR.D11.000
iobm/BERRrf.QIOBERR.D11.000
iobm/BERRrr.QIOBERR.D11.000
iobm/DTACKrf.QIOACT.D11.000
iobm/DTACKrf.QIOBERR.D11.000
iobm/DTACKrr.QIOACT.D11.000
iobm/DTACKrr.QIOBERR.D11.000
iobm/IOS_FSM_FFd1.QIOACT.D11.000
iobm/IOS_FSM_FFd1.QIOBERR.D11.000
iobm/IOS_FSM_FFd2.QIOBERR.D11.000
iobm/IOS_FSM_FFd3.QIOACT.D11.000
iobm/IOS_FSM_FFd3.QIOBERR.D11.000
iobm/RESrf.QIOACT.D11.000
iobm/RESrf.QIOBERR.D11.000
iobm/RESrr.QIOACT.D11.000
iobm/RESrr.QIOBERR.D11.000
IOACT.QnVMA_IOB.D10.000
iobm/BERRrf.QIOACT.D10.000
iobm/BERRrf.Qiobm/IOS_FSM_FFd2.D10.000
iobm/BERRrr.QIOACT.D10.000
iobm/BERRrr.Qiobm/IOS_FSM_FFd2.D10.000
iobm/BGr0.Qiobm/BGr1.D10.000
iobm/BGr0.QnAoutOE.D10.000
iobm/BGr1.QnAoutOE.D10.000
iobm/DTACKrf.Qiobm/IOS_FSM_FFd2.D10.000
iobm/DTACKrr.Qiobm/IOS_FSM_FFd2.D10.000
iobm/ES<0>.Qiobm/ES<0>.D10.000
iobm/ES<0>.Qiobm/ES<1>.D10.000
iobm/ES<0>.Qiobm/ES<2>.D10.000
iobm/ES<0>.Qiobm/ES<3>.D10.000
iobm/ES<0>.Qiobm/ES<4>.D10.000
iobm/ES<0>.Qiobm/ETACK.D10.000
iobm/ES<0>.QnVMA_IOB.D10.000
iobm/ES<1>.Qiobm/ES<0>.D10.000
iobm/ES<1>.Qiobm/ES<1>.D10.000
iobm/ES<1>.Qiobm/ES<2>.D10.000
iobm/ES<1>.Qiobm/ES<3>.D10.000
iobm/ES<1>.Qiobm/ES<4>.D10.000
iobm/ES<1>.Qiobm/ETACK.D10.000
iobm/ES<1>.QnVMA_IOB.D10.000
iobm/ES<2>.Qiobm/ES<0>.D10.000
iobm/ES<2>.Qiobm/ES<2>.D10.000
iobm/ES<2>.Qiobm/ES<3>.D10.000
iobm/ES<2>.Qiobm/ES<4>.D10.000
iobm/ES<2>.Qiobm/ETACK.D10.000
iobm/ES<2>.QnVMA_IOB.D10.000
iobm/ES<3>.Qiobm/ES<0>.D10.000
iobm/ES<3>.Qiobm/ES<2>.D10.000
iobm/ES<3>.Qiobm/ES<3>.D10.000
iobm/ES<3>.Qiobm/ES<4>.D10.000
iobm/ES<3>.Qiobm/ETACK.D10.000
iobm/ES<3>.QnVMA_IOB.D10.000
iobm/ES<4>.Qiobm/ES<0>.D10.000
iobm/ES<4>.Qiobm/ES<2>.D10.000
iobm/ES<4>.Qiobm/ES<4>.D10.000
iobm/ES<4>.Qiobm/ETACK.D10.000
iobm/ES<4>.QnVMA_IOB.D10.000
iobm/ETACK.QIOACT.D10.000
iobm/ETACK.QIOBERR.D10.000
iobm/ETACK.Qiobm/IOS_FSM_FFd2.D10.000
iobm/Er2.Qiobm/ES<0>.D10.000
iobm/Er2.Qiobm/ES<1>.D10.000
iobm/Er2.Qiobm/ES<2>.D10.000
iobm/Er2.Qiobm/ES<3>.D10.000
iobm/Er2.Qiobm/ES<4>.D10.000
iobm/IOREQr.QALE0M.D10.000
iobm/IOREQr.QIOACT.D10.000
iobm/IOREQr.Qiobm/IOS_FSM_FFd3.D10.000
iobm/IOS_FSM_FFd1.QALE0M.D10.000
iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd1.D10.000
iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd2.D10.000
iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd3.D10.000
iobm/IOS_FSM_FFd1.QnAS_IOB.D10.000
iobm/IOS_FSM_FFd1.QnDinLE.D10.000
iobm/IOS_FSM_FFd1.QnLDS_IOB.D10.000
iobm/IOS_FSM_FFd1.QnUDS_IOB.D10.000
iobm/IOS_FSM_FFd2.QALE0M.D10.000
iobm/IOS_FSM_FFd2.QIOACT.D10.000
iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd1.D10.000
iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd2.D10.000
iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd3.D10.000
iobm/IOS_FSM_FFd2.QnAS_IOB.D10.000
iobm/IOS_FSM_FFd2.QnDinLE.D10.000
iobm/IOS_FSM_FFd2.QnDoutOE.D10.000
iobm/IOS_FSM_FFd2.QnLDS_IOB.D10.000
iobm/IOS_FSM_FFd2.QnUDS_IOB.D10.000
iobm/IOS_FSM_FFd3.QALE0M.D10.000
iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd1.D10.000
iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd2.D10.000
iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd3.D10.000
iobm/IOS_FSM_FFd3.QnAS_IOB.D10.000
iobm/IOS_FSM_FFd3.QnDoutOE.D10.000
iobm/IOS_FSM_FFd3.QnLDS_IOB.D10.000
iobm/IOS_FSM_FFd3.QnUDS_IOB.D10.000
iobm/RESrf.Qiobm/IOS_FSM_FFd2.D10.000
iobm/RESrr.Qiobm/IOS_FSM_FFd2.D10.000
iobm/VPArf.QnVMA_IOB.D10.000
iobm/VPArr.QnVMA_IOB.D10.000
nAS_IOB.QnAoutOE.D10.000
nAoutOE.QALE0M.D10.000
nAoutOE.QIOACT.D10.000
nAoutOE.Qiobm/IOS_FSM_FFd3.D10.000
nAoutOE.QnAoutOE.D10.000
nVMA_IOB.Qiobm/ETACK.D10.000
nVMA_IOB.QnVMA_IOB.D10.000

-
- -

Pad to Pad List

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Source PadDestination PadDelay
A_FSB<11>RA<1>11.000
A_FSB<12>RA<2>11.000
A_FSB<14>RA<4>11.000
A_FSB<15>RA<5>11.000
A_FSB<20>nBERR_FSB11.000
A_FSB<20>nROMCS11.000
A_FSB<21>RA<8>11.000
A_FSB<21>nBERR_FSB11.000
A_FSB<21>nRAS11.000
A_FSB<21>nROMCS11.000
A_FSB<22>RA<8>11.000
A_FSB<22>nBERR_FSB11.000
A_FSB<22>nRAS11.000
A_FSB<22>nROMCS11.000
A_FSB<23>RA<8>11.000
A_FSB<23>nBERR_FSB11.000
A_FSB<23>nRAS11.000
A_FSB<23>nROMCS11.000
A_FSB<2>RA<1>11.000
A_FSB<3>RA<2>11.000
A_FSB<5>RA<4>11.000
A_FSB<6>RA<5>11.000
A_FSB<9>RA<8>11.000
SW<1>nROMCS11.000
nAS_FSBnRAMUWE11.000
nAS_FSBnRAS11.000
nUDS_FSBnRAMUWE11.000
nWE_FSBnRAMUWE11.000
A_FSB<10>RA<0>10.000
A_FSB<13>RA<3>10.000
A_FSB<16>RA<6>10.000
A_FSB<17>RA<7>10.000
A_FSB<18>RA<8>10.000
A_FSB<19>RA<11>10.000
A_FSB<19>RA<9>10.000
A_FSB<1>RA<0>10.000
A_FSB<20>RA<9>10.000
A_FSB<20>nDinOE10.000
A_FSB<21>RA<10>10.000
A_FSB<21>nDinOE10.000
A_FSB<22>nDinOE10.000
A_FSB<23>nDinOE10.000
A_FSB<4>RA<3>10.000
A_FSB<7>RA<6>10.000
A_FSB<8>RA<7>10.000
SW<0>CLK20EN10.000
SW<0>CLK25EN10.000
SW<1>nDinOE10.000
nAS_FSBnBERR_FSB10.000
nAS_FSBnDinOE10.000
nAS_FSBnOE10.000
nAS_FSBnRAMLWE10.000
nAS_FSBnROMWE10.000
nAS_FSBnVPA_FSB10.000
nLDS_FSBnRAMLWE10.000
nWE_FSBnDinOE10.000
nWE_FSBnOE10.000
nWE_FSBnRAMLWE10.000
nWE_FSBnROMWE10.000
-
-
-
Number of paths analyzed: -392 -
Number of Timing errors: -0 -
Analysis Completed: Sun Mar 27 10:09:05 2022 - -
- diff --git a/cpld/XC95144XL/MXSE_summary.html b/cpld/XC95144XL/MXSE_summary.html deleted file mode 100644 index 49c2e8a..0000000 --- a/cpld/XC95144XL/MXSE_summary.html +++ /dev/null @@ -1,102 +0,0 @@ -Xilinx Design Summary - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
MXSE Project Status (03/27/2022 - 10:12:15)
Project File:WarpSE.xiseParser Errors: No Errors
Module Name:MXSEImplementation State:Fitted
Target Device:xc95144xl-10TQ100
  • Errors:
-No Errors
Product Version:ISE 14.7
  • Warnings:
5 Warnings (0 new)
Design Goal:Balanced
  • Routing Results:
Design Strategy:Xilinx Default (unlocked)
  • Timing Constraints:
 
Environment: - -System Settings -
  • Final Timing Score:
  
- - - - 
- - -
Current Errors [-]
No Errors Found
- - - - 
- - - - - - - -
Current Warnings [-]
Synthesis WarningsNew
WARNING:Xst:647: - Input <SW<2>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. 
WARNING:Xst:1426: - The value init of the FF/Latch 0 hinder the constant cleaning in the block RESDone. You should achieve better results by setting this init to 1. 
WARNING:Xst:1426: - The value init of the FF/Latch 0 hinder the constant cleaning in the block Disable. You should achieve better results by setting this init to 1. 
WARNING:Xst:1426: - The value init of the FF/Latch RESDone hinder the constant cleaning in the block MXSE. You should achieve better results by setting this init to 1. 
WARNING:Xst:1426: - The value init of the FF/Latch Disable hinder the constant cleaning in the block MXSE. You should achieve better results by setting this init to 1. 
- - - - - - - - - - - - - - 
- - - - - - - -
Detailed Reports [-]
Report NameStatusGeneratedErrorsWarningsInfos
Synthesis ReportCurrentSun Mar 27 10:07:11 202205 Warnings (0 new)0
Translation ReportCurrentSun Mar 27 10:07:43 2022000
CPLD Fitter Report (Text)CurrentSun Mar 27 10:08:18 202202 Warnings (1 new)3 Infos (3 new)
Power Report     

- - - -
Secondary Reports [-]
Report NameStatusGenerated
Post-Fit Simulation Model Report  
- - -
Date Generated: 03/27/2022 - 10:12:16
- \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE.bld b/cpld/XC95144XL/WarpSE.bld similarity index 59% rename from cpld/XC95144XL/MXSE.bld rename to cpld/XC95144XL/WarpSE.bld index c60fa0b..d9b29ae 100644 --- a/cpld/XC95144XL/MXSE.bld +++ b/cpld/XC95144XL/WarpSE.bld @@ -2,15 +2,15 @@ Release 14.7 ngdbuild P.20131013 (nt) Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt\unwrapped\ngdbuild.exe -intstyle -ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p -xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +ise -dd _ngo -uc Z:/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 +WarpSE.ngc WarpSE.ngd -Reading NGO file "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.ngc" ... +Reading NGO file "Z:/Warp-SE/cpld/XC95144XL/WarpSE.ngc" ... Gathering constraint information from source properties... Done. Annotating constraints to design from ucf file -"//192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf" ... +"Z:/Warp-SE/cpld/WarpSE-XC95144XL.ucf" ... Resolving constraint associations... Checking Constraint Associations... Done... @@ -28,10 +28,10 @@ NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 0 -Total memory usage is 118196 kilobytes +Total memory usage is 117172 kilobytes -Writing NGD file "MXSE.ngd" ... -Total REAL time to NGDBUILD completion: 18 sec -Total CPU time to NGDBUILD completion: 17 sec +Writing NGD file "WarpSE.ngd" ... +Total REAL time to NGDBUILD completion: 17 sec +Total CPU time to NGDBUILD completion: 16 sec -Writing NGDBUILD log file "MXSE.bld"... +Writing NGDBUILD log file "WarpSE.bld"... diff --git a/cpld/XC95144XL/WarpSE.cmd_log b/cpld/XC95144XL/WarpSE.cmd_log new file mode 100644 index 0000000..55c92dc --- /dev/null +++ b/cpld/XC95144XL/WarpSE.cmd_log @@ -0,0 +1,24 @@ +xst -intstyle ise -ifn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc Z:/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +taengine -intstyle ise -f WarpSE -l WarpSE.tim -e {Z:\Warp-SE\cpld\XC95144XL\taengine.err} +xst -intstyle ise -ifn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc Z:/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +taengine -intstyle ise -f WarpSE -l WarpSE.tim -e {Z:\Warp-SE\cpld\XC95144XL\taengine.err} +xst -intstyle ise -ifn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc Z:/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm +-cwd "Z:/Warp-SE/cpld/XC95144XL" timingan_cpld -intstyle ise "Z:/Warp-SE/cpld/XC95144XL/WarpSE.vm6" +xst -intstyle ise -ifn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc Z:/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm diff --git a/cpld/XC95144XL/WarpSE.data b/cpld/XC95144XL/WarpSE.data new file mode 100644 index 0000000..71df4b5 --- /dev/null +++ b/cpld/XC95144XL/WarpSE.data @@ -0,0 +1,1387 @@ +MODELDATA +MODELDATA_VERSION "v1998.8" +DESIGN "WarpSE"; + +/* port drive, load, max capacitance and max transition in data file */ +PORTDATA +A_FSB<9>: MAXTRANS(0.0); +A_FSB<8>: MAXTRANS(0.0); +A_FSB<15>: MAXTRANS(0.0); +A_FSB<14>: MAXTRANS(0.0); +A_FSB<13>: MAXTRANS(0.0); +A_FSB<12>: MAXTRANS(0.0); +A_FSB<11>: MAXTRANS(0.0); +A_FSB<10>: MAXTRANS(0.0); +A_FSB<23>: MAXTRANS(0.0); +A_FSB<22>: MAXTRANS(0.0); +A_FSB<21>: MAXTRANS(0.0); +A_FSB<20>: MAXTRANS(0.0); +A_FSB<19>: MAXTRANS(0.0); +A_FSB<18>: MAXTRANS(0.0); +A_FSB<17>: MAXTRANS(0.0); +A_FSB<16>: MAXTRANS(0.0); +CLK2X_IOB: MAXTRANS(0.0); +CLK_FSB: MAXTRANS(0.0); +nAS_FSB: MAXTRANS(0.0); +nWE_FSB: MAXTRANS(0.0); +SW<1>: MAXTRANS(0.0); +nRES: MAXTRANS(0.0); +nLDS_FSB: MAXTRANS(0.0); +nUDS_FSB: MAXTRANS(0.0); +CLK_IOB: MAXTRANS(0.0); +nBERR_IOB: MAXTRANS(0.0); +E_IOB: MAXTRANS(0.0); +nIPL2: MAXTRANS(0.0); +nBG_IOB: MAXTRANS(0.0); +nDTACK_IOB: MAXTRANS(0.0); +nVPA_IOB: MAXTRANS(0.0); +A_FSB<1>: MAXTRANS(0.0); +A_FSB<2>: MAXTRANS(0.0); +A_FSB<3>: MAXTRANS(0.0); +A_FSB<4>: MAXTRANS(0.0); +A_FSB<5>: MAXTRANS(0.0); +A_FSB<6>: MAXTRANS(0.0); +A_FSB<7>: MAXTRANS(0.0); +SW<0>: MAXTRANS(0.0); +nVMA_IOB: MAXTRANS(0.0); +nAS_IOB: MAXTRANS(0.0); +nLDS_IOB: MAXTRANS(0.0); +nUDS_IOB: MAXTRANS(0.0); +nDTACK_FSB: MAXTRANS(0.0); +RA<0>: MAXTRANS(0.0); +RA<1>: MAXTRANS(0.0); +RA<2>: MAXTRANS(0.0); +RA<3>: MAXTRANS(0.0); +RA<4>: MAXTRANS(0.0); +RA<5>: MAXTRANS(0.0); +RA<6>: MAXTRANS(0.0); +RA<7>: MAXTRANS(0.0); +RA<8>: MAXTRANS(0.0); +RA<9>: MAXTRANS(0.0); +nBERR_FSB: MAXTRANS(0.0); +nOE: MAXTRANS(0.0); +nROMWE: MAXTRANS(0.0); +nVPA_FSB: MAXTRANS(0.0); +nADoutLE0: MAXTRANS(0.0); +nCAS: MAXTRANS(0.0); +nDinLE: MAXTRANS(0.0); +nDinOE: MAXTRANS(0.0); +nDoutOE: MAXTRANS(0.0); +nRAS: MAXTRANS(0.0); +RA<11>: MAXTRANS(0.0); +RA<10>: MAXTRANS(0.0); +CLK20EN: MAXTRANS(0.0); +CLK25EN: MAXTRANS(0.0); +nBR_IOB: MAXTRANS(0.0); +nADoutLE1: MAXTRANS(0.0); +nAoutOE: MAXTRANS(0.0); +nRAMLWE: MAXTRANS(0.0); +nRAMUWE: MAXTRANS(0.0); +nROMCS: MAXTRANS(0.0); +ENDPORTDATA + +/* timing arc data */ +TIMINGDATA + +ARCDATA +SW<0>_CLK20EN_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +SW<0>_CLK25EN_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<1>_RA<0>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<10>_RA<0>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<21>_RA<10>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<19>_RA<11>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<11>_RA<1>_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<2>_RA<1>_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<3>_RA<2>_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<12>_RA<2>_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<4>_RA<3>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<13>_RA<3>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<5>_RA<4>_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<14>_RA<4>_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<6>_RA<5>_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<15>_RA<5>_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<7>_RA<6>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<16>_RA<6>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<17>_RA<7>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<8>_RA<7>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<21>_RA<8>_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<9>_RA<8>_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<23>_RA<8>_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<22>_RA<8>_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<18>_RA<8>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<20>_RA<9>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<19>_RA<9>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_nBERR_FSB_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<20>_nBERR_FSB_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<22>_nBERR_FSB_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<23>_nBERR_FSB_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<21>_nBERR_FSB_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<20>_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<23>_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<22>_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<21>_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +SW<1>_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_nOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_nOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nLDS_FSB_nRAMLWE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_nRAMLWE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_nRAMLWE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_nRAMUWE_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +nUDS_FSB_nRAMUWE_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_nRAMUWE_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<23>_nRAS_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_nRAS_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<21>_nRAS_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<22>_nRAS_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<22>_nROMCS_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<23>_nROMCS_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +SW<1>_nROMCS_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<20>_nROMCS_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<21>_nROMCS_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_nROMWE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_nROMWE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_nVPA_FSB_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_nDTACK_FSB_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_RA<0>_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_RA<1>_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_RA<2>_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_RA<3>_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_RA<4>_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_RA<5>_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_RA<6>_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_RA<7>_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_RA<8>_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_RA<9>_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_nBERR_FSB_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_nVPA_FSB_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_nADoutLE0_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_nCAS_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_nRAS_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_nBR_IOB_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_nADoutLE1_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_nRAMLWE_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_nRAMUWE_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +CLK_FSB_nROMCS_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +CLK2X_IOB_nVMA_IOB_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +CLK2X_IOB_nAS_IOB_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +CLK2X_IOB_nLDS_IOB_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +CLK2X_IOB_nUDS_IOB_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +CLK2X_IOB_nADoutLE0_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +CLK2X_IOB_nDinLE_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +CLK2X_IOB_nDoutOE_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +CLK2X_IOB_nAoutOE_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +E_IOB_CLK_IOB_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +E_IOB_CLK_IOB_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +A_FSB<10>_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("10.9"); +} +ENDARCDATA + +ARCDATA +A_FSB<11>_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("10.9"); +} +ENDARCDATA + +ARCDATA +A_FSB<12>_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("10.9"); +} +ENDARCDATA + +ARCDATA +A_FSB<13>_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("10.9"); +} +ENDARCDATA + +ARCDATA +A_FSB<14>_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("10.9"); +} +ENDARCDATA + +ARCDATA +A_FSB<15>_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("10.9"); +} +ENDARCDATA + +ARCDATA +A_FSB<16>_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("10.9"); +} +ENDARCDATA + +ARCDATA +A_FSB<17>_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("10.9"); +} +ENDARCDATA + +ARCDATA +A_FSB<18>_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("10.9"); +} +ENDARCDATA + +ARCDATA +A_FSB<19>_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("10.9"); +} +ENDARCDATA + +ARCDATA +A_FSB<20>_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("18.6"); +} +ENDARCDATA + +ARCDATA +A_FSB<21>_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +A_FSB<22>_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +A_FSB<23>_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +A_FSB<8>_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("10.9"); +} +ENDARCDATA + +ARCDATA +A_FSB<9>_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("10.9"); +} +ENDARCDATA + +ARCDATA +SW<1>_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("10.9"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("18.6"); +} +ENDARCDATA + +ARCDATA +nIPL2_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nLDS_FSB_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nRES_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nUDS_FSB_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_CLK_FSB_setup: +CONSTRAINT(scalar) { + VALUES("10.9"); +} +ENDARCDATA + +ARCDATA +A_FSB<10>_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-4.4"); +} +ENDARCDATA + +ARCDATA +A_FSB<11>_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-4.4"); +} +ENDARCDATA + +ARCDATA +A_FSB<12>_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-4.4"); +} +ENDARCDATA + +ARCDATA +A_FSB<13>_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-4.4"); +} +ENDARCDATA + +ARCDATA +A_FSB<14>_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-4.4"); +} +ENDARCDATA + +ARCDATA +A_FSB<15>_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-4.4"); +} +ENDARCDATA + +ARCDATA +A_FSB<16>_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-4.4"); +} +ENDARCDATA + +ARCDATA +A_FSB<17>_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-4.4"); +} +ENDARCDATA + +ARCDATA +A_FSB<18>_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-4.4"); +} +ENDARCDATA + +ARCDATA +A_FSB<19>_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-4.4"); +} +ENDARCDATA + +ARCDATA +A_FSB<20>_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-12.1"); +} +ENDARCDATA + +ARCDATA +A_FSB<21>_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +A_FSB<22>_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +A_FSB<23>_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +A_FSB<8>_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-4.4"); +} +ENDARCDATA + +ARCDATA +A_FSB<9>_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-4.4"); +} +ENDARCDATA + +ARCDATA +SW<1>_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-4.4"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-12.1"); +} +ENDARCDATA + +ARCDATA +nIPL2_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nLDS_FSB_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nRES_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nUDS_FSB_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_CLK_FSB_hold: +CONSTRAINT(scalar) { + VALUES("-4.4"); +} +ENDARCDATA + +ARCDATA +CLK_IOB_CLK2X_IOB_setup: +CONSTRAINT(scalar) { + VALUES("10.5"); +} +ENDARCDATA + +ARCDATA +nBERR_IOB_CLK2X_IOB_setup: +CONSTRAINT(scalar) { + VALUES("10.5"); +} +ENDARCDATA + +ARCDATA +nBG_IOB_CLK2X_IOB_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nDTACK_IOB_CLK2X_IOB_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nRES_CLK2X_IOB_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nVPA_IOB_CLK2X_IOB_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +CLK_IOB_CLK2X_IOB_hold: +CONSTRAINT(scalar) { + VALUES("-4"); +} +ENDARCDATA + +ARCDATA +nBERR_IOB_CLK2X_IOB_hold: +CONSTRAINT(scalar) { + VALUES("-4"); +} +ENDARCDATA + +ARCDATA +nBG_IOB_CLK2X_IOB_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nDTACK_IOB_CLK2X_IOB_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nRES_CLK2X_IOB_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nVPA_IOB_CLK2X_IOB_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ENDTIMINGDATA +ENDMODELDATA diff --git a/cpld/XC95144XL/WarpSE.gise b/cpld/XC95144XL/WarpSE.gise index 132ad68..99188c7 100644 --- a/cpld/XC95144XL/WarpSE.gise +++ b/cpld/XC95144XL/WarpSE.gise @@ -22,37 +22,45 @@ - + - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -62,95 +70,69 @@ - + - + - + - - - - - - - - - - + + + + + + + + + - + - + - - - + + + - + - - - - - - - - - - - - - + + + + + + + + + + + + + - + - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/cpld/XC95144XL/WarpSE.gyd b/cpld/XC95144XL/WarpSE.gyd new file mode 100644 index 0000000..1aad900 --- /dev/null +++ b/cpld/XC95144XL/WarpSE.gyd @@ -0,0 +1,122 @@ +Pin Freeze File: version P.20131013 + +95144XL100 XC95144XL-10-TQ100 +A_FSB<10> S:PIN8 +A_FSB<11> S:PIN9 +A_FSB<12> S:PIN10 +A_FSB<13> S:PIN11 +A_FSB<14> S:PIN12 +A_FSB<15> S:PIN13 +A_FSB<16> S:PIN14 +A_FSB<17> S:PIN15 +A_FSB<18> S:PIN16 +A_FSB<19> S:PIN17 +A_FSB<1> S:PIN94 +A_FSB<20> S:PIN18 +A_FSB<21> S:PIN19 +A_FSB<22> S:PIN20 +A_FSB<23> S:PIN24 +A_FSB<2> S:PIN95 +A_FSB<3> S:PIN96 +A_FSB<4> S:PIN97 +A_FSB<5> S:PIN2 +A_FSB<6> S:PIN3 +A_FSB<7> S:PIN4 +A_FSB<8> S:PIN6 +A_FSB<9> S:PIN7 +SW<0> S:PIN61 +CLK2X_IOB S:PIN22 +CLK_FSB S:PIN27 +CLK_IOB S:PIN23 +E_IOB S:PIN25 +SW<1> S:PIN60 +nAS_FSB S:PIN32 +nBERR_IOB S:PIN76 +nBG_IOB S:PIN73 +nDTACK_IOB S:PIN78 +nIPL2 S:PIN92 +nLDS_FSB S:PIN30 +nRES S:PIN91 +nUDS_FSB S:PIN33 +nVPA_IOB S:PIN77 +nWE_FSB S:PIN29 +RA<11> S:PIN63 +RA<10> S:PIN55 +CLK20EN S:PIN59 +CLK25EN S:PIN58 +nBR_IOB S:PIN72 +RA<0> S:PIN53 +RA<1> S:PIN50 +RA<2> S:PIN43 +RA<3> S:PIN41 +RA<4> S:PIN40 +RA<5> S:PIN42 +RA<6> S:PIN46 +RA<7> S:PIN52 +RA<8> S:PIN54 +RA<9> S:PIN56 +nDTACK_FSB S:PIN28 +nAS_IOB S:PIN81 +nDinLE S:PIN86 +nDoutOE S:PIN89 +nLDS_IOB S:PIN79 +nUDS_IOB S:PIN80 +nVMA_IOB S:PIN74 +nADoutLE0 S:PIN85 +nADoutLE1 S:PIN82 +nAoutOE S:PIN87 +nBERR_FSB S:PIN70 +nDinOE S:PIN90 +nOE S:PIN37 +nRAMLWE S:PIN65 +nRAMUWE S:PIN66 +nRAS S:PIN64 +nROMCS S:PIN35 +nROMWE S:PIN34 +nVPA_FSB S:PIN93 +nCAS S:PIN36 + + +;The remaining section of the .gyd file is for documentation purposes only. +;It shows where your internal equations were placed in the last successful fit. + +PARTITION FB1_1 ram/RefRAS ram/BACTr iobm/RESrr iobm/RESrf + iobm/BERRrf fsb/ASrf cnt/RefCnt<1> RESr2 + RESr1 RESr0 IPL2r1 IPL2r0 + $OpTx$FX_DC$591 iobs/IOU1 iobs/IOL1 iobm/IOS_FSM_FFd1 + fsb/BERR1r cs/nOverlay1 +PARTITION FB2_7 iobs/IOACTr iobm/VPArr iobm/VPArf iobm/IOREQr + iobm/Er2 iobm/Er iobm/DTACKrr iobm/DTACKrf + iobm/BGr1 iobm/BGr0 iobm/BERRrr cnt/RefCnt<0> + +PARTITION FB3_1 fsb/VPA EXP14_ EXP15_ fsb/Ready0r + EXP16_ EXP17_ EXP18_ EXP19_ + fsb/nDTACK EXP20_ EXP21_ fsb/BERR0r + cs/nOverlay0 EXP22_ fsb/Ready1r fsb/Ready2r + nROMWE_OBUF EXP23_ +PARTITION FB4_1 iobs/Clear1 nAoutOE_OBUF iobs/ALE0 cnt/RefCnt<7> + iobm/nDoutOE nDinOE_OBUF cnt/RefCnt<6> cnt/RefCnt<5> + cnt/RefCnt<4> cnt/RefCnt<3> nVPA_FSB_OBUF cnt/RefCnt<2> + iobs/PS_FSM_FFd1 cnt/RefDone iobs/IOU0 cnt/TimeoutA + iobs/IOReady ram/RS_FSM_FFd1 +PARTITION FB5_1 EXP24_ nROMCS_OBUF ram/RAMDIS2 iobs/IOL0 + ram/nCAS nOE_OBUF iobs/Once EXP25_ + RA_4_OBUF ram/Once RA_3_OBUF RA_5_OBUF + iobs/Load1 RA_2_OBUF RA_6_OBUF EXP26_ + EXP27_ ram/RASEL +PARTITION FB6_1 iobm/ETACK iobm/nVMA iobm/IOS_FSM_FFd3 iobm/ES<3> + iobm/ES<1> iobm/ES<0> iobm/ALE0 iobm/ES<4> + iobm/nLDS iobm/IOS_FSM_FFd2 iobm/nUDS iobm/nASout + iobm/ES<2> nADoutLE1_OBUF nADoutLE0_OBUF iobm/IOACT + iobm/nDinLE iobm/IOBERR +PARTITION FB7_1 EXP28_ RA_1_OBUF EXP29_ ram/RS_FSM_FFd3 + RA_7_OBUF RA_0_OBUF cnt/TimeoutBPre RA_8_OBUF + A_FSB_21_IBUF$BUF0 ram/RS_FSM_FFd2 RA_9_OBUF CLK25EN_OBUF + cnt/TimeoutB CLK20EN_OBUF$BUF0 ram/RAMReady EXP30_ + EXP31_ ram/RAMDIS1 +PARTITION FB8_1 EXP32_ A_FSB_19_IBUF$BUF0 EXP33_ iobs/PS_FSM_FFd2 + nRAS_OBUF nRAMLWE_OBUF RESDone nRAMUWE_OBUF + iobs/IOREQ EXP34_ EXP35_ nBERR_FSB_OBUF + EXP36_ iobs/IORW1 Park iobs/BERR + EXP37_ iobs/IORW0 + diff --git a/cpld/XC95144XL/WarpSE.lso b/cpld/XC95144XL/WarpSE.lso new file mode 100644 index 0000000..22de730 --- /dev/null +++ b/cpld/XC95144XL/WarpSE.lso @@ -0,0 +1 @@ +work diff --git a/cpld/XC95144XL/WarpSE.mfd b/cpld/XC95144XL/WarpSE.mfd new file mode 100644 index 0000000..655b48a --- /dev/null +++ b/cpld/XC95144XL/WarpSE.mfd @@ -0,0 +1,2914 @@ +MDF Database: version 1.0 +MDF_INFO | WarpSE | XC95144XL-10-TQ100 +MACROCELL | 5 | 1 | iobm/nVMA +ATTRIBUTES | 4622082 | 0 +OUTPUTMC | 2 | 5 | 1 | 5 | 0 +INPUTS | 10 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | iobm/IOACT | iobm/VPArf | iobm/VPArr | nAoutOE +INPUTMC | 10 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 15 | 1 | 8 | 1 | 7 | 3 | 1 +EQ | 7 | + nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> + # nVMA_IOB & iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> & iobm/IOACT & iobm/VPArf & + iobm/VPArr; + nVMA_IOB.CLK = CLK2X_IOB; // GCK + nVMA_IOB.OE = !nAoutOE; +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 11 | iobm/nASout +ATTRIBUTES | 8816386 | 0 +OUTPUTMC | 1 | 3 | 1 +INPUTS | 4 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | nAoutOE +INPUTMC | 4 | 0 | 15 | 5 | 9 | 5 | 2 | 3 | 1 +EQ | 4 | + nAS_IOB.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 + # iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2; + !nAS_IOB.CLK = CLK2X_IOB; // GCK + nAS_IOB.OE = !nAoutOE; +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 8 | iobm/nLDS +ATTRIBUTES | 8685314 | 0 +INPUTS | 6 | iobs/IOL0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobs/IORW0 | nAoutOE +INPUTMC | 6 | 4 | 3 | 5 | 2 | 5 | 9 | 0 | 15 | 7 | 17 | 3 | 1 +EQ | 8 | + !nLDS_IOB.D = iobs/IOL0 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd2 + # iobs/IOL0 & iobm/IOS_FSM_FFd1 & + iobm/IOS_FSM_FFd2 + # !iobs/IORW0 & iobs/IOL0 & iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd1; + !nLDS_IOB.CLK = CLK2X_IOB; // GCK + nLDS_IOB.OE = !nAoutOE; +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 10 | iobm/nUDS +ATTRIBUTES | 8685314 | 0 +INPUTS | 6 | iobs/IOU0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobs/IORW0 | nAoutOE +INPUTMC | 6 | 3 | 14 | 5 | 2 | 5 | 9 | 0 | 15 | 7 | 17 | 3 | 1 +EQ | 8 | + !nUDS_IOB.D = iobs/IOU0 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd2 + # iobs/IOU0 & iobm/IOS_FSM_FFd1 & + iobm/IOS_FSM_FFd2 + # !iobs/IORW0 & iobs/IOU0 & iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd1; + !nUDS_IOB.CLK = CLK2X_IOB; // GCK + nUDS_IOB.OE = !nAoutOE; +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 3 | 13 | cnt/RefDone +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 20 | 3 | 13 | 4 | 2 | 3 | 17 | 4 | 17 | 6 | 9 | 6 | 2 | 6 | 17 | 6 | 14 | 3 | 0 | 3 | 16 | 4 | 0 | 4 | 1 | 4 | 15 | 4 | 16 | 6 | 0 | 6 | 1 | 6 | 4 | 6 | 13 | 6 | 15 | 6 | 16 +INPUTS | 10 | cnt/RefDone | ram/RefRAS | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<0> | cnt/RefCnt<7> | cnt/RefCnt<1> | cnt/RefCnt<3> | cnt/RefCnt<2> | cnt/RefCnt<4> +INPUTMC | 10 | 3 | 13 | 0 | 0 | 3 | 7 | 3 | 6 | 1 | 17 | 3 | 3 | 0 | 6 | 3 | 9 | 3 | 11 | 3 | 8 +EQ | 5 | + !cnt/RefDone.D = !cnt/RefDone & !ram/RefRAS + # !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<0> & + !cnt/RefCnt<7> & !cnt/RefCnt<1> & !cnt/RefCnt<3> & !cnt/RefCnt<2> & + !cnt/RefCnt<4>; + cnt/RefDone.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 4 | 9 | ram/Once +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 12 | 4 | 9 | 4 | 2 | 3 | 17 | 4 | 16 | 6 | 3 | 6 | 15 | 6 | 13 | 3 | 0 | 3 | 16 | 4 | 1 | 4 | 15 | 6 | 0 +INPUTS | 10 | ram/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | A_FSB<21> +INPUTMC | 6 | 4 | 9 | 0 | 5 | 0 | 17 | 3 | 17 | 6 | 9 | 6 | 3 +INPUTP | 4 | 54 | 36 | 30 | 29 +EQ | 13 | + ram/Once.T = ram/Once & nAS_FSB & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 + # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & fsb/ASrf; + ram/Once.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 4 | 6 | iobs/Once +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 11 | 4 | 6 | 7 | 15 | 7 | 1 | 7 | 13 | 3 | 16 | 7 | 3 | 4 | 12 | 7 | 8 | 4 | 5 | 4 | 7 | 4 | 8 +INPUTS | 10 | A_FSB<22> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | nADoutLE1 | nOE_OBUF.EXP | EXP25_.EXP +INPUTMC | 7 | 4 | 6 | 7 | 3 | 3 | 12 | 0 | 5 | 5 | 13 | 4 | 5 | 4 | 7 +INPUTP | 3 | 30 | 54 | 36 +IMPORTS | 2 | 4 | 5 | 4 | 7 +EQ | 25 | + !iobs/Once.D = nAS_FSB & !fsb/ASrf + # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd2 + # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd2 + # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd1 + # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 +;Imported pterms FB5_6 + # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 + # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once + # !A_FSB<23> & !A_FSB<22> & !iobs/Once & + !cs/nOverlay1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & !iobs/Once +;Imported pterms FB5_8 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & nWE_FSB & !iobs/Once +;Imported pterms FB5_9 + # !A_FSB<23> & !A_FSB<20> & !iobs/Once & SW<1> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !iobs/Once & + cs/nOverlay1; + iobs/Once.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 17 | cs/nOverlay1 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 44 | 4 | 9 | 4 | 5 | 7 | 17 | 2 | 3 | 2 | 13 | 2 | 15 | 4 | 1 | 7 | 12 | 4 | 2 | 2 | 8 | 3 | 17 | 4 | 16 | 6 | 6 | 6 | 3 | 7 | 3 | 4 | 12 | 7 | 8 | 6 | 16 | 6 | 13 | 6 | 7 | 6 | 15 | 2 | 1 | 2 | 2 | 2 | 6 | 2 | 7 | 2 | 9 | 2 | 12 | 2 | 14 | 2 | 16 | 3 | 0 | 3 | 16 | 4 | 0 | 4 | 8 | 4 | 13 | 4 | 15 | 6 | 0 | 6 | 1 | 6 | 2 | 6 | 4 | 6 | 10 | 7 | 4 | 7 | 5 | 7 | 9 | 7 | 11 +INPUTS | 3 | cs/nOverlay0 | nAS_FSB | fsb/ASrf +INPUTMC | 2 | 2 | 12 | 0 | 5 +INPUTP | 1 | 54 +EQ | 3 | + cs/nOverlay1.D = cs/nOverlay0; + cs/nOverlay1.CLK = CLK_FSB; // GCK + cs/nOverlay1.CE = nAS_FSB & !fsb/ASrf; +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 15 | iobs/BERR +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 9 | 7 | 15 | 0 | 16 | 2 | 0 | 2 | 5 | 7 | 11 | 2 | 3 | 2 | 10 | 2 | 11 | 7 | 10 +INPUTS | 8 | iobs/BERR | nAS_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | iobm/IOBERR | nADoutLE1 +INPUTMC | 7 | 7 | 15 | 0 | 5 | 4 | 6 | 7 | 3 | 1 | 6 | 5 | 17 | 5 | 13 +INPUTP | 1 | 54 +EQ | 8 | + iobs/BERR.T = iobs/BERR & nAS_FSB & !fsb/ASrf + # iobs/Once & iobs/BERR & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & !iobm/IOBERR & nADoutLE1 + # iobs/Once & !iobs/BERR & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & iobm/IOBERR & nADoutLE1 + # iobs/Once & !iobs/BERR & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & iobm/IOBERR & fsb/ASrf & nADoutLE1; + iobs/BERR.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 17 | iobs/IORW0 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 6 | 5 | 8 | 5 | 10 | 7 | 17 | 3 | 4 | 7 | 0 | 7 | 1 +INPUTS | 12 | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> | nADoutLE1 | A_FSB<22> | cs/nOverlay1 | A_FSB<20> | nWE_FSB | iobs/IORW0 | EXP32_.EXP | EXP37_.EXP +INPUTMC | 5 | 5 | 13 | 0 | 17 | 7 | 17 | 7 | 0 | 7 | 16 +INPUTP | 7 | 19 | 18 | 36 | 29 | 30 | 28 | 47 +IMPORTS | 2 | 7 | 0 | 7 | 16 +EQ | 26 | + !iobs/IORW0.T = !nWE_FSB & !iobs/IORW0 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & nADoutLE1 +;Imported pterms FB8_1 + # iobs/IORW0 & iobs/IORW1 & !nADoutLE1 + # !iobs/IORW0 & !iobs/IORW1 & !nADoutLE1 + # nAS_FSB & !fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !iobs/IORW0 & nADoutLE1 +;Imported pterms FB8_2 + # iobs/PS_FSM_FFd2 + # iobs/PS_FSM_FFd1 + # iobs/Once & nADoutLE1 + # nWE_FSB & iobs/IORW0 & nADoutLE1 +;Imported pterms FB8_17 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & nADoutLE1 + # !A_FSB<23> & !A_FSB<20> & SW<1> & nADoutLE1; + iobs/IORW0.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 12 | cs/nOverlay0 +ATTRIBUTES | 4358928 | 0 +OUTPUTMC | 3 | 0 | 17 | 2 | 12 | 2 | 13 +INPUTS | 19 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay0 | nAS_FSB | fsb/ASrf | nRES | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | fsb/Ready1r | iobs/IOReady | nADoutLE1 | A_FSB<13> +INPUTMC | 6 | 2 | 12 | 0 | 5 | 0 | 17 | 2 | 14 | 3 | 16 | 5 | 13 +INPUTP | 13 | 36 | 30 | 29 | 28 | 54 | 145 | 19 | 26 | 24 | 23 | 22 | 47 | 18 +EXPORTS | 1 | 2 | 13 +EQ | 12 | + cs/nOverlay0.T = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay0 & !nAS_FSB + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay0 & fsb/ASrf; + cs/nOverlay0.CLK = CLK_FSB; // GCK + cs/nOverlay0.AR = !nRES; + cs/nOverlay0.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 15 | cnt/TimeoutA +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 8 | 3 | 15 | 2 | 15 | 2 | 8 | 2 | 2 | 2 | 3 | 2 | 7 | 2 | 14 | 2 | 16 +INPUTS | 10 | cnt/TimeoutA | nAS_FSB | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<3> | cnt/RefCnt<2> | cnt/RefCnt<4> +INPUTMC | 9 | 3 | 15 | 0 | 5 | 3 | 7 | 3 | 6 | 1 | 17 | 0 | 6 | 3 | 9 | 3 | 11 | 3 | 8 +INPUTP | 1 | 54 +EQ | 8 | + cnt/TimeoutA.T = cnt/TimeoutA & nAS_FSB & !fsb/ASrf + # !cnt/TimeoutA & !nAS_FSB & !cnt/RefCnt<5> & + !cnt/RefCnt<6> & !cnt/RefCnt<0> & !cnt/RefCnt<1> & !cnt/RefCnt<3> & + !cnt/RefCnt<2> & !cnt/RefCnt<4> + # !cnt/TimeoutA & !cnt/RefCnt<5> & !cnt/RefCnt<6> & + !cnt/RefCnt<0> & !cnt/RefCnt<1> & !cnt/RefCnt<3> & !cnt/RefCnt<2> & + !cnt/RefCnt<4> & fsb/ASrf; + cnt/TimeoutA.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 12 | cnt/TimeoutB +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 10 | 6 | 12 | 2 | 11 | 2 | 0 | 2 | 6 | 7 | 11 | 2 | 3 | 2 | 5 | 2 | 10 | 2 | 17 | 6 | 13 +INPUTS | 13 | cnt/TimeoutB | nAS_FSB | fsb/ASrf | cnt/TimeoutBPre | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<0> | cnt/RefCnt<7> | cnt/RefCnt<1> | cnt/RefCnt<3> | cnt/RefCnt<2> | cnt/RefCnt<4> | SW<0> +INPUTMC | 11 | 6 | 12 | 0 | 5 | 6 | 6 | 3 | 7 | 3 | 6 | 1 | 17 | 3 | 3 | 0 | 6 | 3 | 9 | 3 | 11 | 3 | 8 +INPUTP | 2 | 54 | 98 +EXPORTS | 1 | 6 | 13 +EQ | 9 | + cnt/TimeoutB.T = cnt/TimeoutB & nAS_FSB & !fsb/ASrf + # !cnt/TimeoutB & cnt/TimeoutBPre & !nAS_FSB & + !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<0> & !cnt/RefCnt<7> & + !cnt/RefCnt<1> & !cnt/RefCnt<3> & !cnt/RefCnt<2> & !cnt/RefCnt<4> + # !cnt/TimeoutB & cnt/TimeoutBPre & !cnt/RefCnt<5> & + !cnt/RefCnt<6> & !cnt/RefCnt<0> & !cnt/RefCnt<7> & !cnt/RefCnt<1> & + !cnt/RefCnt<3> & !cnt/RefCnt<2> & !cnt/RefCnt<4> & fsb/ASrf; + cnt/TimeoutB.CLK = CLK_FSB; // GCK + cnt/TimeoutB.EXP = SW<0> +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 6 | cnt/TimeoutBPre +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 3 | 6 | 12 | 6 | 6 | 6 | 7 +INPUTS | 17 | cnt/TimeoutBPre | nAS_FSB | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<0> | cnt/RefCnt<7> | cnt/RefCnt<1> | cnt/RefCnt<3> | cnt/RefCnt<2> | cnt/RefCnt<4> | A_FSB<9> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RASEL | A_FSB<21> +INPUTMC | 12 | 6 | 6 | 0 | 5 | 3 | 7 | 3 | 6 | 1 | 17 | 3 | 3 | 0 | 6 | 3 | 9 | 3 | 11 | 3 | 8 | 0 | 17 | 4 | 17 +INPUTP | 5 | 54 | 12 | 36 | 30 | 29 +EXPORTS | 1 | 6 | 7 +EQ | 12 | + cnt/TimeoutBPre.T = cnt/TimeoutBPre & nAS_FSB & !fsb/ASrf + # !cnt/TimeoutBPre & !nAS_FSB & !cnt/RefCnt<5> & + !cnt/RefCnt<6> & !cnt/RefCnt<0> & !cnt/RefCnt<7> & !cnt/RefCnt<1> & + !cnt/RefCnt<3> & !cnt/RefCnt<2> & !cnt/RefCnt<4> + # !cnt/TimeoutBPre & !cnt/RefCnt<5> & !cnt/RefCnt<6> & + !cnt/RefCnt<0> & !cnt/RefCnt<7> & !cnt/RefCnt<1> & !cnt/RefCnt<3> & + !cnt/RefCnt<2> & !cnt/RefCnt<4> & fsb/ASrf; + cnt/TimeoutBPre.CLK = CLK_FSB; // GCK + cnt/TimeoutBPre.EXP = A_FSB<9> & !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + ram/RASEL + # A_FSB<9> & !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/RASEL +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 11 | fsb/BERR0r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 7 | 2 | 11 | 2 | 0 | 2 | 5 | 7 | 11 | 2 | 3 | 2 | 10 | 7 | 10 +INPUTS | 24 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | fsb/BERR0r | cnt/TimeoutB | nAS_FSB | fsb/ASrf | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/BERR | fsb/BERR1r | fsb/Ready1r | nBR_IOB +INPUTMC | 7 | 2 | 11 | 6 | 12 | 0 | 5 | 7 | 15 | 0 | 16 | 2 | 14 | 7 | 14 +INPUTP | 17 | 36 | 30 | 29 | 28 | 54 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 26 | 24 | 23 | 22 +EXPORTS | 1 | 2 | 10 +EQ | 10 | + !fsb/BERR0r.D = !cnt/TimeoutB & !fsb/BERR0r + # nAS_FSB & !fsb/ASrf + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & + !fsb/BERR0r; + fsb/BERR0r.CLK = CLK_FSB; // GCK + fsb/BERR0r.EXP = A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & + !fsb/BERR0r & !fsb/BERR1r & fsb/Ready1r & nBR_IOB +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 16 | fsb/BERR1r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 8 | 0 | 16 | 2 | 0 | 2 | 5 | 7 | 11 | 2 | 3 | 2 | 10 | 2 | 11 | 7 | 10 +INPUTS | 4 | iobs/BERR | fsb/BERR1r | nAS_FSB | fsb/ASrf +INPUTMC | 3 | 7 | 15 | 0 | 16 | 0 | 5 +INPUTP | 1 | 54 +EQ | 3 | + !fsb/BERR1r.D = !iobs/BERR & !fsb/BERR1r + # nAS_FSB & !fsb/ASrf; + fsb/BERR1r.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 3 | fsb/Ready0r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 2 | 3 | 2 | 6 | 2 | 1 | 2 | 9 | 2 | 2 +INPUTS | 32 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cnt/TimeoutA | fsb/Ready2r | fsb/VPA | $OpTx$FX_DC$591 | A_FSB<9> | iobs/BERR | cnt/TimeoutB | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | nBR_IOB | iobs/IOReady | EXP16_.EXP +INPUTMC | 15 | 0 | 17 | 2 | 3 | 6 | 14 | 3 | 15 | 2 | 15 | 2 | 0 | 0 | 12 | 7 | 15 | 6 | 12 | 2 | 11 | 0 | 16 | 2 | 14 | 7 | 14 | 3 | 16 | 2 | 4 +INPUTP | 17 | 36 | 30 | 29 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 28 | 26 | 24 | 23 | 22 | 47 | 12 +EXPORTS | 1 | 2 | 2 +IMPORTS | 1 | 2 | 4 +EQ | 24 | + !fsb/Ready0r.D = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & !ram/RAMReady + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & !ram/RAMReady +;Imported pterms FB3_5 + # nAS_FSB & !fsb/ASrf; + fsb/Ready0r.CLK = CLK_FSB; // GCK + fsb/Ready0r.EXP = A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & + !fsb/BERR0r & !fsb/BERR1r & fsb/Ready1r & nBR_IOB & + !$OpTx$FX_DC$591 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & + !fsb/BERR0r & !fsb/BERR1r & iobs/IOReady & nBR_IOB & + !$OpTx$FX_DC$591 +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 14 | fsb/Ready1r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 11 | 2 | 13 | 2 | 7 | 2 | 1 | 2 | 3 | 2 | 6 | 2 | 9 | 2 | 11 | 2 | 12 | 2 | 16 | 2 | 17 | 2 | 15 +INPUTS | 22 | nAS_FSB | fsb/ASrf | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | cnt/TimeoutA | fsb/Ready2r | EXP22_.EXP +INPUTMC | 5 | 0 | 5 | 0 | 17 | 3 | 15 | 2 | 15 | 2 | 13 +INPUTP | 17 | 54 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 +EXPORTS | 1 | 2 | 15 +IMPORTS | 1 | 2 | 13 +EQ | 37 | + !fsb/Ready1r.D = nAS_FSB & !fsb/ASrf +;Imported pterms FB3_14 + # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady + # A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & + !iobs/IOReady & !SW<1> + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !fsb/Ready1r & !iobs/IOReady + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !fsb/Ready1r & !iobs/IOReady +;Imported pterms FB3_13 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1; + fsb/Ready1r.CLK = CLK_FSB; // GCK + fsb/Ready1r.EXP = nAS_FSB & !fsb/ASrf + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 15 | fsb/Ready2r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 7 | 2 | 15 | 2 | 8 | 2 | 2 | 2 | 3 | 2 | 7 | 2 | 14 | 2 | 16 +INPUTS | 21 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | cnt/TimeoutA | fsb/Ready2r | A_FSB<8> | fsb/Ready1r.EXP +INPUTMC | 4 | 0 | 17 | 3 | 15 | 2 | 15 | 2 | 14 +INPUTP | 17 | 12 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 11 +IMPORTS | 1 | 2 | 14 +EQ | 43 | + !fsb/Ready2r.D = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r +;Imported pterms FB3_15 + # nAS_FSB & !fsb/ASrf + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r; + fsb/Ready2r.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 0 | fsb/VPA +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 7 | 2 | 0 | 3 | 10 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 16 | 2 | 17 +INPUTS | 10 | fsb/VPA | nBR_IOB | $OpTx$FX_DC$591 | fsb/BERR0r | fsb/BERR1r | iobs/BERR | A_FSB<20> | cnt/TimeoutB | EXP14_.EXP | EXP23_.EXP +INPUTMC | 9 | 2 | 0 | 7 | 14 | 0 | 12 | 2 | 11 | 0 | 16 | 7 | 15 | 6 | 12 | 2 | 1 | 2 | 17 +INPUTP | 1 | 28 +IMPORTS | 2 | 2 | 1 | 2 | 17 +EQ | 95 | + fsb/VPA.D = iobs/BERR & fsb/VPA & !$OpTx$FX_DC$591 + # fsb/BERR0r & fsb/VPA & !$OpTx$FX_DC$591 + # fsb/BERR1r & fsb/VPA & !$OpTx$FX_DC$591 + # fsb/VPA & !nBR_IOB & !$OpTx$FX_DC$591 + # !A_FSB<20> & cnt/TimeoutB & fsb/VPA & + !$OpTx$FX_DC$591 +;Imported pterms FB3_2 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & !ram/RAMReady & !$OpTx$FX_DC$591 + # A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & fsb/VPA & + !iobs/IOReady & !SW<1> & !$OpTx$FX_DC$591 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !ram/RAMReady & + !$OpTx$FX_DC$591 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$591 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$591 +;Imported pterms FB3_3 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 +;Imported pterms FB3_4 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & + !fsb/BERR0r & !fsb/BERR1r & fsb/Ready1r & nBR_IOB & + !$OpTx$FX_DC$591 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & + !fsb/BERR0r & !fsb/BERR1r & iobs/IOReady & nBR_IOB & + !$OpTx$FX_DC$591 +;Imported pterms FB3_18 + # A_FSB<23> & cnt/TimeoutB & fsb/VPA & + !$OpTx$FX_DC$591 + # !A_FSB<22> & cnt/TimeoutB & fsb/VPA & + !$OpTx$FX_DC$591 + # A_FSB<21> & cnt/TimeoutB & fsb/VPA & + !$OpTx$FX_DC$591 + # A_FSB<23> & !fsb/Ready1r & fsb/VPA & + !iobs/IOReady & !$OpTx$FX_DC$591 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$591 +;Imported pterms FB3_17 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !nADoutLE1 & !$OpTx$FX_DC$591 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !nADoutLE1 & !$OpTx$FX_DC$591 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591; + fsb/VPA.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 4 | 3 | iobs/IOL0 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 5 | 8 +INPUTS | 5 | iobs/IOL1 | nADoutLE1 | nLDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 +INPUTMC | 4 | 0 | 14 | 5 | 13 | 7 | 3 | 3 | 12 +INPUTP | 1 | 49 +EQ | 4 | + iobs/IOL0.D = !nLDS_FSB & nADoutLE1 + # iobs/IOL1 & !nADoutLE1; + iobs/IOL0.CLK = CLK_FSB; // GCK + iobs/IOL0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 14 | iobs/IOL1 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 4 | 3 +INPUTS | 2 | nLDS_FSB | iobs/Load1 +INPUTMC | 1 | 4 | 12 +INPUTP | 1 | 49 +EQ | 3 | + iobs/IOL1.D = !nLDS_FSB; + iobs/IOL1.CLK = CLK_FSB; // GCK + iobs/IOL1.CE = iobs/Load1; +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 13 | iobs/IORW1 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 2 | 7 | 0 | 7 | 13 +INPUTS | 8 | A_FSB<23> | A_FSB<21> | iobs/IORW1 | nADoutLE1 | iobs/Once | nWE_FSB | EXP36_.EXP | Park.EXP +INPUTMC | 5 | 7 | 13 | 5 | 13 | 4 | 6 | 7 | 12 | 7 | 14 +INPUTP | 3 | 36 | 29 | 47 +IMPORTS | 2 | 7 | 12 | 7 | 14 +EQ | 22 | + !iobs/IORW1.T = iobs/Once + # !nADoutLE1 + # nWE_FSB & iobs/IORW1 + # !nWE_FSB & !iobs/IORW1 + # !A_FSB<23> & A_FSB<21> & !iobs/IORW1 +;Imported pterms FB8_13 + # nAS_FSB & !fsb/ASrf + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<20> +;Imported pterms FB8_12 + # !A_FSB<23> & !A_FSB<20> & SW<1> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 +;Imported pterms FB8_15 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16>; + iobs/IORW1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 16 | iobs/IOReady +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 12 | 2 | 13 | 2 | 7 | 3 | 16 | 2 | 1 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 12 | 2 | 16 | 2 | 17 | 3 | 17 +INPUTS | 18 | iobs/IOReady | nAS_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | iobm/IOBERR | nADoutLE1 | A_FSB<23> | A_FSB<22> | cnt/RefDone | ram/Once | cs/nOverlay1 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> +INPUTMC | 15 | 3 | 16 | 0 | 5 | 4 | 6 | 7 | 3 | 1 | 6 | 5 | 17 | 5 | 13 | 3 | 13 | 4 | 9 | 0 | 17 | 3 | 17 | 6 | 9 | 3 | 7 | 3 | 6 | 3 | 3 +INPUTP | 3 | 54 | 36 | 30 +EXPORTS | 1 | 3 | 17 +EQ | 11 | + iobs/IOReady.T = iobs/IOReady & nAS_FSB & !fsb/ASrf + # iobs/Once & iobs/IOReady & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & iobm/IOBERR & nADoutLE1 + # iobs/Once & !iobs/IOReady & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !iobm/IOBERR & nADoutLE1 + # iobs/Once & !iobs/IOReady & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & !iobm/IOBERR & fsb/ASrf & nADoutLE1; + iobs/IOReady.CLK = CLK_FSB; // GCK + iobs/IOReady.EXP = !A_FSB<23> & !A_FSB<22> & !cnt/RefDone & ram/Once & + cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 14 | iobs/IOU0 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 5 | 10 +INPUTS | 5 | iobs/IOU1 | nADoutLE1 | nUDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 +INPUTMC | 4 | 0 | 13 | 5 | 13 | 7 | 3 | 3 | 12 +INPUTP | 1 | 56 +EQ | 4 | + iobs/IOU0.D = !nUDS_FSB & nADoutLE1 + # iobs/IOU1 & !nADoutLE1; + iobs/IOU0.CLK = CLK_FSB; // GCK + iobs/IOU0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 13 | iobs/IOU1 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 3 | 14 +INPUTS | 2 | nUDS_FSB | iobs/Load1 +INPUTMC | 1 | 4 | 12 +INPUTP | 1 | 56 +EQ | 3 | + iobs/IOU1.D = !nUDS_FSB; + iobs/IOU1.CLK = CLK_FSB; // GCK + iobs/IOU1.CE = iobs/Load1; +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 4 | 2 | ram/RAMDIS2 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 4 | 4 | 2 | 4 | 1 | 7 | 5 | 7 | 6 +INPUTS | 15 | ram/RAMDIS2 | nAS_FSB | fsb/ASrf | cnt/RefDone | ram/Once | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nROMCS_OBUF.EXP +INPUTMC | 12 | 4 | 2 | 0 | 5 | 3 | 13 | 4 | 9 | 3 | 17 | 6 | 9 | 6 | 3 | 3 | 7 | 3 | 6 | 3 | 3 | 0 | 17 | 4 | 1 +INPUTP | 3 | 54 | 36 | 30 +IMPORTS | 1 | 4 | 1 +EQ | 23 | + ram/RAMDIS2.T = ram/RAMDIS2 & nAS_FSB & !fsb/ASrf + # !cnt/RefDone & ram/Once & !ram/RAMDIS2 & !nAS_FSB & + ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !cnt/RefDone & ram/Once & !ram/RAMDIS2 & + ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & !cnt/RefDone & ram/Once & + cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<23> & !A_FSB<22> & !cnt/RefDone & ram/Once & + cs/nOverlay1 & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf +;Imported pterms FB5_2 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & + ram/Once & !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & + ram/Once & !cs/nOverlay1 & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf; + ram/RAMDIS2.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 8 | fsb/nDTACK +ATTRIBUTES | 8815362 | 0 +OUTPUTMC | 5 | 2 | 8 | 2 | 6 | 2 | 7 | 2 | 9 | 2 | 10 +INPUTS | 23 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | cnt/TimeoutA | fsb/Ready2r | nDTACK_FSB | A_FSB<8> | EXP19_.EXP | EXP20_.EXP +INPUTMC | 6 | 0 | 17 | 3 | 15 | 2 | 15 | 2 | 8 | 2 | 7 | 2 | 9 +INPUTP | 17 | 12 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 11 +IMPORTS | 2 | 2 | 7 | 2 | 9 +EQ | 90 | + nDTACK_FSB.D = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & nDTACK_FSB + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & nDTACK_FSB + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & nDTACK_FSB + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & nDTACK_FSB +;Imported pterms FB3_8 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB & + !nADoutLE1 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & nDTACK_FSB +;Imported pterms FB3_7 + # A_FSB<23> & cnt/TimeoutB & nDTACK_FSB + # !A_FSB<22> & cnt/TimeoutB & nDTACK_FSB + # !A_FSB<20> & cnt/TimeoutB & nDTACK_FSB + # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady +;Imported pterms FB3_6 + # nAS_FSB & !fsb/ASrf + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & + !fsb/BERR0r & !fsb/BERR1r & iobs/IOReady & nBR_IOB +;Imported pterms FB3_10 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB + # A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB & !SW<1> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB & + !nADoutLE1 +;Imported pterms FB3_11 + # iobs/BERR & nDTACK_FSB + # fsb/BERR0r & nDTACK_FSB + # fsb/BERR1r & nDTACK_FSB + # nDTACK_FSB & !nBR_IOB + # A_FSB<21> & cnt/TimeoutB & nDTACK_FSB +;Imported pterms FB3_12 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & + !fsb/BERR0r & !fsb/BERR1r & fsb/Ready1r & nBR_IOB; + nDTACK_FSB.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 17 | ram/RS_FSM_FFd1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 21 | 4 | 9 | 4 | 2 | 3 | 17 | 4 | 17 | 6 | 9 | 6 | 3 | 0 | 0 | 6 | 17 | 6 | 14 | 3 | 0 | 3 | 16 | 4 | 0 | 4 | 15 | 4 | 16 | 6 | 0 | 6 | 1 | 6 | 2 | 6 | 4 | 6 | 13 | 6 | 15 | 6 | 16 +INPUTS | 15 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefDone | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf | A_FSB<23> | A_FSB<22> | ram/Once | cs/nOverlay1 | iobs/Clear1.EXP | iobs/IOReady.EXP +INPUTMC | 12 | 3 | 17 | 6 | 9 | 6 | 3 | 3 | 13 | 3 | 7 | 3 | 6 | 3 | 3 | 0 | 5 | 4 | 9 | 0 | 17 | 3 | 0 | 3 | 16 +INPUTP | 3 | 54 | 36 | 30 +IMPORTS | 2 | 3 | 0 | 3 | 16 +EQ | 21 | + ram/RS_FSM_FFd1.D = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 + # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 + # !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & !cnt/RefDone & ram/Once & + cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> +;Imported pterms FB4_1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & + ram/Once & !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & + ram/Once & !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf +;Imported pterms FB4_17 + # !A_FSB<23> & !A_FSB<22> & !cnt/RefDone & ram/Once & + cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf; + ram/RS_FSM_FFd1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 4 | 17 | ram/RASEL +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 10 | 6 | 5 | 6 | 1 | 6 | 6 | 4 | 10 | 4 | 8 | 4 | 4 | 4 | 14 | 6 | 4 | 6 | 7 | 6 | 11 +INPUTS | 13 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | EXP24_.EXP | EXP27_.EXP +INPUTMC | 11 | 6 | 9 | 6 | 3 | 3 | 17 | 3 | 13 | 0 | 1 | 0 | 5 | 3 | 7 | 3 | 6 | 3 | 3 | 4 | 0 | 4 | 16 +INPUTP | 2 | 36 | 54 +IMPORTS | 2 | 4 | 0 | 4 | 16 +EQ | 44 | + ram/RASEL.D = !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 + # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 + # A_FSB<23> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd2 & !ram/BACTr & fsb/ASrf + # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd2 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf +;Imported pterms FB5_1 + # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr + # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr + # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> +;Imported pterms FB5_17 + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 + # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr & fsb/ASrf +;Imported pterms FB5_16 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & fsb/ASrf + # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7>; + ram/RASEL.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 9 | ram/RS_FSM_FFd2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 18 | 4 | 9 | 4 | 2 | 3 | 17 | 4 | 17 | 6 | 9 | 6 | 3 | 0 | 0 | 6 | 17 | 6 | 14 | 3 | 0 | 3 | 16 | 4 | 0 | 4 | 1 | 4 | 15 | 4 | 16 | 6 | 2 | 6 | 8 | 6 | 10 +INPUTS | 10 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | nAS_FSB | ram/RS_FSM_FFd3 | fsb/ASrf | cnt/RefDone | cnt/RefCnt<7> | ram/BACTr | A_FSB_21_IBUF$BUF0.EXP | RA_9_OBUF.EXP +INPUTMC | 9 | 3 | 17 | 6 | 9 | 6 | 3 | 0 | 5 | 3 | 13 | 3 | 3 | 0 | 1 | 6 | 8 | 6 | 10 +INPUTP | 1 | 54 +IMPORTS | 2 | 6 | 8 | 6 | 10 +EQ | 27 | + !ram/RS_FSM_FFd2.D = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 + # cnt/RefDone & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # !nAS_FSB & ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<7> & ram/BACTr +;Imported pterms FB7_9 + # !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<5> & ram/BACTr + # !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<6> & ram/BACTr + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<5> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<7> & !fsb/ASrf +;Imported pterms FB7_11 + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<6> & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & fsb/ASrf; + ram/RS_FSM_FFd2.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 2 | iobm/IOS_FSM_FFd3 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 13 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 6 | 3 | 4 | 5 | 0 | 5 | 14 | 5 | 16 +INPUTS | 6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/IOREQr | nAoutOE +INPUTMC | 5 | 0 | 15 | 5 | 9 | 5 | 2 | 1 | 9 | 3 | 1 +INPUTP | 1 | 35 +EQ | 6 | + iobm/IOS_FSM_FFd3.D = iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 + # iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & + !iobm/IOS_FSM_FFd2 + # !CLK_IOB & !iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 & + iobm/IOREQr & !nAoutOE; + iobm/IOS_FSM_FFd3.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 0 | 15 | iobm/IOS_FSM_FFd1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 12 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 6 | 5 | 16 | 5 | 0 | 5 | 14 +INPUTS | 3 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 +INPUTMC | 3 | 5 | 2 | 5 | 9 | 0 | 15 +EQ | 3 | + iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2; + iobm/IOS_FSM_FFd1.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 9 | iobm/IOS_FSM_FFd2 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 12 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 6 | 5 | 16 | 3 | 4 | 5 | 0 +INPUTS | 11 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr +INPUTMC | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 0 | 0 | 4 | 1 | 16 | 1 | 13 | 1 | 12 | 0 | 3 | 0 | 2 +INPUTP | 1 | 35 +EQ | 11 | + iobm/IOS_FSM_FFd2.T = iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & + !iobm/IOS_FSM_FFd2 + # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/IOS_FSM_FFd2 & iobm/ETACK + # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/IOS_FSM_FFd2 & iobm/BERRrf & iobm/BERRrr + # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/IOS_FSM_FFd2 & iobm/DTACKrf & iobm/DTACKrr + # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/IOS_FSM_FFd2 & iobm/RESrf & iobm/RESrr; + iobm/IOS_FSM_FFd2.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 6 | 3 | ram/RS_FSM_FFd3 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 16 | 4 | 9 | 4 | 2 | 3 | 17 | 4 | 17 | 6 | 9 | 6 | 3 | 6 | 17 | 6 | 14 | 4 | 1 | 6 | 0 | 6 | 1 | 6 | 2 | 6 | 4 | 6 | 8 | 6 | 10 | 6 | 16 +INPUTS | 12 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | A_FSB<23> | A_FSB<22> | ram/Once | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | EXP29_.EXP | RA_7_OBUF.EXP +INPUTMC | 8 | 3 | 17 | 6 | 9 | 4 | 9 | 0 | 17 | 6 | 3 | 0 | 5 | 6 | 2 | 6 | 4 +INPUTP | 4 | 36 | 30 | 54 | 29 +IMPORTS | 2 | 6 | 2 | 6 | 4 +EQ | 30 | + ram/RS_FSM_FFd3.D = !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 + # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf +;Imported pterms FB7_3 + # !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & !cnt/RefDone & + cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<23> & !A_FSB<22> & !cnt/RefDone & + cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> +;Imported pterms FB7_5 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf; + ram/RS_FSM_FFd3.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 3 | iobs/PS_FSM_FFd2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 17 | 4 | 6 | 7 | 15 | 7 | 9 | 4 | 3 | 7 | 12 | 3 | 16 | 3 | 14 | 7 | 3 | 3 | 12 | 4 | 12 | 3 | 2 | 3 | 0 | 7 | 8 | 7 | 1 | 7 | 2 | 7 | 4 | 7 | 7 +INPUTS | 12 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | EXP33_.EXP | nRAS_OBUF.EXP +INPUTMC | 9 | 7 | 3 | 3 | 12 | 1 | 6 | 4 | 6 | 5 | 13 | 0 | 5 | 0 | 17 | 7 | 2 | 7 | 4 +INPUTP | 3 | 54 | 36 | 30 +IMPORTS | 2 | 7 | 2 | 7 | 4 +EQ | 33 | + !iobs/PS_FSM_FFd2.D = iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & + iobs/IOACTr + # !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & + !iobs/IOACTr + # iobs/Once & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + nADoutLE1 + # nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + !fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB8_3 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB8_5 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & SW<1> & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1; + iobs/PS_FSM_FFd2.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 7 | cnt/RefCnt<5> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 22 | 3 | 13 | 3 | 15 | 6 | 12 | 6 | 6 | 4 | 2 | 3 | 17 | 4 | 17 | 6 | 8 | 6 | 2 | 3 | 6 | 3 | 3 | 6 | 17 | 6 | 14 | 3 | 0 | 3 | 16 | 4 | 0 | 4 | 1 | 4 | 15 | 4 | 16 | 6 | 0 | 6 | 1 | 6 | 4 +INPUTS | 5 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<3> | cnt/RefCnt<2> | cnt/RefCnt<4> +INPUTMC | 5 | 1 | 17 | 0 | 6 | 3 | 9 | 3 | 11 | 3 | 8 +EQ | 3 | + cnt/RefCnt<5>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<3> & + cnt/RefCnt<2> & cnt/RefCnt<4>; + cnt/RefCnt<5>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 6 | cnt/RefCnt<6> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 22 | 3 | 13 | 3 | 15 | 6 | 12 | 6 | 6 | 4 | 2 | 3 | 17 | 4 | 17 | 6 | 8 | 6 | 2 | 3 | 3 | 6 | 17 | 6 | 14 | 3 | 0 | 3 | 16 | 4 | 0 | 4 | 1 | 4 | 15 | 4 | 16 | 6 | 0 | 6 | 1 | 6 | 4 | 6 | 10 +INPUTS | 6 | cnt/RefCnt<5> | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<3> | cnt/RefCnt<2> | cnt/RefCnt<4> +INPUTMC | 6 | 3 | 7 | 1 | 17 | 0 | 6 | 3 | 9 | 3 | 11 | 3 | 8 +EQ | 3 | + cnt/RefCnt<6>.T = cnt/RefCnt<5> & cnt/RefCnt<0> & cnt/RefCnt<1> & + cnt/RefCnt<3> & cnt/RefCnt<2> & cnt/RefCnt<4>; + cnt/RefCnt<6>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 5 | iobm/ES<0> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 7 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 +INPUTS | 7 | iobm/ES<0> | iobm/Er | iobm/Er2 | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> +INPUTMC | 7 | 5 | 5 | 1 | 11 | 1 | 10 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 +EQ | 6 | + !iobm/ES<0>.T = iobm/ES<0> & !iobm/Er & iobm/Er2 + # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> & iobm/Er + # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> & !iobm/Er2; + iobm/ES<0>.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 3 | 12 | iobs/PS_FSM_FFd1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 14 | 4 | 6 | 7 | 4 | 4 | 3 | 7 | 12 | 3 | 14 | 7 | 3 | 3 | 12 | 4 | 12 | 3 | 2 | 3 | 0 | 7 | 8 | 4 | 5 | 7 | 1 | 7 | 2 +INPUTS | 3 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr +INPUTMC | 3 | 7 | 3 | 3 | 12 | 1 | 6 +EQ | 3 | + iobs/PS_FSM_FFd1.D = iobs/PS_FSM_FFd2 + # iobs/PS_FSM_FFd1 & iobs/IOACTr; + iobs/PS_FSM_FFd1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 4 | iobm/ES<1> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 7 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 +INPUTS | 4 | iobm/ES<0> | iobm/ES<1> | iobm/Er | iobm/Er2 +INPUTMC | 4 | 5 | 5 | 5 | 4 | 1 | 11 | 1 | 10 +EQ | 4 | + !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> + # !iobm/ES<0> & !iobm/ES<1> + # !iobm/Er & iobm/Er2; + iobm/ES<1>.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 17 | cnt/RefCnt<0> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 11 | 3 | 13 | 3 | 15 | 6 | 12 | 6 | 6 | 3 | 7 | 3 | 6 | 3 | 3 | 0 | 6 | 3 | 9 | 3 | 11 | 3 | 8 +INPUTS | 0 +EQ | 2 | + cnt/RefCnt<0>.T = Vcc; + cnt/RefCnt<0>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 3 | cnt/RefCnt<7> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 20 | 3 | 13 | 6 | 12 | 6 | 6 | 4 | 2 | 3 | 17 | 4 | 17 | 6 | 9 | 6 | 2 | 6 | 17 | 6 | 14 | 3 | 0 | 3 | 16 | 4 | 0 | 4 | 1 | 4 | 15 | 4 | 16 | 6 | 0 | 6 | 1 | 6 | 4 | 6 | 8 +INPUTS | 7 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<3> | cnt/RefCnt<2> | cnt/RefCnt<4> +INPUTMC | 7 | 3 | 7 | 3 | 6 | 1 | 17 | 0 | 6 | 3 | 9 | 3 | 11 | 3 | 8 +EQ | 3 | + cnt/RefCnt<7>.T = cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<0> & + cnt/RefCnt<1> & cnt/RefCnt<3> & cnt/RefCnt<2> & cnt/RefCnt<4>; + cnt/RefCnt<7>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 12 | iobm/ES<2> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 +INPUTS | 7 | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/Er | iobm/Er2 | iobm/ES<3> | iobm/ES<4> +INPUTMC | 7 | 5 | 5 | 5 | 12 | 5 | 4 | 1 | 11 | 1 | 10 | 5 | 3 | 5 | 7 +EQ | 6 | + !iobm/ES<2>.D = !iobm/ES<0> & !iobm/ES<2> + # !iobm/ES<1> & !iobm/ES<2> + # !iobm/Er & iobm/Er2 + # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> + # !iobm/ES<2> & !iobm/ES<3> & iobm/ES<4>; + iobm/ES<2>.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 3 | iobm/ES<3> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 6 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 +INPUTS | 6 | iobm/ES<3> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> +INPUTMC | 6 | 5 | 3 | 1 | 11 | 1 | 10 | 5 | 5 | 5 | 4 | 5 | 12 +EQ | 4 | + iobm/ES<3>.T = iobm/ES<3> & !iobm/Er & iobm/Er2 + # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & iobm/Er + # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & !iobm/Er2; + iobm/ES<3>.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 7 | iobm/ES<4> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 7 | 5 | 0 +INPUTS | 7 | iobm/ES<4> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> +INPUTMC | 7 | 5 | 7 | 1 | 11 | 1 | 10 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 +EQ | 8 | + iobm/ES<4>.T = iobm/ES<4> & !iobm/Er & iobm/Er2 + # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & + iobm/ES<3> & iobm/Er + # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & + iobm/ES<3> & !iobm/Er2 + # iobm/ES<0> & iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & iobm/ES<4>; + iobm/ES<4>.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 0 | 6 | cnt/RefCnt<1> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 10 | 3 | 13 | 3 | 15 | 6 | 12 | 6 | 6 | 3 | 7 | 3 | 6 | 3 | 3 | 3 | 9 | 3 | 11 | 3 | 8 +INPUTS | 1 | cnt/RefCnt<0> +INPUTMC | 1 | 1 | 17 +EQ | 2 | + cnt/RefCnt<1>.T = cnt/RefCnt<0>; + cnt/RefCnt<1>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 9 | cnt/RefCnt<3> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 8 | 3 | 13 | 3 | 15 | 6 | 12 | 6 | 6 | 3 | 7 | 3 | 6 | 3 | 3 | 3 | 8 +INPUTS | 3 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> +INPUTMC | 3 | 1 | 17 | 0 | 6 | 3 | 11 +EQ | 2 | + cnt/RefCnt<3>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2>; + cnt/RefCnt<3>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 6 | iobs/IOACTr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 7 | 15 | 3 | 16 | 7 | 3 | 3 | 12 | 7 | 8 +INPUTS | 1 | iobm/IOACT +INPUTMC | 1 | 5 | 15 +EQ | 2 | + iobs/IOACTr.D = iobm/IOACT; + iobs/IOACTr.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 11 | cnt/RefCnt<2> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 9 | 3 | 13 | 3 | 15 | 6 | 12 | 6 | 6 | 3 | 7 | 3 | 6 | 3 | 3 | 3 | 9 | 3 | 8 +INPUTS | 2 | cnt/RefCnt<0> | cnt/RefCnt<1> +INPUTMC | 2 | 1 | 17 | 0 | 6 +EQ | 2 | + cnt/RefCnt<2>.T = cnt/RefCnt<0> & cnt/RefCnt<1>; + cnt/RefCnt<2>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 8 | cnt/RefCnt<4> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 7 | 3 | 13 | 3 | 15 | 6 | 12 | 6 | 6 | 3 | 7 | 3 | 6 | 3 | 3 +INPUTS | 4 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<3> | cnt/RefCnt<2> +INPUTMC | 4 | 1 | 17 | 0 | 6 | 3 | 9 | 3 | 11 +EQ | 3 | + cnt/RefCnt<4>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<3> & + cnt/RefCnt<2>; + cnt/RefCnt<4>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 4 | 12 | iobs/Load1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 0 | 14 | 0 | 13 | 5 | 13 +INPUTS | 11 | nADoutLE1 | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | RA_5_OBUF.EXP | RA_2_OBUF.EXP +INPUTMC | 8 | 5 | 13 | 4 | 6 | 7 | 3 | 3 | 12 | 0 | 5 | 0 | 17 | 4 | 11 | 4 | 13 +INPUTP | 3 | 54 | 36 | 30 +IMPORTS | 2 | 4 | 11 | 4 | 13 +EQ | 19 | + !iobs/Load1.D = iobs/Once + # !nADoutLE1 + # nAS_FSB & !fsb/ASrf + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 +;Imported pterms FB5_12 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & A_FSB<21> & !A_FSB<20> + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> +;Imported pterms FB5_14 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & nWE_FSB + # !A_FSB<23> & !A_FSB<20> & SW<1> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1; + iobs/Load1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 1 | ram/BACTr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 11 | 4 | 17 | 6 | 9 | 6 | 17 | 6 | 14 | 4 | 0 | 4 | 16 | 6 | 0 | 6 | 8 | 6 | 13 | 6 | 15 | 6 | 16 +INPUTS | 2 | nAS_FSB | fsb/ASrf +INPUTMC | 1 | 0 | 5 +INPUTP | 1 | 54 +EQ | 2 | + !ram/BACTr.D = nAS_FSB & !fsb/ASrf; + ram/BACTr.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 9 | RESr0 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 0 | 8 | 7 | 6 | 7 | 14 +INPUTS | 1 | nRES +INPUTP | 1 | 145 +EQ | 2 | + RESr0.D = !nRES; + RESr0.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 8 | RESr1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 0 | 7 | 7 | 6 | 7 | 14 +INPUTS | 1 | RESr0 +INPUTMC | 1 | 0 | 9 +EQ | 2 | + RESr1.D = RESr0; + RESr1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 17 | iobm/IOBERR +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 4 | 7 | 15 | 3 | 16 | 5 | 17 | 5 | 0 +INPUTS | 12 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOBERR | CLK_IOB | nBERR_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/ETACK.EXP +INPUTMC | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 17 | 5 | 0 | 0 | 4 | 1 | 16 | 1 | 13 | 1 | 12 | 5 | 0 +INPUTP | 2 | 35 | 123 +IMPORTS | 1 | 5 | 0 +EQ | 26 | + iobm/IOBERR.T = iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & + !iobm/IOS_FSM_FFd2 & iobm/IOBERR + # CLK_IOB & nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/ETACK + # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/ETACK + # CLK_IOB & nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/BERRrf & + iobm/BERRrr + # CLK_IOB & nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/DTACKrf & + iobm/DTACKrr +;Imported pterms FB6_1 + # CLK_IOB & nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/RESrf & + iobm/RESrr + # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/BERRrf & + iobm/BERRrr + # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/DTACKrf & + iobm/DTACKrr + # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/RESrf & + iobm/RESrr; + iobm/IOBERR.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 0 | 11 | IPL2r0 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 0 | 10 | 7 | 14 +INPUTS | 1 | nIPL2 +INPUTP | 1 | 146 +EQ | 2 | + IPL2r0.D = !nIPL2; + IPL2r0.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 7 | RESr2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 7 | 6 | 7 | 14 +INPUTS | 1 | RESr1 +INPUTMC | 1 | 0 | 8 +EQ | 2 | + RESr2.D = RESr1; + RESr2.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 15 | iobm/BGr0 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 1 | 14 | 3 | 1 +INPUTS | 1 | nBG_IOB +INPUTP | 1 | 117 +EQ | 2 | + iobm/BGr0.D = !nBG_IOB; + iobm/BGr0.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 0 | iobm/ETACK +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 5 | 9 | 5 | 17 | 5 | 15 +INPUTS | 18 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | CLK_IOB | nBERR_IOB | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOBERR | iobm/RESrf | iobm/RESrr | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr +INPUTMC | 16 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 17 | 0 | 3 | 0 | 2 | 0 | 4 | 1 | 16 | 1 | 13 | 1 | 12 +INPUTP | 2 | 35 | 123 +EXPORTS | 1 | 5 | 17 +EQ | 15 | + iobm/ETACK.D = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & iobm/ES<4>; + iobm/ETACK.CLK = CLK2X_IOB; // GCK + iobm/ETACK.EXP = CLK_IOB & nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/RESrf & + iobm/RESrr + # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/BERRrf & + iobm/BERRrr + # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/DTACKrf & + iobm/DTACKrr + # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/RESrf & + iobm/RESrr +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 11 | iobm/Er +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 1 | 10 +INPUTS | 1 | E_IOB +INPUTP | 1 | 37 +EQ | 2 | + iobm/Er.D = E_IOB; + !iobm/Er.CLK = CLK_IOB; // GCK +GLOBALS | 1 | 2 | CLK_IOB + +MACROCELL | 5 | 15 | iobm/IOACT +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 5 | 1 | 1 | 6 +INPUTS | 11 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOREQr | nAoutOE | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | nADoutLE0_OBUF.EXP | iobm/nDinLE.EXP +INPUTMC | 10 | 0 | 15 | 5 | 9 | 5 | 2 | 1 | 9 | 3 | 1 | 5 | 0 | 0 | 4 | 1 | 16 | 5 | 14 | 5 | 16 +INPUTP | 1 | 35 +IMPORTS | 2 | 5 | 14 | 5 | 16 +EQ | 15 | + !iobm/IOACT.D = iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & + !iobm/IOREQr + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & nAoutOE + # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/ETACK + # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/BERRrf & iobm/BERRrr +;Imported pterms FB6_15 + # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/DTACKrf & iobm/DTACKrr +;Imported pterms FB6_17 + # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/RESrf & iobm/RESrr; + iobm/IOACT.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 9 | iobm/IOREQr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 5 | 2 | 5 | 15 | 5 | 6 +INPUTS | 1 | iobs/IOREQ +INPUTMC | 1 | 7 | 8 +EQ | 2 | + iobm/IOREQr.D = iobs/IOREQ; + !iobm/IOREQr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 0 | 0 | ram/RefRAS +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 3 | 13 | 7 | 5 +INPUTS | 2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 +INPUTMC | 2 | 3 | 17 | 6 | 9 +EQ | 2 | + ram/RefRAS.D = !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2; + ram/RefRAS.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 6 | RESDone +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 2 | 7 | 14 | 7 | 7 +INPUTS | 8 | RESr0 | RESr1 | RESr2 | nWE_FSB | nUDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 +INPUTMC | 5 | 0 | 9 | 0 | 8 | 0 | 7 | 4 | 2 | 6 | 17 +INPUTP | 3 | 47 | 56 | 54 +EXPORTS | 1 | 7 | 7 +EQ | 5 | + RESDone.D = Vcc; + RESDone.CLK = CLK_FSB; // GCK + RESDone.CE = !RESr0 & !RESr1 & RESr2; + RESDone.EXP = !nWE_FSB & !nUDS_FSB & !ram/RAMDIS2 & !nAS_FSB & + !ram/RAMDIS1 +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 10 | IPL2r1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 7 | 14 +INPUTS | 1 | IPL2r0 +INPUTMC | 1 | 0 | 11 +EQ | 2 | + IPL2r1.D = IPL2r0; + IPL2r1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 5 | fsb/ASrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 41 | 4 | 9 | 4 | 6 | 0 | 17 | 7 | 15 | 7 | 0 | 2 | 12 | 3 | 15 | 6 | 12 | 6 | 6 | 2 | 11 | 0 | 16 | 0 | 12 | 2 | 14 | 2 | 4 | 7 | 12 | 3 | 16 | 4 | 2 | 2 | 5 | 3 | 17 | 4 | 17 | 6 | 9 | 6 | 3 | 7 | 3 | 4 | 12 | 0 | 1 | 7 | 8 | 6 | 17 | 6 | 14 | 3 | 0 | 4 | 0 | 4 | 1 | 4 | 15 | 4 | 16 | 6 | 0 | 6 | 2 | 6 | 4 | 6 | 8 | 6 | 10 | 6 | 13 | 6 | 15 | 6 | 16 +INPUTS | 1 | nAS_FSB +INPUTP | 1 | 54 +EQ | 2 | + fsb/ASrf.D = !nAS_FSB; + !fsb/ASrf.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 6 | iobm/ALE0 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 5 | 14 +INPUTS | 5 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOREQr | nAoutOE +INPUTMC | 5 | 5 | 9 | 5 | 2 | 0 | 15 | 1 | 9 | 3 | 1 +EQ | 4 | + iobm/ALE0.D = iobm/IOS_FSM_FFd2 + # iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd1 & iobm/IOREQr & !nAoutOE; + iobm/ALE0.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 0 | 4 | iobm/BERRrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 0 +INPUTS | 1 | nBERR_IOB +INPUTP | 1 | 123 +EQ | 2 | + iobm/BERRrf.D = !nBERR_IOB; + !iobm/BERRrf.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 16 | iobm/BERRrr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 0 +INPUTS | 1 | nBERR_IOB +INPUTP | 1 | 123 +EQ | 2 | + iobm/BERRrr.D = !nBERR_IOB; + iobm/BERRrr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 14 | iobm/BGr1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 3 | 1 +INPUTS | 1 | iobm/BGr0 +INPUTMC | 1 | 1 | 15 +EQ | 2 | + iobm/BGr1.D = iobm/BGr0; + iobm/BGr1.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 13 | iobm/DTACKrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 5 | 9 | 5 | 17 | 5 | 14 | 5 | 0 +INPUTS | 1 | nDTACK_IOB +INPUTP | 1 | 127 +EQ | 2 | + iobm/DTACKrf.D = !nDTACK_IOB; + !iobm/DTACKrf.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 12 | iobm/DTACKrr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 5 | 9 | 5 | 17 | 5 | 14 | 5 | 0 +INPUTS | 1 | nDTACK_IOB +INPUTP | 1 | 127 +EQ | 2 | + iobm/DTACKrr.D = !nDTACK_IOB; + iobm/DTACKrr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 10 | iobm/Er2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 +INPUTS | 1 | iobm/Er +INPUTMC | 1 | 1 | 11 +EQ | 2 | + iobm/Er2.D = iobm/Er; + iobm/Er2.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 0 | 3 | iobm/RESrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 5 | 9 | 5 | 16 | 5 | 0 +INPUTS | 1 | nRES +INPUTP | 1 | 145 +EQ | 2 | + iobm/RESrf.D = !nRES; + !iobm/RESrf.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 0 | 2 | iobm/RESrr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 5 | 9 | 5 | 16 | 5 | 0 +INPUTS | 1 | nRES +INPUTP | 1 | 145 +EQ | 2 | + iobm/RESrr.D = !nRES; + iobm/RESrr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 8 | iobm/VPArf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 5 | 1 +INPUTS | 1 | nVPA_IOB +INPUTP | 1 | 125 +EQ | 2 | + iobm/VPArf.D = !nVPA_IOB; + !iobm/VPArf.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 7 | iobm/VPArr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 5 | 1 +INPUTS | 1 | nVPA_IOB +INPUTP | 1 | 125 +EQ | 2 | + iobm/VPArr.D = !nVPA_IOB; + iobm/VPArr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 3 | 2 | iobs/ALE0 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 5 | 14 +INPUTS | 2 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 +INPUTMC | 2 | 7 | 3 | 3 | 12 +EQ | 2 | + iobs/ALE0.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; + iobs/ALE0.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 0 | iobs/Clear1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 5 | 13 | 3 | 17 +INPUTS | 16 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cnt/RefDone | ram/Once | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf +INPUTMC | 12 | 7 | 3 | 3 | 12 | 5 | 13 | 3 | 13 | 4 | 9 | 0 | 17 | 3 | 17 | 6 | 9 | 3 | 7 | 3 | 6 | 3 | 3 | 0 | 5 +INPUTP | 4 | 36 | 30 | 29 | 54 +EXPORTS | 1 | 3 | 17 +EQ | 8 | + iobs/Clear1.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1; + iobs/Clear1.CLK = CLK_FSB; // GCK + iobs/Clear1.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & + ram/Once & !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & + ram/Once & !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 8 | iobs/IOREQ +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 1 | 9 +INPUTS | 12 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nRAMUWE_OBUF.EXP | EXP34_.EXP +INPUTMC | 9 | 7 | 3 | 3 | 12 | 1 | 6 | 4 | 6 | 5 | 13 | 0 | 5 | 0 | 17 | 7 | 7 | 7 | 9 +INPUTP | 3 | 54 | 36 | 30 +IMPORTS | 2 | 7 | 7 | 7 | 9 +EQ | 30 | + !iobs/IOREQ.D = !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 + # iobs/PS_FSM_FFd1 & iobs/IOACTr + # iobs/Once & !iobs/PS_FSM_FFd2 & nADoutLE1 + # nAS_FSB & !iobs/PS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_8 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_10 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & + SW<1> & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1; + iobs/IOREQ.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 17 | ram/RAMDIS1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 7 | 6 | 7 | 5 +INPUTS | 13 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd2 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | EXP28_.EXP | EXP31_.EXP +INPUTMC | 11 | 3 | 17 | 6 | 3 | 6 | 9 | 3 | 13 | 0 | 1 | 0 | 5 | 3 | 7 | 3 | 6 | 3 | 3 | 6 | 0 | 6 | 16 +INPUTP | 2 | 36 | 54 +IMPORTS | 2 | 6 | 0 | 6 | 16 +EQ | 40 | + ram/RAMDIS1.D = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 + # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 + # A_FSB<23> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !ram/BACTr & fsb/ASrf + # !cnt/RefDone & ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> +;Imported pterms FB7_1 + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr & fsb/ASrf + # !cnt/RefDone & ram/Once & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf +;Imported pterms FB7_2 + # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> +;Imported pterms FB7_17 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr + # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr; + ram/RAMDIS1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 14 | ram/RAMReady +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 2 | 3 | 2 | 6 | 2 | 1 | 2 | 9 +INPUTS | 13 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd2 | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | CLK20EN_OBUF$BUF0.EXP | EXP30_.EXP +INPUTMC | 11 | 6 | 3 | 6 | 9 | 3 | 13 | 3 | 7 | 3 | 6 | 3 | 3 | 3 | 17 | 0 | 1 | 0 | 5 | 6 | 13 | 6 | 15 +INPUTP | 2 | 36 | 54 +IMPORTS | 2 | 6 | 13 | 6 | 15 +EQ | 31 | + !ram/RAMReady.D = ram/RS_FSM_FFd2 + # ram/RS_FSM_FFd3 + # !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # A_FSB<23> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + !ram/BACTr & fsb/ASrf +;Imported pterms FB7_14 + # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & + !nAS_FSB & !ram/RS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr +;Imported pterms FB7_16 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & fsb/ASrf; + ram/RAMReady.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 5 | RA_0_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<10> | ram/RASEL | A_FSB<1> +INPUTMC | 1 | 4 | 17 +INPUTP | 2 | 13 | 149 +EQ | 2 | + RA<0> = A_FSB<10> & !ram/RASEL + # ram/RASEL & A_FSB<1>; + +MACROCELL | 6 | 1 | RA_1_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 0 +INPUTS | 11 | A_FSB<11> | ram/RASEL | A_FSB<2> | A_FSB<22> | cnt/RefDone | cs/nOverlay1 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> +INPUTMC | 8 | 4 | 17 | 3 | 13 | 0 | 17 | 3 | 17 | 6 | 3 | 3 | 7 | 3 | 6 | 3 | 3 +INPUTP | 3 | 15 | 153 | 30 +EXPORTS | 1 | 6 | 0 +EQ | 8 | + RA<1> = A_FSB<11> & !ram/RASEL + # ram/RASEL & A_FSB<2>; + RA_1_OBUF.EXP = A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + +MACROCELL | 4 | 13 | RA_2_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 4 | 12 +INPUTS | 11 | A_FSB<23> | A_FSB<21> | A_FSB<18> | nWE_FSB | A_FSB<20> | SW<1> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 | RA_6_OBUF.EXP +INPUTMC | 2 | 0 | 17 | 4 | 14 +INPUTP | 9 | 36 | 29 | 24 | 47 | 28 | 97 | 19 | 18 | 30 +EXPORTS | 1 | 4 | 12 +IMPORTS | 1 | 4 | 14 +EQ | 9 | + RA<2> = ;Imported pterms FB5_15 + A_FSB<12> & !ram/RASEL + # ram/RASEL & A_FSB<3>; + RA_2_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & nWE_FSB + # !A_FSB<23> & !A_FSB<20> & SW<1> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 + +MACROCELL | 4 | 10 | RA_3_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 4 | 11 +INPUTS | 5 | A_FSB<13> | ram/RASEL | A_FSB<4> | A_FSB<15> | A_FSB<6> +INPUTMC | 1 | 4 | 17 +INPUTP | 4 | 18 | 157 | 21 | 6 +EXPORTS | 1 | 4 | 11 +EQ | 4 | + RA<3> = A_FSB<13> & !ram/RASEL + # ram/RASEL & A_FSB<4>; + RA_3_OBUF.EXP = A_FSB<15> & !ram/RASEL + # ram/RASEL & A_FSB<6> + +MACROCELL | 4 | 8 | RA_4_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 4 | 7 +INPUTS | 11 | A_FSB<14> | ram/RASEL | A_FSB<5> | A_FSB<23> | A_FSB<20> | iobs/Once | SW<1> | A_FSB<13> | A_FSB<21> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 3 | 4 | 17 | 4 | 6 | 0 | 17 +INPUTP | 8 | 19 | 4 | 36 | 28 | 97 | 18 | 29 | 30 +EXPORTS | 1 | 4 | 7 +EQ | 7 | + RA<4> = A_FSB<14> & !ram/RASEL + # ram/RASEL & A_FSB<5>; + RA_4_OBUF.EXP = !A_FSB<23> & !A_FSB<20> & !iobs/Once & SW<1> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !iobs/Once & + cs/nOverlay1 + +MACROCELL | 4 | 11 | RA_5_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 4 | 12 +INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<17> | A_FSB<16> | RA_3_OBUF.EXP +INPUTMC | 1 | 4 | 10 +INPUTP | 7 | 36 | 30 | 29 | 28 | 26 | 23 | 22 +EXPORTS | 1 | 4 | 12 +IMPORTS | 1 | 4 | 10 +EQ | 8 | + RA<5> = ;Imported pterms FB5_11 + A_FSB<15> & !ram/RASEL + # ram/RASEL & A_FSB<6>; + RA_5_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & A_FSB<21> & !A_FSB<20> + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> + +MACROCELL | 4 | 14 | RA_6_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 4 | 13 +INPUTS | 5 | A_FSB<16> | ram/RASEL | A_FSB<7> | A_FSB<12> | A_FSB<3> +INPUTMC | 1 | 4 | 17 +INPUTP | 4 | 22 | 8 | 17 | 155 +EXPORTS | 1 | 4 | 13 +EQ | 4 | + RA<6> = A_FSB<16> & !ram/RASEL + # ram/RASEL & A_FSB<7>; + RA_6_OBUF.EXP = A_FSB<12> & !ram/RASEL + # ram/RASEL & A_FSB<3> + +MACROCELL | 6 | 4 | RA_7_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 3 +INPUTS | 14 | A_FSB<17> | ram/RASEL | A_FSB<8> | A_FSB<23> | A_FSB<22> | A_FSB<21> | cnt/RefDone | cs/nOverlay1 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf +INPUTMC | 9 | 4 | 17 | 3 | 13 | 0 | 17 | 3 | 17 | 6 | 3 | 3 | 7 | 3 | 6 | 3 | 3 | 0 | 5 +INPUTP | 5 | 23 | 11 | 36 | 30 | 29 +EXPORTS | 1 | 6 | 3 +EQ | 5 | + RA<7> = A_FSB<8> & ram/RASEL + # A_FSB<17> & !ram/RASEL; + RA_7_OBUF.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf + +MACROCELL | 6 | 7 | RA_8_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 7 | A_FSB<23> | A_FSB<18> | ram/RASEL | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | cnt/TimeoutBPre.EXP +INPUTMC | 3 | 4 | 17 | 0 | 17 | 6 | 6 +INPUTP | 4 | 36 | 24 | 30 | 29 +IMPORTS | 1 | 6 | 6 +EQ | 10 | + RA<8> = A_FSB<23> & A_FSB<18> + # A_FSB<18> & !ram/RASEL + # A_FSB<22> & !A_FSB<21> & A_FSB<18> + # A_FSB<22> & A_FSB<18> & cs/nOverlay1 + # !A_FSB<22> & A_FSB<18> & !cs/nOverlay1 +;Imported pterms FB7_7 + # A_FSB<9> & !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + ram/RASEL + # A_FSB<9> & !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/RASEL; + +MACROCELL | 6 | 10 | RA_9_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 9 +INPUTS | 10 | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefCnt<6> | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | A_FSB<21> | CLK25EN_OBUF.EXP +INPUTMC | 6 | 6 | 9 | 6 | 3 | 3 | 6 | 0 | 5 | 0 | 17 | 6 | 11 +INPUTP | 4 | 54 | 36 | 30 | 29 +EXPORTS | 1 | 6 | 9 +IMPORTS | 1 | 6 | 11 +EQ | 13 | + RA<9> = ;Imported pterms FB7_12 + A_FSB<20> & ram/RASEL + # A_FSB<19> & !ram/RASEL; + RA_9_OBUF.EXP = nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<6> & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & fsb/ASrf + +MACROCELL | 7 | 11 | nBERR_FSB_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 7 | 12 +INPUTS | 14 | nAS_FSB | iobs/BERR | cnt/TimeoutB | fsb/BERR0r | fsb/BERR1r | A_FSB<23> | A_FSB<20> | SW<1> | A_FSB<14> | A_FSB<13> | A_FSB<21> | A_FSB<22> | cs/nOverlay1 | EXP35_.EXP +INPUTMC | 6 | 7 | 15 | 6 | 12 | 2 | 11 | 0 | 16 | 0 | 17 | 7 | 10 +INPUTP | 8 | 54 | 36 | 28 | 97 | 19 | 18 | 29 | 30 +EXPORTS | 1 | 7 | 12 +IMPORTS | 1 | 7 | 10 +EQ | 10 | + nBERR_FSB = nAS_FSB + # !iobs/BERR & !cnt/TimeoutB & !fsb/BERR0r & + !fsb/BERR1r +;Imported pterms FB8_11 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & + !iobs/BERR & !fsb/BERR0r & !fsb/BERR1r; + nBERR_FSB_OBUF.EXP = !A_FSB<23> & !A_FSB<20> & SW<1> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 + +MACROCELL | 4 | 5 | nOE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 4 | 6 +INPUTS | 9 | A_FSB<23> | iobs/Once | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB<20> | ram/nCAS.EXP +INPUTMC | 5 | 4 | 6 | 3 | 12 | 5 | 13 | 0 | 17 | 4 | 4 +INPUTP | 4 | 36 | 30 | 29 | 28 +EXPORTS | 1 | 4 | 6 +IMPORTS | 1 | 4 | 4 +EQ | 8 | + !nOE = ;Imported pterms FB5_5 + nWE_FSB & !nAS_FSB; + nOE_OBUF.EXP = A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 + # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once + # !A_FSB<23> & !A_FSB<22> & !iobs/Once & + !cs/nOverlay1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & !iobs/Once + +MACROCELL | 2 | 16 | nROMWE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 2 | 17 +INPUTS | 26 | nWE_FSB | nAS_FSB | A_FSB<14> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | fsb/Ready1r | fsb/VPA | iobs/IOReady | nADoutLE1 | $OpTx$FX_DC$591 | A_FSB<13> | A_FSB<9> | A_FSB<15> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | cnt/TimeoutA | fsb/Ready2r | A_FSB<8> +INPUTMC | 8 | 0 | 17 | 2 | 14 | 2 | 0 | 3 | 16 | 5 | 13 | 0 | 12 | 3 | 15 | 2 | 15 +INPUTP | 18 | 47 | 54 | 19 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 12 | 21 | 17 | 15 | 13 | 36 | 11 +EXPORTS | 1 | 2 | 17 +EQ | 19 | + !nROMWE = !nWE_FSB & !nAS_FSB; + nROMWE_OBUF.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !nADoutLE1 & !$OpTx$FX_DC$591 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !nADoutLE1 & !$OpTx$FX_DC$591 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + +MACROCELL | 3 | 10 | nVPA_FSB_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | fsb/VPA | nAS_FSB +INPUTMC | 1 | 2 | 0 +INPUTP | 1 | 54 +EQ | 1 | + !nVPA_FSB = fsb/VPA & !nAS_FSB; + +MACROCELL | 5 | 16 | iobm/nDinLE +ATTRIBUTES | 8684290 | 0 +OUTPUTMC | 1 | 5 | 15 +INPUTS | 6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | CLK_IOB | iobm/IOS_FSM_FFd3 | iobm/RESrf | iobm/RESrr +INPUTMC | 5 | 0 | 15 | 5 | 9 | 5 | 2 | 0 | 3 | 0 | 2 +INPUTP | 1 | 35 +EXPORTS | 1 | 5 | 15 +EQ | 4 | + nDinLE.D = iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2; + !nDinLE.CLK = CLK2X_IOB; // GCK + iobm/nDinLE.EXP = CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/RESrf & iobm/RESrr +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 3 | 4 | iobm/nDoutOE +ATTRIBUTES | 8684290 | 0 +INPUTS | 3 | iobs/IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 +INPUTMC | 3 | 7 | 17 | 5 | 2 | 5 | 9 +EQ | 3 | + nDoutOE.D = !iobs/IORW0 + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2; + nDoutOE.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 14 | nADoutLE0_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 5 | 15 +INPUTS | 7 | iobm/ALE0 | iobs/ALE0 | CLK_IOB | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/DTACKrf | iobm/DTACKrr +INPUTMC | 6 | 5 | 6 | 3 | 2 | 5 | 2 | 0 | 15 | 1 | 13 | 1 | 12 +INPUTP | 1 | 35 +EXPORTS | 1 | 5 | 15 +EQ | 3 | + nADoutLE0 = !iobm/ALE0 & !iobs/ALE0; + nADoutLE0_OBUF.EXP = CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/DTACKrf & iobm/DTACKrr + +MACROCELL | 3 | 5 | nDinOE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 7 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<21> | A_FSB<20> | SW<1> +INPUTP | 7 | 36 | 47 | 54 | 30 | 29 | 28 | 97 +EQ | 5 | + nDinOE = A_FSB<23> & nWE_FSB & !nAS_FSB + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & + !nAS_FSB + # A_FSB<22> & !A_FSB<21> & nWE_FSB & !nAS_FSB & + !SW<1>; + +MACROCELL | 7 | 4 | nRAS_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 7 | 3 +INPUTS | 14 | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | nWE_FSB | A_FSB<20> | SW<1> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 | nRAMLWE_OBUF.EXP +INPUTMC | 5 | 7 | 3 | 3 | 12 | 5 | 13 | 0 | 17 | 7 | 5 +INPUTP | 9 | 36 | 29 | 24 | 47 | 28 | 97 | 19 | 18 | 30 +EXPORTS | 1 | 7 | 3 +IMPORTS | 1 | 7 | 5 +EQ | 16 | + !nRAS = ;Imported pterms FB8_6 + ram/RefRAS + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1; + nRAS_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & SW<1> & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + +MACROCELL | 4 | 4 | ram/nCAS +ATTRIBUTES | 8684290 | 0 +OUTPUTMC | 1 | 4 | 5 +INPUTS | 3 | ram/RASEL | nWE_FSB | nAS_FSB +INPUTMC | 1 | 4 | 17 +INPUTP | 2 | 47 | 54 +EXPORTS | 1 | 4 | 5 +EQ | 3 | + nCAS.D = !ram/RASEL; + !nCAS.CLK = CLK_FSB; // GCK + ram/nCAS.EXP = nWE_FSB & !nAS_FSB +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 1 | A_FSB_19_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 7 | 0 +INPUTS | 7 | A_FSB<19> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/Once | nADoutLE1 | nWE_FSB | iobs/IORW0 +INPUTMC | 5 | 7 | 3 | 3 | 12 | 4 | 6 | 5 | 13 | 7 | 17 +INPUTP | 2 | 26 | 47 +EXPORTS | 1 | 7 | 0 +EQ | 5 | + RA<11> = A_FSB<19>; + A_FSB_19_IBUF$BUF0.EXP = iobs/PS_FSM_FFd2 + # iobs/PS_FSM_FFd1 + # iobs/Once & nADoutLE1 + # nWE_FSB & iobs/IORW0 & nADoutLE1 + +MACROCELL | 6 | 8 | A_FSB_21_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 9 +INPUTS | 9 | A_FSB<21> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | ram/BACTr | cnt/RefCnt<6> | nAS_FSB | fsb/ASrf | cnt/RefCnt<7> +INPUTMC | 7 | 6 | 9 | 6 | 3 | 3 | 7 | 0 | 1 | 3 | 6 | 0 | 5 | 3 | 3 +INPUTP | 2 | 29 | 54 +EXPORTS | 1 | 6 | 9 +EQ | 9 | + RA<10> = A_FSB<21>; + A_FSB_21_IBUF$BUF0.EXP = !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<5> & ram/BACTr + # !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<6> & ram/BACTr + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<5> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<7> & !fsb/ASrf + +MACROCELL | 6 | 13 | CLK20EN_OBUF$BUF0 +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 14 +INPUTS | 11 | A_FSB<23> | A_FSB<22> | ram/Once | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | A_FSB<21> | cnt/RefDone | ram/BACTr | cnt/TimeoutB.EXP +INPUTMC | 7 | 4 | 9 | 0 | 17 | 3 | 17 | 0 | 5 | 3 | 13 | 0 | 1 | 6 | 12 +INPUTP | 4 | 36 | 30 | 54 | 29 +EXPORTS | 1 | 6 | 14 +IMPORTS | 1 | 6 | 12 +EQ | 12 | + CLK20EN = ;Imported pterms FB7_13 + SW<0>; + CLK20EN_OBUF$BUF0.EXP = !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & + !nAS_FSB & !ram/RS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + +MACROCELL | 6 | 11 | CLK25EN_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 10 +INPUTS | 4 | SW<0> | A_FSB<20> | ram/RASEL | A_FSB<19> +INPUTMC | 1 | 4 | 17 +INPUTP | 3 | 98 | 28 | 26 +EXPORTS | 1 | 6 | 10 +EQ | 3 | + CLK25EN = !SW<0>; + CLK25EN_OBUF.EXP = A_FSB<20> & ram/RASEL + # A_FSB<19> & !ram/RASEL + +MACROCELL | 7 | 14 | Park +ATTRIBUTES | 8815430 | 0 +OUTPUTMC | 6 | 2 | 0 | 2 | 5 | 2 | 3 | 2 | 10 | 2 | 11 | 7 | 13 +INPUTS | 12 | RESr0 | RESr1 | IPL2r0 | RESr2 | RESDone | IPL2r1 | A_FSB<23> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> +INPUTMC | 6 | 0 | 9 | 0 | 8 | 0 | 11 | 0 | 7 | 7 | 6 | 0 | 10 +INPUTP | 6 | 36 | 29 | 26 | 24 | 23 | 22 +EXPORTS | 1 | 7 | 13 +EQ | 8 | + nBR_IOB.D = Gnd; + nBR_IOB.CLK = CLK_FSB; // GCK + nBR_IOB.CE = RESr0 & RESr1 & IPL2r0 & RESr2 & !RESDone & + IPL2r1; + Park.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 13 | nADoutLE1_OBUF +ATTRIBUTES | 8815366 | 0 +OUTPUTMC | 24 | 4 | 6 | 7 | 15 | 7 | 17 | 2 | 12 | 5 | 13 | 4 | 3 | 7 | 13 | 3 | 16 | 3 | 14 | 2 | 7 | 7 | 3 | 4 | 12 | 3 | 0 | 7 | 8 | 2 | 9 | 2 | 16 | 4 | 5 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 16 +INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 +INPUTMC | 3 | 3 | 0 | 5 | 13 | 4 | 12 +EQ | 3 | + !nADoutLE1.D = iobs/Load1 + # !iobs/Clear1 & !nADoutLE1; + nADoutLE1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 1 | nAoutOE_OBUF +ATTRIBUTES | 8815366 | 0 +OUTPUTMC | 8 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 5 | 15 | 5 | 6 | 3 | 1 +INPUTS | 4 | iobm/BGr1 | nAoutOE | iobm/BGr0 | nAS_IOB +INPUTMC | 4 | 1 | 14 | 3 | 1 | 1 | 15 | 5 | 11 +EQ | 4 | + nAoutOE.D = !iobm/BGr0 & !iobm/BGr1 + # !iobm/BGr1 & nAoutOE + # !nAS_IOB & !iobm/BGr0 & !nAoutOE; + nAoutOE.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 7 | 5 | nRAMLWE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 7 | 4 +INPUTS | 10 | nWE_FSB | nLDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | ram/RefRAS | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | A_FSB<21> +INPUTMC | 4 | 4 | 2 | 6 | 17 | 0 | 0 | 0 | 17 +INPUTP | 6 | 47 | 49 | 54 | 36 | 30 | 29 +EXPORTS | 1 | 7 | 4 +EQ | 7 | + !nRAMLWE = !nWE_FSB & !nLDS_FSB & !ram/RAMDIS2 & !nAS_FSB & + !ram/RAMDIS1; + nRAMLWE_OBUF.EXP = ram/RefRAS + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 + +MACROCELL | 7 | 7 | nRAMUWE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 7 | 8 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<20> | A_FSB<19> | A_FSB<17> | A_FSB<16> | RESDone.EXP +INPUTMC | 3 | 7 | 3 | 5 | 13 | 7 | 6 +INPUTP | 7 | 36 | 30 | 29 | 28 | 26 | 23 | 22 +EXPORTS | 1 | 7 | 8 +IMPORTS | 1 | 7 | 6 +EQ | 13 | + !nRAMUWE = ;Imported pterms FB8_7 + !nWE_FSB & !nUDS_FSB & !ram/RAMDIS2 & !nAS_FSB & + !ram/RAMDIS1; + nRAMUWE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + +MACROCELL | 4 | 1 | nROMCS_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 4 | 2 +INPUTS | 16 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | SW<1> | cs/nOverlay1 | cnt/RefDone | ram/Once | ram/RAMDIS2 | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf +INPUTMC | 10 | 0 | 17 | 3 | 13 | 4 | 9 | 4 | 2 | 6 | 9 | 6 | 3 | 3 | 7 | 3 | 6 | 3 | 3 | 0 | 5 +INPUTP | 6 | 36 | 30 | 29 | 28 | 97 | 54 +EXPORTS | 1 | 4 | 2 +EQ | 14 | + !nROMCS = A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !SW<1> + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + SW<1> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay1; + nROMCS_OBUF.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & + ram/Once & !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & + ram/Once & !cs/nOverlay1 & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf + +MACROCELL | 0 | 12 | $OpTx$FX_DC$591 +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 6 | 2 | 0 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 16 | 2 | 17 +INPUTS | 2 | nAS_FSB | fsb/ASrf +INPUTMC | 1 | 0 | 5 +INPUTP | 1 | 54 +EQ | 1 | + $OpTx$FX_DC$591 = nAS_FSB & !fsb/ASrf; + +MACROCELL | 2 | 1 | EXP14_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 0 +INPUTS | 20 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | fsb/Ready0r | fsb/VPA | ram/RAMReady | $OpTx$FX_DC$591 | A_FSB<21> | fsb/Ready1r | iobs/IOReady | SW<1> | A_FSB<14> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | A_FSB<13> | EXP15_.EXP +INPUTMC | 8 | 0 | 17 | 2 | 3 | 2 | 0 | 6 | 14 | 0 | 12 | 2 | 14 | 3 | 16 | 2 | 2 +INPUTP | 12 | 36 | 30 | 29 | 97 | 19 | 28 | 26 | 24 | 23 | 22 | 47 | 18 +EXPORTS | 1 | 2 | 0 +IMPORTS | 1 | 2 | 2 +EQ | 57 | + EXP14_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & !ram/RAMReady & !$OpTx$FX_DC$591 + # A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & fsb/VPA & + !iobs/IOReady & !SW<1> & !$OpTx$FX_DC$591 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !ram/RAMReady & + !$OpTx$FX_DC$591 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$591 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$591 +;Imported pterms FB3_3 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 +;Imported pterms FB3_4 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & + !fsb/BERR0r & !fsb/BERR1r & fsb/Ready1r & nBR_IOB & + !$OpTx$FX_DC$591 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & + !fsb/BERR0r & !fsb/BERR1r & iobs/IOReady & nBR_IOB & + !$OpTx$FX_DC$591 + +MACROCELL | 2 | 2 | EXP15_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 1 +INPUTS | 23 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | cnt/TimeoutA | fsb/Ready2r | fsb/VPA | $OpTx$FX_DC$591 | A_FSB<8> | fsb/Ready0r.EXP +INPUTMC | 6 | 0 | 17 | 3 | 15 | 2 | 15 | 2 | 0 | 0 | 12 | 2 | 3 +INPUTP | 17 | 12 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 11 +EXPORTS | 1 | 2 | 1 +IMPORTS | 1 | 2 | 3 +EQ | 43 | + EXP15_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 +;Imported pterms FB3_4 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & + !fsb/BERR0r & !fsb/BERR1r & fsb/Ready1r & nBR_IOB & + !$OpTx$FX_DC$591 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & + !fsb/BERR0r & !fsb/BERR1r & iobs/IOReady & nBR_IOB & + !$OpTx$FX_DC$591 + +MACROCELL | 2 | 4 | EXP16_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 3 +INPUTS | 2 | nAS_FSB | fsb/ASrf +INPUTMC | 1 | 0 | 5 +INPUTP | 1 | 54 +EXPORTS | 1 | 2 | 3 +EQ | 1 | + EXP16_.EXP = nAS_FSB & !fsb/ASrf + +MACROCELL | 2 | 5 | EXP17_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 6 +INPUTS | 24 | nAS_FSB | fsb/ASrf | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/BERR | cnt/TimeoutB | fsb/BERR0r | fsb/BERR1r | iobs/IOReady | nBR_IOB +INPUTMC | 7 | 0 | 5 | 7 | 15 | 6 | 12 | 2 | 11 | 0 | 16 | 3 | 16 | 7 | 14 +INPUTP | 17 | 54 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 +EXPORTS | 1 | 2 | 6 +EQ | 6 | + EXP17_.EXP = nAS_FSB & !fsb/ASrf + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & + !fsb/BERR0r & !fsb/BERR1r & iobs/IOReady & nBR_IOB + +MACROCELL | 2 | 6 | EXP18_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 7 +INPUTS | 11 | A_FSB<23> | cnt/TimeoutB | nDTACK_FSB | A_FSB<22> | A_FSB<20> | fsb/Ready1r | iobs/IOReady | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | EXP17_.EXP +INPUTMC | 8 | 6 | 12 | 2 | 8 | 2 | 14 | 3 | 16 | 0 | 17 | 2 | 3 | 6 | 14 | 2 | 5 +INPUTP | 3 | 36 | 30 | 28 +EXPORTS | 1 | 2 | 7 +IMPORTS | 1 | 2 | 5 +EQ | 14 | + EXP18_.EXP = A_FSB<23> & cnt/TimeoutB & nDTACK_FSB + # !A_FSB<22> & cnt/TimeoutB & nDTACK_FSB + # !A_FSB<20> & cnt/TimeoutB & nDTACK_FSB + # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady +;Imported pterms FB3_6 + # nAS_FSB & !fsb/ASrf + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & + !fsb/BERR0r & !fsb/BERR1r & iobs/IOReady & nBR_IOB + +MACROCELL | 2 | 7 | EXP19_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 8 +INPUTS | 24 | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<21> | nADoutLE1 | A_FSB<8> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | cnt/TimeoutA | fsb/Ready2r | EXP18_.EXP +INPUTMC | 8 | 0 | 17 | 2 | 14 | 3 | 16 | 2 | 8 | 5 | 13 | 3 | 15 | 2 | 15 | 2 | 6 +INPUTP | 16 | 19 | 30 | 28 | 26 | 24 | 23 | 22 | 47 | 29 | 11 | 21 | 18 | 17 | 15 | 13 | 36 +EXPORTS | 1 | 2 | 8 +IMPORTS | 1 | 2 | 6 +EQ | 37 | + EXP19_.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB & + !nADoutLE1 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & nDTACK_FSB +;Imported pterms FB3_7 + # A_FSB<23> & cnt/TimeoutB & nDTACK_FSB + # !A_FSB<22> & cnt/TimeoutB & nDTACK_FSB + # !A_FSB<20> & cnt/TimeoutB & nDTACK_FSB + # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady +;Imported pterms FB3_6 + # nAS_FSB & !fsb/ASrf + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & + !fsb/BERR0r & !fsb/BERR1r & iobs/IOReady & nBR_IOB + +MACROCELL | 2 | 9 | EXP20_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 8 +INPUTS | 19 | A_FSB<22> | A_FSB<21> | A_FSB<20> | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | SW<1> | A_FSB<23> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<13> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | nADoutLE1 | EXP21_.EXP +INPUTMC | 8 | 2 | 14 | 3 | 16 | 2 | 8 | 0 | 17 | 2 | 3 | 6 | 14 | 5 | 13 | 2 | 10 +INPUTP | 11 | 30 | 29 | 28 | 97 | 36 | 18 | 26 | 24 | 23 | 22 | 47 +EXPORTS | 1 | 2 | 8 +IMPORTS | 1 | 2 | 10 +EQ | 25 | + EXP20_.EXP = A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB + # A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB & !SW<1> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB & + !nADoutLE1 +;Imported pterms FB3_11 + # iobs/BERR & nDTACK_FSB + # fsb/BERR0r & nDTACK_FSB + # fsb/BERR1r & nDTACK_FSB + # nDTACK_FSB & !nBR_IOB + # A_FSB<21> & cnt/TimeoutB & nDTACK_FSB +;Imported pterms FB3_12 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & + !fsb/BERR0r & !fsb/BERR1r & fsb/Ready1r & nBR_IOB + +MACROCELL | 2 | 10 | EXP21_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 9 +INPUTS | 8 | iobs/BERR | nDTACK_FSB | fsb/BERR0r | fsb/BERR1r | nBR_IOB | A_FSB<21> | cnt/TimeoutB | fsb/BERR0r.EXP +INPUTMC | 7 | 7 | 15 | 2 | 8 | 2 | 11 | 0 | 16 | 7 | 14 | 6 | 12 | 2 | 11 +INPUTP | 1 | 29 +EXPORTS | 1 | 2 | 9 +IMPORTS | 1 | 2 | 11 +EQ | 11 | + EXP21_.EXP = iobs/BERR & nDTACK_FSB + # fsb/BERR0r & nDTACK_FSB + # fsb/BERR1r & nDTACK_FSB + # nDTACK_FSB & !nBR_IOB + # A_FSB<21> & cnt/TimeoutB & nDTACK_FSB +;Imported pterms FB3_12 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & + !fsb/BERR0r & !fsb/BERR1r & fsb/Ready1r & nBR_IOB + +MACROCELL | 2 | 13 | EXP22_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 14 +INPUTS | 16 | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<22> | A_FSB<21> | A_FSB<20> | SW<1> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | A_FSB<13> | cs/nOverlay0.EXP +INPUTMC | 4 | 2 | 14 | 3 | 16 | 0 | 17 | 2 | 12 +INPUTP | 12 | 36 | 30 | 29 | 28 | 97 | 19 | 26 | 24 | 23 | 22 | 47 | 18 +EXPORTS | 1 | 2 | 14 +IMPORTS | 1 | 2 | 12 +EQ | 18 | + EXP22_.EXP = A_FSB<23> & !fsb/Ready1r & !iobs/IOReady + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady + # A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & + !iobs/IOReady & !SW<1> + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !fsb/Ready1r & !iobs/IOReady + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !fsb/Ready1r & !iobs/IOReady +;Imported pterms FB3_13 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 + +MACROCELL | 2 | 17 | EXP23_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 0 +INPUTS | 10 | A_FSB<23> | cnt/TimeoutB | fsb/VPA | $OpTx$FX_DC$591 | A_FSB<22> | A_FSB<21> | fsb/Ready1r | iobs/IOReady | A_FSB<20> | nROMWE_OBUF.EXP +INPUTMC | 6 | 6 | 12 | 2 | 0 | 0 | 12 | 2 | 14 | 3 | 16 | 2 | 16 +INPUTP | 4 | 36 | 30 | 29 | 28 +EXPORTS | 1 | 2 | 0 +IMPORTS | 1 | 2 | 16 +EQ | 29 | + EXP23_.EXP = A_FSB<23> & cnt/TimeoutB & fsb/VPA & + !$OpTx$FX_DC$591 + # !A_FSB<22> & cnt/TimeoutB & fsb/VPA & + !$OpTx$FX_DC$591 + # A_FSB<21> & cnt/TimeoutB & fsb/VPA & + !$OpTx$FX_DC$591 + # A_FSB<23> & !fsb/Ready1r & fsb/VPA & + !iobs/IOReady & !$OpTx$FX_DC$591 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$591 +;Imported pterms FB3_17 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !nADoutLE1 & !$OpTx$FX_DC$591 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !nADoutLE1 & !$OpTx$FX_DC$591 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & + !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + +MACROCELL | 4 | 0 | EXP24_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 17 +INPUTS | 12 | A_FSB<22> | cnt/RefDone | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/BACTr | fsb/ASrf | A_FSB<21> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> +INPUTMC | 9 | 3 | 13 | 0 | 17 | 3 | 17 | 6 | 9 | 0 | 1 | 0 | 5 | 3 | 7 | 3 | 6 | 3 | 3 +INPUTP | 3 | 30 | 54 | 29 +EXPORTS | 1 | 4 | 17 +EQ | 11 | + EXP24_.EXP = A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr + # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr + # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + +MACROCELL | 4 | 7 | EXP25_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 6 +INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<19> | iobs/Once | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | RA_4_OBUF.EXP +INPUTMC | 2 | 4 | 6 | 4 | 8 +INPUTP | 7 | 36 | 29 | 26 | 24 | 23 | 22 | 47 +EXPORTS | 1 | 4 | 6 +IMPORTS | 1 | 4 | 8 +EQ | 11 | + EXP25_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & nWE_FSB & !iobs/Once +;Imported pterms FB5_9 + # !A_FSB<23> & !A_FSB<20> & !iobs/Once & SW<1> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !iobs/Once & + cs/nOverlay1 + +MACROCELL | 4 | 15 | EXP26_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 16 +INPUTS | 13 | A_FSB<23> | A_FSB<22> | A_FSB<21> | ram/Once | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | fsb/ASrf | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> +INPUTMC | 9 | 4 | 9 | 0 | 17 | 3 | 17 | 6 | 9 | 0 | 5 | 3 | 13 | 3 | 7 | 3 | 6 | 3 | 3 +INPUTP | 4 | 36 | 30 | 29 | 54 +EXPORTS | 1 | 4 | 16 +EQ | 10 | + EXP26_.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & fsb/ASrf + # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + +MACROCELL | 4 | 16 | EXP27_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 17 +INPUTS | 15 | A_FSB<23> | cnt/RefDone | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<22> | ram/Once | cs/nOverlay1 | nAS_FSB | fsb/ASrf | A_FSB<21> | ram/BACTr | EXP26_.EXP +INPUTMC | 11 | 3 | 13 | 3 | 17 | 6 | 9 | 3 | 7 | 3 | 6 | 3 | 3 | 4 | 9 | 0 | 17 | 0 | 5 | 0 | 1 | 4 | 15 +INPUTP | 4 | 36 | 30 | 54 | 29 +EXPORTS | 1 | 4 | 17 +IMPORTS | 1 | 4 | 15 +EQ | 21 | + EXP27_.EXP = A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 + # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr & fsb/ASrf +;Imported pterms FB5_16 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & fsb/ASrf + # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + +MACROCELL | 6 | 0 | EXP28_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 6 | 17 +INPUTS | 15 | A_FSB<23> | cnt/RefDone | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<22> | cs/nOverlay1 | ram/BACTr | fsb/ASrf | ram/Once | A_FSB<21> | nAS_FSB | RA_1_OBUF.EXP +INPUTMC | 11 | 3 | 13 | 3 | 17 | 6 | 3 | 3 | 7 | 3 | 6 | 3 | 3 | 0 | 17 | 0 | 1 | 0 | 5 | 4 | 9 | 6 | 1 +INPUTP | 4 | 36 | 30 | 29 | 54 +EXPORTS | 1 | 6 | 17 +IMPORTS | 1 | 6 | 1 +EQ | 19 | + EXP28_.EXP = A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr & fsb/ASrf + # !cnt/RefDone & ram/Once & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf +;Imported pterms FB7_2 + # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + +MACROCELL | 6 | 2 | EXP29_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 6 | 3 +INPUTS | 13 | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | A_FSB<21> +INPUTMC | 9 | 3 | 13 | 3 | 17 | 6 | 9 | 6 | 3 | 3 | 7 | 3 | 6 | 3 | 3 | 0 | 5 | 0 | 17 +INPUTP | 4 | 54 | 36 | 30 | 29 +EXPORTS | 1 | 6 | 3 +EQ | 15 | + EXP29_.EXP = !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & !cnt/RefDone & + cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<23> & !A_FSB<22> & !cnt/RefDone & + cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + +MACROCELL | 6 | 15 | EXP30_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 6 | 14 +INPUTS | 10 | A_FSB<22> | A_FSB<21> | cnt/RefDone | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | cs/nOverlay1 | A_FSB<23> | ram/Once | nAS_FSB +INPUTMC | 6 | 3 | 13 | 3 | 17 | 0 | 1 | 0 | 5 | 0 | 17 | 4 | 9 +INPUTP | 4 | 30 | 29 | 36 | 54 +EXPORTS | 1 | 6 | 14 +EQ | 10 | + EXP30_.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & fsb/ASrf + +MACROCELL | 6 | 16 | EXP31_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 6 | 17 +INPUTS | 9 | A_FSB<22> | A_FSB<21> | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/BACTr | fsb/ASrf | cs/nOverlay1 +INPUTMC | 6 | 3 | 13 | 3 | 17 | 6 | 3 | 0 | 1 | 0 | 5 | 0 | 17 +INPUTP | 3 | 30 | 29 | 54 +EXPORTS | 1 | 6 | 17 +EQ | 10 | + EXP31_.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr + # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr + +MACROCELL | 7 | 0 | EXP32_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 17 +INPUTS | 9 | iobs/IORW0 | iobs/IORW1 | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB_19_IBUF$BUF0.EXP +INPUTMC | 5 | 7 | 17 | 7 | 13 | 5 | 13 | 0 | 5 | 7 | 1 +INPUTP | 4 | 54 | 36 | 30 | 29 +EXPORTS | 1 | 7 | 17 +IMPORTS | 1 | 7 | 1 +EQ | 10 | + EXP32_.EXP = iobs/IORW0 & iobs/IORW1 & !nADoutLE1 + # !iobs/IORW0 & !iobs/IORW1 & !nADoutLE1 + # nAS_FSB & !fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !iobs/IORW0 & nADoutLE1 +;Imported pterms FB8_2 + # iobs/PS_FSM_FFd2 + # iobs/PS_FSM_FFd1 + # iobs/Once & nADoutLE1 + # nWE_FSB & iobs/IORW0 & nADoutLE1 + +MACROCELL | 7 | 2 | EXP33_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 3 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<20> | A_FSB<19> | A_FSB<17> | A_FSB<16> +INPUTMC | 3 | 7 | 3 | 3 | 12 | 5 | 13 +INPUTP | 7 | 36 | 30 | 29 | 28 | 26 | 23 | 22 +EXPORTS | 1 | 7 | 3 +EQ | 10 | + EXP33_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + +MACROCELL | 7 | 9 | EXP34_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 8 +INPUTS | 12 | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | nADoutLE1 | nWE_FSB | A_FSB<20> | SW<1> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 3 | 7 | 3 | 5 | 13 | 0 | 17 +INPUTP | 9 | 36 | 29 | 24 | 47 | 28 | 97 | 19 | 18 | 30 +EXPORTS | 1 | 7 | 8 +EQ | 10 | + EXP34_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & + SW<1> & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1 + +MACROCELL | 7 | 10 | EXP35_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 11 +INPUTS | 7 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | iobs/BERR | fsb/BERR0r | fsb/BERR1r +INPUTMC | 3 | 7 | 15 | 2 | 11 | 0 | 16 +INPUTP | 4 | 36 | 30 | 29 | 28 +EXPORTS | 1 | 7 | 11 +EQ | 2 | + EXP35_.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & + !iobs/BERR & !fsb/BERR0r & !fsb/BERR1r + +MACROCELL | 7 | 12 | EXP36_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 13 +INPUTS | 10 | nAS_FSB | fsb/ASrf | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB<20> | nBERR_FSB_OBUF.EXP +INPUTMC | 5 | 0 | 5 | 7 | 3 | 3 | 12 | 0 | 17 | 7 | 11 +INPUTP | 5 | 54 | 36 | 30 | 29 | 28 +EXPORTS | 1 | 7 | 13 +IMPORTS | 1 | 7 | 11 +EQ | 10 | + EXP36_.EXP = nAS_FSB & !fsb/ASrf + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<20> +;Imported pterms FB8_12 + # !A_FSB<23> & !A_FSB<20> & SW<1> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 + +MACROCELL | 7 | 16 | EXP37_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 17 +INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<19> | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<20> | SW<1> +INPUTMC | 1 | 5 | 13 +INPUTP | 8 | 36 | 29 | 26 | 24 | 23 | 22 | 28 | 97 +EXPORTS | 1 | 7 | 17 +EQ | 5 | + EXP37_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & nADoutLE1 + # !A_FSB<23> & !A_FSB<20> & SW<1> & nADoutLE1 + +PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 8 | 2 | 15 | 6 | 6 | 2 | 8 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 11 | 2 | 16 +PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 10 | 2 | 15 | 6 | 4 | 2 | 8 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 11 | 2 | 14 | 2 | 16 +PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 10 | 2 | 15 | 4 | 10 | 2 | 8 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 11 | 2 | 14 | 2 | 16 +PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 18 | 2 | 16 | 7 | 17 | 2 | 13 | 2 | 15 | 4 | 8 | 7 | 11 | 2 | 8 | 4 | 13 | 2 | 14 | 7 | 4 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 11 | 2 | 12 | 7 | 9 +PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 20 | 2 | 16 | 7 | 17 | 2 | 13 | 2 | 15 | 4 | 10 | 7 | 11 | 2 | 8 | 4 | 13 | 4 | 8 | 7 | 4 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 12 | 2 | 14 | 7 | 9 +PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 10 | 2 | 15 | 2 | 16 | 2 | 8 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 11 | 2 | 14 | 4 | 14 +PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 10 | 2 | 15 | 6 | 1 | 2 | 8 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 11 | 2 | 14 | 2 | 16 +PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 10 | 2 | 15 | 6 | 5 | 2 | 8 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 11 | 2 | 14 | 2 | 16 +PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 58 | 4 | 9 | 4 | 6 | 7 | 17 | 2 | 12 | 2 | 11 | 2 | 3 | 2 | 13 | 2 | 15 | 4 | 1 | 7 | 13 | 4 | 2 | 2 | 8 | 3 | 17 | 4 | 17 | 6 | 6 | 6 | 3 | 7 | 3 | 4 | 12 | 7 | 8 | 6 | 17 | 6 | 14 | 6 | 7 | 7 | 10 | 3 | 5 | 7 | 2 | 2 | 1 | 2 | 2 | 2 | 5 | 2 | 6 | 2 | 7 | 2 | 9 | 2 | 14 | 2 | 16 | 2 | 17 | 3 | 0 | 3 | 16 | 4 | 5 | 4 | 7 | 4 | 8 | 4 | 11 | 4 | 13 | 4 | 15 | 4 | 16 | 6 | 0 | 6 | 2 | 6 | 4 | 6 | 10 | 6 | 13 | 6 | 15 | 7 | 0 | 7 | 4 | 7 | 5 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 16 +PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 54 | 4 | 9 | 4 | 6 | 7 | 17 | 2 | 12 | 2 | 11 | 2 | 3 | 2 | 13 | 2 | 15 | 4 | 1 | 7 | 12 | 4 | 2 | 2 | 8 | 3 | 17 | 4 | 16 | 6 | 6 | 6 | 3 | 7 | 3 | 4 | 12 | 7 | 8 | 6 | 16 | 6 | 13 | 6 | 7 | 7 | 10 | 3 | 5 | 7 | 2 | 2 | 1 | 2 | 2 | 2 | 5 | 2 | 6 | 2 | 7 | 2 | 9 | 2 | 14 | 2 | 16 | 2 | 17 | 3 | 0 | 3 | 16 | 4 | 0 | 4 | 5 | 4 | 8 | 4 | 11 | 4 | 13 | 4 | 15 | 6 | 0 | 6 | 1 | 6 | 2 | 6 | 4 | 6 | 10 | 6 | 15 | 7 | 0 | 7 | 4 | 7 | 5 | 7 | 7 | 7 | 9 | 7 | 11 +PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 51 | 4 | 9 | 4 | 5 | 7 | 17 | 2 | 12 | 2 | 11 | 2 | 3 | 2 | 13 | 2 | 15 | 4 | 1 | 7 | 13 | 4 | 0 | 2 | 8 | 3 | 0 | 4 | 16 | 6 | 6 | 6 | 3 | 7 | 2 | 4 | 11 | 7 | 7 | 6 | 16 | 6 | 13 | 6 | 7 | 7 | 10 | 3 | 5 | 7 | 0 | 6 | 8 | 2 | 1 | 2 | 2 | 2 | 5 | 2 | 7 | 2 | 9 | 2 | 10 | 2 | 14 | 2 | 16 | 2 | 17 | 4 | 7 | 4 | 8 | 4 | 13 | 4 | 15 | 6 | 0 | 6 | 2 | 6 | 4 | 6 | 10 | 6 | 15 | 7 | 4 | 7 | 5 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 16 +PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 32 | 4 | 5 | 7 | 17 | 2 | 12 | 2 | 11 | 2 | 13 | 2 | 15 | 2 | 0 | 7 | 12 | 2 | 8 | 7 | 2 | 4 | 11 | 7 | 7 | 4 | 13 | 7 | 10 | 3 | 5 | 4 | 1 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 7 | 2 | 9 | 2 | 14 | 2 | 16 | 2 | 17 | 4 | 8 | 6 | 11 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 16 +PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 21 | 2 | 16 | 7 | 16 | 2 | 13 | 2 | 15 | 7 | 1 | 6 | 11 | 2 | 8 | 7 | 2 | 4 | 11 | 7 | 7 | 4 | 7 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 12 | 2 | 14 | 7 | 14 +PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 20 | 2 | 16 | 7 | 16 | 2 | 13 | 2 | 15 | 6 | 7 | 7 | 9 | 2 | 8 | 4 | 13 | 4 | 7 | 7 | 4 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 12 | 2 | 14 | 7 | 14 +PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 20 | 2 | 16 | 7 | 16 | 2 | 13 | 2 | 15 | 6 | 4 | 4 | 7 | 2 | 8 | 7 | 2 | 4 | 11 | 7 | 7 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 12 | 2 | 14 | 7 | 14 +PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 20 | 2 | 16 | 7 | 16 | 2 | 13 | 2 | 15 | 4 | 14 | 4 | 7 | 2 | 8 | 7 | 2 | 4 | 11 | 7 | 7 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 12 | 2 | 14 | 7 | 14 +PIN | CLK2X_IOB | 4096 | 0 | N/A | 33 | 31 | 5 | 1 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 17 | 1 | 15 | 5 | 0 | 5 | 15 | 5 | 6 | 1 | 16 | 1 | 14 | 1 | 12 | 1 | 10 | 0 | 2 | 1 | 7 | 3 | 4 | 3 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 9 | 0 | 4 | 1 | 13 | 0 | 3 | 1 | 8 | 5 | 16 +PIN | nWE_FSB | 64 | 0 | N/A | 47 | 22 | 2 | 14 | 7 | 17 | 2 | 13 | 2 | 15 | 7 | 6 | 7 | 13 | 2 | 8 | 7 | 1 | 4 | 7 | 7 | 4 | 4 | 4 | 2 | 16 | 3 | 5 | 7 | 5 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 7 | 2 | 9 | 2 | 12 | 4 | 13 | 7 | 9 +PIN | CLK_FSB | 16384 | 0 | N/A | 42 | 57 | 3 | 13 | 4 | 9 | 4 | 6 | 0 | 17 | 7 | 15 | 7 | 17 | 2 | 12 | 3 | 15 | 6 | 12 | 6 | 6 | 2 | 11 | 0 | 16 | 2 | 3 | 2 | 14 | 2 | 15 | 2 | 0 | 4 | 3 | 0 | 14 | 7 | 13 | 3 | 16 | 3 | 14 | 0 | 13 | 4 | 2 | 2 | 8 | 3 | 17 | 4 | 17 | 6 | 9 | 6 | 3 | 7 | 3 | 3 | 7 | 3 | 6 | 3 | 12 | 1 | 17 | 3 | 3 | 0 | 6 | 3 | 9 | 1 | 6 | 3 | 11 | 3 | 8 | 4 | 12 | 0 | 1 | 0 | 9 | 0 | 8 | 0 | 11 | 0 | 7 | 0 | 0 | 7 | 6 | 0 | 10 | 3 | 2 | 3 | 0 | 7 | 8 | 6 | 17 | 6 | 14 | 7 | 14 | 5 | 13 | 0 | 5 | 4 | 4 +PIN | nAS_FSB | 64 | 0 | N/A | 54 | 48 | 4 | 9 | 4 | 6 | 0 | 17 | 7 | 15 | 6 | 16 | 2 | 12 | 3 | 15 | 6 | 12 | 6 | 6 | 2 | 11 | 0 | 16 | 0 | 12 | 2 | 14 | 2 | 4 | 7 | 12 | 3 | 16 | 4 | 2 | 2 | 5 | 3 | 17 | 4 | 17 | 6 | 9 | 6 | 3 | 7 | 3 | 4 | 12 | 0 | 1 | 0 | 5 | 7 | 8 | 6 | 17 | 6 | 14 | 7 | 11 | 4 | 4 | 2 | 16 | 3 | 10 | 3 | 5 | 7 | 0 | 7 | 5 | 7 | 6 | 3 | 0 | 4 | 0 | 4 | 1 | 4 | 15 | 4 | 16 | 6 | 0 | 6 | 2 | 6 | 8 | 6 | 10 | 6 | 13 | 6 | 15 +PIN | SW<1> | 64 | 0 | N/A | 97 | 11 | 2 | 9 | 7 | 16 | 2 | 13 | 4 | 1 | 7 | 11 | 2 | 1 | 4 | 13 | 4 | 8 | 7 | 4 | 3 | 5 | 7 | 9 +PIN | nRES | 64 | 0 | N/A | 145 | 4 | 2 | 12 | 0 | 9 | 0 | 3 | 0 | 2 +PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 4 | 3 | 0 | 14 | 7 | 5 +PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 3 | 14 | 0 | 13 | 7 | 6 +PIN | CLK_IOB | 8256 | 0 | N/A | 35 | 8 | 5 | 2 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 0 | 5 | 14 | 5 | 16 | 1 | 11 +PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 4 | 5 | 17 | 0 | 4 | 1 | 16 | 5 | 0 +PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 0 | 11 +PIN | nBG_IOB | 64 | 0 | N/A | 117 | 1 | 1 | 15 +PIN | E_IOB | 64 | 0 | N/A | 37 | 1 | 1 | 11 +PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 2 | 1 | 13 | 1 | 12 +PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 2 | 1 | 8 | 1 | 7 +PIN | A_FSB<1> | 64 | 0 | N/A | 149 | 1 | 6 | 5 +PIN | A_FSB<2> | 64 | 0 | N/A | 153 | 1 | 6 | 1 +PIN | A_FSB<3> | 64 | 0 | N/A | 155 | 1 | 4 | 14 +PIN | A_FSB<4> | 64 | 0 | N/A | 157 | 1 | 4 | 10 +PIN | A_FSB<5> | 64 | 0 | N/A | 4 | 1 | 4 | 8 +PIN | A_FSB<6> | 64 | 0 | N/A | 6 | 1 | 4 | 10 +PIN | A_FSB<7> | 64 | 0 | N/A | 8 | 1 | 4 | 14 +PIN | SW<0> | 64 | 0 | N/A | 98 | 2 | 6 | 12 | 6 | 11 +PIN | nVMA_IOB | 536871040 | 0 | N/A | 118 +PIN | nAS_IOB | 536871040 | 0 | N/A | 135 +PIN | nLDS_IOB | 536871040 | 0 | N/A | 130 +PIN | nUDS_IOB | 536871040 | 0 | N/A | 134 +PIN | nDTACK_FSB | 536871040 | 0 | N/A | 44 +PIN | RA<0> | 536871040 | 0 | N/A | 86 +PIN | RA<1> | 536871040 | 0 | N/A | 79 +PIN | RA<2> | 536871040 | 0 | N/A | 69 +PIN | RA<3> | 536871040 | 0 | N/A | 64 +PIN | RA<4> | 536871040 | 0 | N/A | 63 +PIN | RA<5> | 536871040 | 0 | N/A | 68 +PIN | RA<6> | 536871040 | 0 | N/A | 72 +PIN | RA<7> | 536871040 | 0 | N/A | 82 +PIN | RA<8> | 536871040 | 0 | N/A | 88 +PIN | RA<9> | 536871040 | 0 | N/A | 92 +PIN | nBERR_FSB | 536871040 | 0 | N/A | 112 +PIN | nOE | 536871040 | 0 | N/A | 60 +PIN | nROMWE | 536871040 | 0 | N/A | 57 +PIN | nVPA_FSB | 536871040 | 0 | N/A | 147 +PIN | nDinLE | 536871040 | 0 | N/A | 140 +PIN | nDoutOE | 536871040 | 0 | N/A | 143 +PIN | nADoutLE0 | 536871040 | 0 | N/A | 139 +PIN | nDinOE | 536871040 | 0 | N/A | 144 +PIN | nRAS | 536871040 | 0 | N/A | 103 +PIN | nCAS | 536871040 | 0 | N/A | 59 +PIN | RA<11> | 536871040 | 0 | N/A | 102 +PIN | RA<10> | 536871040 | 0 | N/A | 90 +PIN | CLK20EN | 536871040 | 0 | N/A | 96 +PIN | CLK25EN | 536871040 | 0 | N/A | 95 +PIN | nBR_IOB | 536871040 | 0 | N/A | 116 +PIN | nADoutLE1 | 536871040 | 0 | N/A | 136 +PIN | nAoutOE | 536871040 | 0 | N/A | 141 +PIN | nRAMLWE | 536871040 | 0 | N/A | 104 +PIN | nRAMUWE | 536871040 | 0 | N/A | 105 +PIN | nROMCS | 536871040 | 0 | N/A | 58 diff --git a/cpld/XC95144XL/WarpSE.mod b/cpld/XC95144XL/WarpSE.mod new file mode 100644 index 0000000..868140c --- /dev/null +++ b/cpld/XC95144XL/WarpSE.mod @@ -0,0 +1,233 @@ +MODEL +MODEL_VERSION "v1998.8"; +DESIGN "WarpSE"; + +/* port names and type */ +INPUT S:PIN7 = A_FSB<9>; +INPUT S:PIN6 = A_FSB<8>; +INPUT S:PIN13 = A_FSB<15>; +INPUT S:PIN12 = A_FSB<14>; +INPUT S:PIN11 = A_FSB<13>; +INPUT S:PIN10 = A_FSB<12>; +INPUT S:PIN9 = A_FSB<11>; +INPUT S:PIN8 = A_FSB<10>; +INPUT S:PIN24 = A_FSB<23>; +INPUT S:PIN20 = A_FSB<22>; +INPUT S:PIN19 = A_FSB<21>; +INPUT S:PIN18 = A_FSB<20>; +INPUT S:PIN17 = A_FSB<19>; +INPUT S:PIN16 = A_FSB<18>; +INPUT S:PIN15 = A_FSB<17>; +INPUT S:PIN14 = A_FSB<16>; +INPUT S:PIN22 = CLK2X_IOB; +INPUT S:PIN27 = CLK_FSB; +INPUT S:PIN32 = nAS_FSB; +INPUT S:PIN29 = nWE_FSB; +INPUT S:PIN60 = SW<1>; +INPUT S:PIN91 = nRES; +INPUT S:PIN30 = nLDS_FSB; +INPUT S:PIN33 = nUDS_FSB; +INPUT S:PIN23 = CLK_IOB; +INPUT S:PIN76 = nBERR_IOB; +INPUT S:PIN25 = E_IOB; +INPUT S:PIN92 = nIPL2; +INPUT S:PIN73 = nBG_IOB; +INPUT S:PIN78 = nDTACK_IOB; +INPUT S:PIN77 = nVPA_IOB; +INPUT S:PIN94 = A_FSB<1>; +INPUT S:PIN95 = A_FSB<2>; +INPUT S:PIN96 = A_FSB<3>; +INPUT S:PIN97 = A_FSB<4>; +INPUT S:PIN2 = A_FSB<5>; +INPUT S:PIN3 = A_FSB<6>; +INPUT S:PIN4 = A_FSB<7>; +INPUT S:PIN61 = SW<0>; +TRIOUT S:PIN74 = nVMA_IOB; +TRIOUT S:PIN81 = nAS_IOB; +TRIOUT S:PIN79 = nLDS_IOB; +TRIOUT S:PIN80 = nUDS_IOB; +OUTPUT S:PIN28 = nDTACK_FSB; +OUTPUT S:PIN53 = RA<0>; +OUTPUT S:PIN50 = RA<1>; +OUTPUT S:PIN43 = RA<2>; +OUTPUT S:PIN41 = RA<3>; +OUTPUT S:PIN40 = RA<4>; +OUTPUT S:PIN42 = RA<5>; +OUTPUT S:PIN46 = RA<6>; +OUTPUT S:PIN52 = RA<7>; +OUTPUT S:PIN54 = RA<8>; +OUTPUT S:PIN56 = RA<9>; +OUTPUT S:PIN70 = nBERR_FSB; +OUTPUT S:PIN37 = nOE; +OUTPUT S:PIN34 = nROMWE; +OUTPUT S:PIN93 = nVPA_FSB; +OUTPUT S:PIN85 = nADoutLE0; +OUTPUT S:PIN36 = nCAS; +OUTPUT S:PIN86 = nDinLE; +OUTPUT S:PIN90 = nDinOE; +OUTPUT S:PIN89 = nDoutOE; +OUTPUT S:PIN64 = nRAS; +OUTPUT S:PIN63 = RA<11>; +OUTPUT S:PIN55 = RA<10>; +OUTPUT S:PIN59 = CLK20EN; +OUTPUT S:PIN58 = CLK25EN; +OUTPUT S:PIN72 = nBR_IOB; +OUTPUT S:PIN82 = nADoutLE1; +OUTPUT S:PIN87 = nAoutOE; +OUTPUT S:PIN65 = nRAMLWE; +OUTPUT S:PIN66 = nRAMUWE; +OUTPUT S:PIN35 = nROMCS; + +/* timing arc definitions */ +SW<0>_CLK20EN_delay: DELAY SW<0> CLK20EN; +SW<0>_CLK25EN_delay: DELAY SW<0> CLK25EN; +A_FSB<1>_RA<0>_delay: DELAY A_FSB<1> RA<0>; +A_FSB<10>_RA<0>_delay: DELAY A_FSB<10> RA<0>; +A_FSB<21>_RA<10>_delay: DELAY A_FSB<21> RA<10>; +A_FSB<19>_RA<11>_delay: DELAY A_FSB<19> RA<11>; +A_FSB<11>_RA<1>_delay: DELAY A_FSB<11> RA<1>; +A_FSB<2>_RA<1>_delay: DELAY A_FSB<2> RA<1>; +A_FSB<3>_RA<2>_delay: DELAY A_FSB<3> RA<2>; +A_FSB<12>_RA<2>_delay: DELAY A_FSB<12> RA<2>; +A_FSB<4>_RA<3>_delay: DELAY A_FSB<4> RA<3>; +A_FSB<13>_RA<3>_delay: DELAY A_FSB<13> RA<3>; +A_FSB<5>_RA<4>_delay: DELAY A_FSB<5> RA<4>; +A_FSB<14>_RA<4>_delay: DELAY A_FSB<14> RA<4>; +A_FSB<6>_RA<5>_delay: DELAY A_FSB<6> RA<5>; +A_FSB<15>_RA<5>_delay: DELAY A_FSB<15> RA<5>; +A_FSB<7>_RA<6>_delay: DELAY A_FSB<7> RA<6>; +A_FSB<16>_RA<6>_delay: DELAY A_FSB<16> RA<6>; +A_FSB<17>_RA<7>_delay: DELAY A_FSB<17> RA<7>; +A_FSB<8>_RA<7>_delay: DELAY A_FSB<8> RA<7>; +A_FSB<21>_RA<8>_delay: DELAY A_FSB<21> RA<8>; +A_FSB<9>_RA<8>_delay: DELAY A_FSB<9> RA<8>; +A_FSB<23>_RA<8>_delay: DELAY A_FSB<23> RA<8>; +A_FSB<22>_RA<8>_delay: DELAY A_FSB<22> RA<8>; +A_FSB<18>_RA<8>_delay: DELAY A_FSB<18> RA<8>; +A_FSB<20>_RA<9>_delay: DELAY A_FSB<20> RA<9>; +A_FSB<19>_RA<9>_delay: DELAY A_FSB<19> RA<9>; +nAS_FSB_nBERR_FSB_delay: DELAY nAS_FSB nBERR_FSB; +A_FSB<20>_nBERR_FSB_delay: DELAY A_FSB<20> nBERR_FSB; +A_FSB<22>_nBERR_FSB_delay: DELAY A_FSB<22> nBERR_FSB; +A_FSB<23>_nBERR_FSB_delay: DELAY A_FSB<23> nBERR_FSB; +A_FSB<21>_nBERR_FSB_delay: DELAY A_FSB<21> nBERR_FSB; +A_FSB<20>_nDinOE_delay: DELAY A_FSB<20> nDinOE; +A_FSB<23>_nDinOE_delay: DELAY A_FSB<23> nDinOE; +A_FSB<22>_nDinOE_delay: DELAY A_FSB<22> nDinOE; +A_FSB<21>_nDinOE_delay: DELAY A_FSB<21> nDinOE; +nAS_FSB_nDinOE_delay: DELAY nAS_FSB nDinOE; +nWE_FSB_nDinOE_delay: DELAY nWE_FSB nDinOE; +SW<1>_nDinOE_delay: DELAY SW<1> nDinOE; +nAS_FSB_nOE_delay: DELAY nAS_FSB nOE; +nWE_FSB_nOE_delay: DELAY nWE_FSB nOE; +nLDS_FSB_nRAMLWE_delay: DELAY nLDS_FSB nRAMLWE; +nWE_FSB_nRAMLWE_delay: DELAY nWE_FSB nRAMLWE; +nAS_FSB_nRAMLWE_delay: DELAY nAS_FSB nRAMLWE; +nWE_FSB_nRAMUWE_delay: DELAY nWE_FSB nRAMUWE; +nUDS_FSB_nRAMUWE_delay: DELAY nUDS_FSB nRAMUWE; +nAS_FSB_nRAMUWE_delay: DELAY nAS_FSB nRAMUWE; +A_FSB<23>_nRAS_delay: DELAY A_FSB<23> nRAS; +nAS_FSB_nRAS_delay: DELAY nAS_FSB nRAS; +A_FSB<21>_nRAS_delay: DELAY A_FSB<21> nRAS; +A_FSB<22>_nRAS_delay: DELAY A_FSB<22> nRAS; +A_FSB<22>_nROMCS_delay: DELAY A_FSB<22> nROMCS; +A_FSB<23>_nROMCS_delay: DELAY A_FSB<23> nROMCS; +SW<1>_nROMCS_delay: DELAY SW<1> nROMCS; +A_FSB<20>_nROMCS_delay: DELAY A_FSB<20> nROMCS; +A_FSB<21>_nROMCS_delay: DELAY A_FSB<21> nROMCS; +nAS_FSB_nROMWE_delay: DELAY nAS_FSB nROMWE; +nWE_FSB_nROMWE_delay: DELAY nWE_FSB nROMWE; +nAS_FSB_nVPA_FSB_delay: DELAY nAS_FSB nVPA_FSB; +CLK_FSB_nDTACK_FSB_delay: DELAY CLK_FSB nDTACK_FSB; +CLK_FSB_RA<0>_delay: DELAY CLK_FSB RA<0>; +CLK_FSB_RA<1>_delay: DELAY CLK_FSB RA<1>; +CLK_FSB_RA<2>_delay: DELAY CLK_FSB RA<2>; +CLK_FSB_RA<3>_delay: DELAY CLK_FSB RA<3>; +CLK_FSB_RA<4>_delay: DELAY CLK_FSB RA<4>; +CLK_FSB_RA<5>_delay: DELAY CLK_FSB RA<5>; +CLK_FSB_RA<6>_delay: DELAY CLK_FSB RA<6>; +CLK_FSB_RA<7>_delay: DELAY CLK_FSB RA<7>; +CLK_FSB_RA<8>_delay: DELAY CLK_FSB RA<8>; +CLK_FSB_RA<9>_delay: DELAY CLK_FSB RA<9>; +CLK_FSB_nBERR_FSB_delay: DELAY CLK_FSB nBERR_FSB; +CLK_FSB_nVPA_FSB_delay: DELAY CLK_FSB nVPA_FSB; +CLK_FSB_nADoutLE0_delay: DELAY CLK_FSB nADoutLE0; +CLK_FSB_nCAS_delay: DELAY CLK_FSB nCAS; +CLK_FSB_nRAS_delay: DELAY CLK_FSB nRAS; +CLK_FSB_nBR_IOB_delay: DELAY CLK_FSB nBR_IOB; +CLK_FSB_nADoutLE1_delay: DELAY CLK_FSB nADoutLE1; +CLK_FSB_nRAMLWE_delay: DELAY CLK_FSB nRAMLWE; +CLK_FSB_nRAMUWE_delay: DELAY CLK_FSB nRAMUWE; +CLK_FSB_nROMCS_delay: DELAY CLK_FSB nROMCS; +CLK2X_IOB_nVMA_IOB_delay: DELAY (ENABLE_HIGH) CLK2X_IOB nVMA_IOB; +CLK2X_IOB_nAS_IOB_delay: DELAY (ENABLE_HIGH) CLK2X_IOB nAS_IOB; +CLK2X_IOB_nLDS_IOB_delay: DELAY (ENABLE_HIGH) CLK2X_IOB nLDS_IOB; +CLK2X_IOB_nUDS_IOB_delay: DELAY (ENABLE_HIGH) CLK2X_IOB nUDS_IOB; +CLK2X_IOB_nADoutLE0_delay: DELAY CLK2X_IOB nADoutLE0; +CLK2X_IOB_nDinLE_delay: DELAY CLK2X_IOB nDinLE; +CLK2X_IOB_nDoutOE_delay: DELAY CLK2X_IOB nDoutOE; +CLK2X_IOB_nAoutOE_delay: DELAY CLK2X_IOB nAoutOE; + +/* timing check arc definitions */ +E_IOB_CLK_IOB_setup: SETUP(POSEDGE) E_IOB CLK_IOB; +E_IOB_CLK_IOB_hold: HOLD(POSEDGE) E_IOB CLK_IOB; +A_FSB<10>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<10> CLK_FSB; +A_FSB<11>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<11> CLK_FSB; +A_FSB<12>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<12> CLK_FSB; +A_FSB<13>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<13> CLK_FSB; +A_FSB<14>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<14> CLK_FSB; +A_FSB<15>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<15> CLK_FSB; +A_FSB<16>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<16> CLK_FSB; +A_FSB<17>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<17> CLK_FSB; +A_FSB<18>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<18> CLK_FSB; +A_FSB<19>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<19> CLK_FSB; +A_FSB<20>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<20> CLK_FSB; +A_FSB<21>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<21> CLK_FSB; +A_FSB<22>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<22> CLK_FSB; +A_FSB<23>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<23> CLK_FSB; +A_FSB<8>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<8> CLK_FSB; +A_FSB<9>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<9> CLK_FSB; +SW<1>_CLK_FSB_setup: SETUP(POSEDGE) SW<1> CLK_FSB; +nAS_FSB_CLK_FSB_setup: SETUP(POSEDGE) nAS_FSB CLK_FSB; +nIPL2_CLK_FSB_setup: SETUP(POSEDGE) nIPL2 CLK_FSB; +nLDS_FSB_CLK_FSB_setup: SETUP(POSEDGE) nLDS_FSB CLK_FSB; +nRES_CLK_FSB_setup: SETUP(POSEDGE) nRES CLK_FSB; +nUDS_FSB_CLK_FSB_setup: SETUP(POSEDGE) nUDS_FSB CLK_FSB; +nWE_FSB_CLK_FSB_setup: SETUP(POSEDGE) nWE_FSB CLK_FSB; +A_FSB<10>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<10> CLK_FSB; +A_FSB<11>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<11> CLK_FSB; +A_FSB<12>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<12> CLK_FSB; +A_FSB<13>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<13> CLK_FSB; +A_FSB<14>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<14> CLK_FSB; +A_FSB<15>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<15> CLK_FSB; +A_FSB<16>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<16> CLK_FSB; +A_FSB<17>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<17> CLK_FSB; +A_FSB<18>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<18> CLK_FSB; +A_FSB<19>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<19> CLK_FSB; +A_FSB<20>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<20> CLK_FSB; +A_FSB<21>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<21> CLK_FSB; +A_FSB<22>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<22> CLK_FSB; +A_FSB<23>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<23> CLK_FSB; +A_FSB<8>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<8> CLK_FSB; +A_FSB<9>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<9> CLK_FSB; +SW<1>_CLK_FSB_hold: HOLD(POSEDGE) SW<1> CLK_FSB; +nAS_FSB_CLK_FSB_hold: HOLD(POSEDGE) nAS_FSB CLK_FSB; +nIPL2_CLK_FSB_hold: HOLD(POSEDGE) nIPL2 CLK_FSB; +nLDS_FSB_CLK_FSB_hold: HOLD(POSEDGE) nLDS_FSB CLK_FSB; +nRES_CLK_FSB_hold: HOLD(POSEDGE) nRES CLK_FSB; +nUDS_FSB_CLK_FSB_hold: HOLD(POSEDGE) nUDS_FSB CLK_FSB; +nWE_FSB_CLK_FSB_hold: HOLD(POSEDGE) nWE_FSB CLK_FSB; +CLK_IOB_CLK2X_IOB_setup: SETUP(POSEDGE) CLK_IOB CLK2X_IOB; +nBERR_IOB_CLK2X_IOB_setup: SETUP(POSEDGE) nBERR_IOB CLK2X_IOB; +nBG_IOB_CLK2X_IOB_setup: SETUP(POSEDGE) nBG_IOB CLK2X_IOB; +nDTACK_IOB_CLK2X_IOB_setup: SETUP(POSEDGE) nDTACK_IOB CLK2X_IOB; +nRES_CLK2X_IOB_setup: SETUP(POSEDGE) nRES CLK2X_IOB; +nVPA_IOB_CLK2X_IOB_setup: SETUP(POSEDGE) nVPA_IOB CLK2X_IOB; +CLK_IOB_CLK2X_IOB_hold: HOLD(POSEDGE) CLK_IOB CLK2X_IOB; +nBERR_IOB_CLK2X_IOB_hold: HOLD(POSEDGE) nBERR_IOB CLK2X_IOB; +nBG_IOB_CLK2X_IOB_hold: HOLD(POSEDGE) nBG_IOB CLK2X_IOB; +nDTACK_IOB_CLK2X_IOB_hold: HOLD(POSEDGE) nDTACK_IOB CLK2X_IOB; +nRES_CLK2X_IOB_hold: HOLD(POSEDGE) nRES CLK2X_IOB; +nVPA_IOB_CLK2X_IOB_hold: HOLD(POSEDGE) nVPA_IOB CLK2X_IOB; + +ENDMODEL diff --git a/cpld/XC95144XL/WarpSE.nga b/cpld/XC95144XL/WarpSE.nga new file mode 100644 index 0000000..2e656dd --- /dev/null +++ b/cpld/XC95144XL/WarpSE.nga @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$75747<,Fz_t)HW_EA'1<7)L880(B~[x%D[[AE#=0;%H.E025>"Hx]r/JUQKC%7:5+W6;2.D|Yv+FY]GG!3>9'_BA85+Rdt'3>.7290;%k5'0;294,&/83:1<$??;)3345<689:1==>?)018,470890:=:>?:03445/682":;=>51623>4178 ;;7%?70182<56=91:;%<>4(3:34?4?89094=>&119+75672::;<7=?01+15>.489:1?=>?:2234,&/;<:;6>;?0;1645/682"89=>53423>6378 n0$9>?:523>167!8:0$;>?0;4345<189:"==5'7123>26783=;<='>0:*:456=19:;64>?0(3844=6:2;;>6?>2:3157=6:3E^X][[:SC?54<76;1:??5>439217=6>;1:;?5>8392=4=5:28;>6<=2:0051=5;3CE\XZ5A^DPF94428497?:=;371?7153;297?7i;38JJUSS2Z]7>7>11:11?6653:897>==;261?6353:<97>9>;508054<<8808?<44208034<=9809?<45208114<=<809;<459081<7<>;1=17:2=9>69<2:576>11:2=<>6972:5:5>>531:975?=;901?=3531<9759=;9;2?<4<19805<<49308=64<1=8058<49708=25e9B[CUE48:5"]OK9:C\BVD;984o7LQISC>25;(WIM30MRH\B=31:a=FWOYI0<<1.QCG=>GXNZH7=>0k;@]EWG:6;7$[MI74A^DPF9736m1JSK]M<06=*UGC12KTJ^L3147?,SEA?e9B[CUE4825"]OK9:C\BVD;904o7LQISC>2=;(WIM20MRH\B=3=g>GXNZH7=3 _AE;8EZ@TJ58;2i5N_GQA8769&YKO56OPFR@?648c3HUM_O2=1?,SEA?e9B[CUE4;85"]OKb:C\BVD;::0:245N_GQA8759l2KTJ^L322<-TDB?3HUM_O2=>b9B[CUE4;4%\LJ7;@]EWG:46j1JSK]M<2<-TDB?3HUM_O2;>b9B[CUE4=4%\LJ7;@]EWG:26j1JSK]M<4<-TDB?3HUM_O29>b9B[CUE4?4%\LJ7;@]EWG:06j1JSK]M<6<-TDB?3HUM_O27>b9B[CUE414%\LJ7;@]EWG:>6j1JSK]M<8<-TDBc3HUM_OQ>0^KAQCb_H@VBa=FWOYIS<GXNZHT=>QFBTDg?DYA[KU:8RGMUGf8EZ@TJV;>SDLZFe9B[CUEW8UBNXHk;@]EWGY60VCIYKj4A^DPFZ7>W@H^J<<4A^DPFZ7>W@H^J)LZF137?DYA[KU:5RGMUG&AQC6)L8?0MRH\B^3:[LDRN-H^J= K1078EZ@TJV;2SDLZF%@VB5(C:8<0MRH\B^3:[LDRN-H^J= JXQ3a?DYA[KU:5RGMUG&AQC6)MQZT\YQ?1c9B[CUEW83TEO[I$CWE4+C_XVZ_S!EYR\pvojzldjahjPndv\51=FWOYIS<7PICWE GSA8'[h7LQISC]2[LDRNm1JSK]M_32\MGSAl2KTJ^LP20]JFP@6:2KTJ^LP20]JFP@#J\L;=95N_GQA[77XAK_M(O[I0/F21>GXNZHT>GXNZHT>!EYR\TQY69k1JSK]M_33\MGSA,K_M<#KWP^RW[77e3HUM_OQ=1^KAQC"E]O:%IU^PPU]062=FWOYIS??PICWE GSA8'OS\Rz|ilpfjdkblVdnxR?;;@]EWGY59VCIYK*MUG2-Ua=FWOYIS?GXNZHT>>QFBTD`?DYA[KU9SDLZFb9B[CUEW:UBNXHl;@]EWGY3W@H^Jn5N_GQA[0YNJ\Lh7LQISC]5[LDRNj1JSK]M_6]JFP@d3HUM_OQ7_H@VBf=FWOYIS4QFBTD0?GSA02H^_RGAFN08G@5EKC;:NB#^NDb9@HN47MGUDNXH>1:AOO76BFVEIYK*MUG227>EKC;:NBRAMUG&AQC6)L8>0OAE=0DL\KGSA,K_M<#J>159@HN47MGUDNXH+BTD3*A46=2IGG?>JN^MAQC"E]O:%IU^>a:AOO76BFVEIYK*MUG2-A]VXX]U;=l5LLJ03AKYHJ\L/NXH?.DZS[URX98k0OAE=0DL\KGSA,K_M<#KWP^RW[77f3JF@>=KA_N@VB!DRN9$NT]Q_T^12e>EKC;:NBRAMUG&AQC6)MQZT\YQ;279@HN47MGUDNXH+BTD3*@^WW}ybakaalgg[kcsW890OAE=0DL\KGSA,K_M<#_8;BNH60CIj2IGG?;JN/RB@f=DDB8>ICQ@BTDf?FJL:EKC;?NBRAMUG,G646UQFOC,SEA743JF@>UQFOC]JFP@(NJF@=95LLJ0[[LIEW@H^J"HLLJ/4?FJLWOYIn6MCK^DPF+VFL8;0OAEPFR@\MGSA'OIGG<<4CMI\BVDXAK_M#KMCK,58GIMXAFHi7NBD_HMA*UGCk2IGGRG@B^KAQC753JF@SDAM_H@VB*@DDB'27NABMHVWAA1EkgjaX|hm7;EcweVvbk<1Oiaov7:Fjwddkmh1NT]?;_/G[Tc=BPY;?S#KWP^RW[5`4^,F\UYW\V?:n6KWP06\*@^WW}ybakaalgg[kcsWh1NT]?:_/G[Tc=BPY;>S#KWP^RW[5`5^,F\UYW\V?:n6KWP07\*@^WW}ybakaalgg[kcsWh1NT]?9_/G[T4dU%IU^i;DZS52Y)MQZT\YQ?f:G[T41X&LR[S]ZP10`8A]V6?V$NT]Q{shoqakgjmmUeiyQn;DZS5=Y)MQZm7HV_19]-A]VXX]U;j6KWP0:\*@^WWY^T=k5JXQ3;[+C_XVZ_S?h4EYR2X&LR[S]ZP50`8A]V60V$NT]Q{shoqakgjmmUeiyQn;DZS5k5JXQ06[+C_XVZ_S>h4EYR11Z(BPYU[XR:i;DZS60Y)MQZT\YQ:1c9F\U42W'OS\Rz|ilpfjdkblVdnxRo4EYR12Z(BPYl0IU^=6^,F\UYW\V:m7HV_27]-A]VXX]U:j6KWP34\*@^WWY^T>k5JXQ05[+C_XVZ_S>?m;DZS63Y)MQZTx~gbrdlbi`bXfl~Tm6KWP35\*@^Wn2OS\?9P.DZS[URX8o1NT]<8_/G[TZVSW8l0IU^=7^,F\UYW\V8m7HV_26]-A]VXX]U8j6KWP35\*@^WWY^T8k5JXQ04[+C_XVZ_S8?m;DZS62Y)MQZTx~gbrdlbi`bXfl~Tm6KWP3:\*@^Wn2OS\?6P.DZS[URX8o1NT]<7_/G[TZVSW8l0IU^=8^,F\UYW\V8m7HV_29]-A]VXX]U8j6KWP3:\*@^WWY^T8k5JXQ0;[+C_XVZ_S8?m;DZS6=Y)MQZTx~gbrdlbi`bXfl~Tm6KWP3;\*@^Wn2OS\?7P.DZS[URX8o1NT]<6_/G[TZVSW8l0IU^=9^,F\UYW\V8m7HV_28]-A]VXX]U8j6KWP3;\*@^WWY^T8>P.DZS[URX9o1NT]=?_/G[TZVSW;l0IU^<0^,F\UYW\V9m7HV_31]-A]VXX]U?=o5JXQ13[+C_XV~xe`|jn`of`Zhb|Vk0IU^<1^,F\U`?P.DZS[URX:o1NT]=>_/G[TZVSW:l0IU^<1^,F\UYW\V>:n6KWP23\*@^WW}ybakaalgg[kcsWh1NT]==_/G[Tc=BPY99S#KWP^RW[5`R JXQ]SPZ7a3LR[??Q!EYR\TQY5n2OS\>Q!EYR\TQY5n2OS\>=P.DZS[URX;o1NT]=<_/G[TZVSW=;i7HV_32]-A]VX|zcf~h`nmdf\j`rXi2OS\>:P.DZSb>C_X:>T"HV__QV\4c=BPY9?S#KWP^RW[4`:P.DZS[URX<8h0IU^<4^,F\UYs{`gyicobee]maqYf3LR[?8Q!EYR2f>C_X:?T"HV__uqjiwciidooSck{_`9F\U51W'OS\k5JXQ15[+C_XVZ_S=h4EYR02Z(BPYU[XR?i;DZS73Y)MQZT\YQ=f:G[T60X&LR[S]ZP3g9F\U51W'OS\R^[_5d8A]V4>V$NT]Q_T^72f>C_X:U%IU^Ptrknv`hfelnTbhzP5:G\MJD>3LUBCO _AEc8AZOHJVCIYK94EeefRdj53OL87J`k2:KM7>OI8:1BB<:4IO330>OI98>0EC?=4:KM562OI9090EC<;;HL141=NF;;?7D@=259JJ7533@D9895FN377?LH5>=1BB?9;;HL1<1=NF;387D@<4:KM7523@ZG>>!D0;8MUJ5z9$O>55FPM0q4+Wf3@ZG>>!RDE5?LVK:{;37D^C2s3-@<=NXE8y=#J>9:KSH7t6&M837D^C2s3-Ud=NXE8y=#\JG99JjqBbdhs87AAL7:NLCLEFDj1Fmga}Vdppmjhd3DcecXjrrklj2=IM]]D^F=4OOG1?JT43F__?6^ND59SEWR03YCEBLCJa:RJJZDR[@NSn6^FN^@VWKGJM=1[me8;Qcqo+EB>2Zj~f K7:Rbvn(C9>1[me!D3:8Tdtl&LR[h6^nrj,F\UYW\V:o7]o}k/G[TZVSW8n0\l|d.DZS[URX:m1[me!EYR\TQY4901[me!EYR\pvojzldjahjPndv\2>Vfzb$Z46^nrj,QAB00?c8VD:687$[MI64R@>25?69>2XJ01:<=UI585"]OK5:PB868>3[K7?3 _AE78VD:3601YM1:1.QCG1>TF4<427_O35?,SEA389QE9>9&YKO96\N<8<:?WG;17$[MI74R@]3[JDRNk1YMR>POCWE*AeTFW9UDNXH!D332?WGX8VEIYK K2^RW[5763[KT3[KT=RAMUG`8VDY6WFH^J#Jl;SC\5ZIE]O$O=n5]A^3\KGSA&M8:=6\N_0]LFP@)L;U[XR>>1:PB[4YHJ\L%H?Q_T^3g?WGX9VEIYK JXQ31?WGX9VEIYK JXQ]SPZ66:2XJSc:PB[7YHJ\L%H?j4R@]1[JDRN'OS\<<4R@]1[JDRN'OS\R^[_131?WGX:VEIYK JXQ]SPZ76:2XJS?Q@BTD-A]VXX]U9=?5]A^0\KGSA&LR[S]ZP3008VDY5WFH^J#KWP^RW[17b3[KT>RAMUG,F\UYs{`gyicobee]maqYe3[KT>RAMUG,R=>TFW:UDNXHm;SC\7ZIE]O$Oo6\N_2]LFP@)L8i0^LQ<_N@VB+B5981YMR=POCWE*A4XX]U;=<5]A^1\KGSA&M8T\YQ>d:PB[6YHJ\L%IU^>2:PB[6YHJ\L%IU^PPU]357=UIV9TCO[I.DZS[URX98o0^LQ<_N@VB+C_XV~xe`|jn`of`Zhb|Vh0^LQ<_N@VB+W>3[KT8RAMUG`8VDY3WFH^J#Jl;SC\0ZIE]O$O=n5]A^6\KGSA&M8:=6\N_5]LFP@)L;U[XR>>1:PB[1YHJ\L%H?Q_T^3g?WGX:i6\N_4]LFP@)MQZTx~gbrdlbi`bXfl~Tn6\N_4]LFP@)Y01YMR8POCWEf>TFW?UDNXH!Db9QEZ0XGK_M"I?l;SC\2ZIE]O$O>TFW>UDNXH!D0a8VDY0WFH^J#J=109QEZ1XGK_M"IXGK_M"\74R@]:[JDRNk1YMR7POCWE*AeTFW0UDNXH!D3f8VDY>WFH^J#KWP008VDY>WFH^J#KWP^RW[5753[KT5RAMUG,F\UYW\V;:>6\N_8]LFP@)MQZT\YQ=139QEZ?XGK_M"HV__QV\744TB[Meei#J=b:PFWAiim'OS\TB[{:<7_K\r1,G<>TB[{:%H<64RDQq4+B5?2XN_>!Q89QAVt7&[OL96\JSs34?WCTz8$O46\JSs3-@4>.SGD1>TB[{8<7_K\r3,G<>TB[{8%H<64RDQq6+B5?2XN_STM[U]E^GMLD;8RLCPW]S[I>5Ycb48Sdtw[Lk0[l|SD,mcd3<_`nd?m4XB;6512_D$;;!Y_>01"+Wucbl)Lb`h4/Vdppmjh';':"86VKMM3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH18\JT13QniSDjm;Yfk[Utne@d}o6Vkh^RqmhR~xll0TifPPsknR`ttafd37Ujg_Uknaa=_laU_e`kLhdah`>^c`V^bahJjl`{24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf=1QI_Ak;blw+WcaKg~7<3h4cov,V``Df}6;2#J>0:amp*TbnJd0=0!D033?fhs'[omOcz30?,G6c=df}%YikMat=2=*T763jd#_kiCov?4;(UMN;n7n`{/SgeGkr;87UdclrdcwaaYg{Uo7n`{/SgeGkr;97l0ocz Rdd@jq:66'N:<6mat.PfbFhs484%H1:+B682iex"\jfBlw878)L8;;7n`{/SgeGkr;:7$O>k5lnu-QacEi|585"\?>;blw+WcaKg~7>3 ]EF3f?fhs'[omOcz32?]wwlkdzlkiiQwos]g?fhs'[omOcz33?d8gkr(ZllHby2<>/F24>ei|&XnjN`{<2<-@4773jd#_kiCov?7;(C:o1hby!]egAmp959&X;:7n`{/SgeGkr;;7$YIJ?j;blw+WcaKg~7?3Q{sho`v`gsmmUscQk;blw+WcaKg~783h4cov,V``Df}6?2#J>0:amp*TbnJd090!D033?fhs'[omOcz34?,G6c=df}%YikMat=6=*T763jd#_kiCov?0;(UMN;n7n`{/SgeGkr;<7UdclrdcwaaYg{Uo7n`{/SgeGkr;=7l0ocz Rdd@jq:26'N:<6mat.PfbFhs4<4%H5:+B682iex"\jfBlw838)L8;;7n`{/SgeGkr;>7$O>k5lnu-QacEi|5<5"\?>;blw+WcaKg~7:3 ]EF3f?fhs'[omOcz36?]wwlkdzlkiiQwos]g?fhs'[omOcz37?d8gkr(ZllHby28>/F24>ei|&XnjN`{<6<-@4773jd#_kiCov?3;(C:o1hby!]egAmp919&X;:7n`{/SgeGkr;?7$YIJ?j;blw+WcaKg~7;3Q{sho`v`gsmmUscQm;blw+WcaLfdnh6mat.PfbAiim'Nn7n`{/Sge@jhb&M;n7n`{/Sge@jhb&M8:?6mat.PfbAiim'N9S]ZP0018gkr(ZllOcck!D3]SPZ7c3jd#_kiDnlf*T`d:amp*Rnele~xLQ{sho`v`gsmmUscQl;blw+QojmfNh5lnu-Wmhch}}H%Hk5lnu-Wmhch}}H%H4:amp*Rnele~xO K2^RW[5733jd#YgbenwwF+B5WY^T=<:4cov,Plkbg|~I"I1:amp*Rnele~xO^}e/F26>ei|&^bahaztCRqa+B69;1hby![ilglqqDWzl$O><94cov,Plkbg|~I\k!D3]SPZ66?2iex"ZfmdmvpGVum'N9S]ZP1058gkr(\`gncxzMPsg-@7YW\V8:?6mat.Vji`ir|KZyi#KWP0:8gkr(\`gncxzMPsg-A]VXX]U;=55lnu-Wmhch}}H[~h JXQ]SPZ75<2iex"ZfmdmvpGVum'OS\Rz|ilpfjdkblVdnxR?>;blw+QojmfN]|j.P30?fhs']cfib{{BQpf*WC@:91hby![ilglqqDWzlUdclrdcwaaYg{U:h6mat.Vji`ir|KUdclrdcwaaYg{Uh7n} nNtfvig~8l1h"`@vdpoe|6)Lo1h"`@vdpoe|6)L8l0o~!aOwgqhd7&M8:86m|/oMuawjfq9$O>R^[_137?fu(fF|n~aov0/F1[URX98:0o~!aOwgqhd7&LR[=85ls.lLr`tkip:%IU^PPU]350=d{&dDzh|cax2-A]VXX]U:><5ls.lLr`tkip:%IU^Ptrknv`hfelnTbhzPe:ap+kIqm{fju= ^119`w*hH~lxgmt>!RDE25>et'gE}ibny1,QWQ@6m2ix#cAyesnb}5Ys{`gh~ho{ee]svibX9m1h"`@vdpoe|6X|zcfokntdf\|jtXk2ix#cAyesnb}4``tj&HN^_>}.DZS[qune{oem`kk_ogw[f=a{k%II_\?r/Sf?cue'KOY^=|!RDEb?cue'KOY^<|l;gqa+GCUZ8x%Hi5isc-AAWT6z'N:h6h|b.@FVW7u&M8:>6h|b.@FVW7u&M8T\YQ?139ewg)EM[X:~#J=_QV\5f=a{k%II_\>r/Sf?cue'KOY^<|!RDEa?cue'[ojht>}d:dpf*Tbims;~#Jj;gqa+Wcflp:y"I?j;gqa+Wcflp:y"I<>3:dpf*Tbims;~#J=_QV\445}.DZS[URX88>0j~l Rdcg}5t)MQZT\YQ>159ewg)Umhnr< JXQ]SPZ4582lxn"\jae{3v+C_XV~xe`|jn`of`Zhb|Vn0j~l Rdcg}5t)Yo1mo!]e`fz4w(UMNh0j~l Rdcg}4tc3oyi#_kndx3q*Ac5isc-Qadb~9{$O>R^[_0d8bvd(Zlkou<|!EYR20>`tj&Xnmiw>r/G[TZVSW9;?7k}m/Sgb`|7u&LR[S]ZP1068bvd(Zlkou<|!EYR\TQY59=1mo!]e`fz5w(BPYU[XR==0:dpf*Tbims:~#KWP^vpmhtbfhgnhR`jt^f8bvd(Zlkou<|!Qg9ewg)Umhnr= ]EF`8bvd(Zlkou?|k;gqa+Wcflp8y"Ik4fr`,V`gcq;x%H K2^RW[6743oyi#_kndx0q*A4XX]U?=>5isc-Qadb~:{$O>R^[_4f8bvd(Zlkou?|!Qg9ewg)Umhnr> ]EF58bvd(^YK27k}m/WRB*Agi;gqa+SVF&M8T\YQ>f:dpf*PWI'N9S]ZP2g9ewg)QXH$O>R^[_2d8bvd(^YK%H?Q_T^6e?cue'_ZJ"I`tj&\[M#J=_QV\2<=a{k%]\L ^b:dpf*PWI'XNKl5isc-m@QGDCj1mo!aDUC@O+Bc3oyi#cJ[ABI-@4b2:dpf*hC\HI@"I`tj&dOXLMD.E0\TQY1k2lxn"`KT@AH*Tc;hmai*GKM9$O>R^[_032?liee&KGI= K2^RW[7dohjd%IK>!RDE:?liee&HL~ohjd%IK?!RDE`?liee&N_MNE}fd9jkgk(L]KHGh!Dg9jkgk(L]KHGh!D0d8mjdj'M^JOF|i.E0f?liee&N_MNE}f/S24>ohjd%OXLMDrg,QABeohjd%OXLMDrs,Gb>ohjd%OXLMDrs,G5c=ngkg$HYOLKsp-@7c3:f=ngkg$I^2?>/Fg?liee&OX0=0!D0f8mjdj'LY7<3 K2008mjdj'LY7<3 K2^RW[5753`eia"K\<1<-@7YW\V;:>6g`bl-FW969&M8T\YQ=c:klfh)B[5:5"\k4in`n+@U;87$YIJ?m;hmai*CT494Tx~gbcsgbp`bXpfxTm6g`bl-FW979k2cdn`!JS=3=*Ab>/F157=ngkg$I^2>>/F1[URX8880eblb/DQ?5;(C:VZ_Sohjd%N_1<1.E0\TQY59;1bcoc ER>1:+B5WY^T?<<4in`n+@U;:7$O>R^[_5a8mjdj'LY7>3 ^e:klfh)B[585"_KHa:klfh)B[595o6g`bl-FW959&Mn0eblb/DQ?7;(C9m1bcoc ER>0:+B59;1bcoc ER>0:+B5WY^T<<<4in`n+@U;;7$O>R^[_031?liee&OX0>0!D3]SPZ4d3`eia"K\<2<-U`=ngkg$I^2<>/PFC4d6g`bl-FW929&M8T\YQ?139jkgk(MZ6?2#J=_QV\544ohjd%NXLMD.E0f?liee&O_MNE!EYR27>ohjd%NXLMD.DZS[URX8890eblb/DVBGN(BPYU[XR?>3:klfh)B\HI@"HV__QV\645ohjd%N~#Jn;hmai*Cu&M;j7damm.Gq*A4>3`eia"K}.P`8mjdj'Lx%^HI7;hmai*Cu:h1bcoc Es0-@g=ngkg$I6g`bl-JKDES&M8T\YQ=139jkgk(AFKHX#J=_QV\7442:klfh)NGHI_"Iohjd%BCLM[.Pg8mjdj'@EJOY ]EF`8mjdj'@EII_\k;hmai*OHJLXY"Ik4in`n+LIEM[X%H5foco,MJDBZ[$O>R^[_4f8mjdj'@EII_\!Qg9jkgk(AFHN^_ ]EF3`?liee&CDNH\]_uqjiftbi}ooSua}_c9jkgk(AFXN]j4in`n+LIUMXx%Hh5foco,MJTBY{$O=h5foco,MJTBY{$O>i5foco,MJTBY{$Zj6g`bl-JKWCVz'XNKohjd%BC^QISL]EBa7)ZLM:=6g`bl-JKVYA[DUMJi<>3:klfh)NGZUM_@QIFe0-@42ohjd%BC^QISL]EBa4)L;U[XR>>9:klfh)NGZUM_@QIFe0-@7YW\V;:56g`bl-JKVYA[DUMJiohjd%BC^QISL]EBa4)L;U[XR:>3:klfh)NGZUM_@QIFe0-U43ohjd%BC^QISL]EBa5)L;;27damm.KLWZ@TEVLMh> K2^RW[57>3`eia"G@S^DPIZ@Al:$O>R^[_03:?liee&CD_RH\M^DE`6(C:VZ_S??<;hmai*OH[VLXARHId2,R50=ngkg$EB]PFRO\BCb4&[OLm6g`bl-QAVtak2cdn`!]ERpe*Abohjd%YI^|i.Pg8mjdj'[OX~k ]EFc8mjdj'[OX~m4in`n+WCTz{$Oh6g`bl-QAVtu&M;o7damm.PFWwt)L;i0eblb/SGPvw(Vm2cdn`!]ERpq*WC@i2cdn`!YP@peg>ohjd%]\L|i.Ef8mjdj'_ZJ~k K1e9jkgk(^YKyj#J=c:klfh)QXHxm"\k4in`n+SVFzo$YIJo4in`n+SVFz{i0eblb/WRBvw(Cl2cdn`!YP@pq*A7c3`eia"X_Asp-@7eohjd%]\L|}.SGDf>ohjd%eM^aztd9jkgk(fHYdyy*@Ee9jkgk(fHYdyy*^149jkgk(fHYdyy MUGMF*JSSl2cdn`!aARmvp+Bb3`eia"`NSnww*A7b3`eia"`NSnww*A46;2cdn`!aARmvp+B5WY^T<<=4in`n+kGTg|~%H?Q_T^3g?liee&dJ_b{{.Pd8mjdj'gKXcxz!RDE24>ohjd%eM^azt/VQWQdohjd%eHd`CE/G[TZrtadxnblcjd^lfpZbohjd%eHb{{OD,Gb>ohjd%eHb{{OD,G5c=ngkg$bIaztNG-@7733`eia"`KotvLA+B5WY^T<<:4in`n+kBh}}EN"Iohjd%eHb{{OD,R55=ngkg$bIaztNG-V@A>3`eia"`CDRa8mjdj'gFO_)AJ129jkgk(fENX"O[IOD,LQQd1:klfh)iDMY%H?Q_T^225>ohjd%e@I]!D3]SPZ7692cdn`!aLEQ-@7YW\V8i7damm.lO@V(Vl2cdn`!aLEQ-V@Ab3`eia"`CDR,WVVR>3`eia"`ZDRa8mjdj'g_O_)AJ129jkgk(f\NX"O[IOD,LQQd1:klfh)i]MY%H?Q_T^225>ohjd%eYI]!D3]SPZ7692cdn`!aUEQ-@7YW\V8i7damm.lV@V(Vl2cdn`!aUEQ-V@Ab3`eia"`ZDR,WVVR>3`eia"`YM@a8mjdj'g\FM)AJb:klfh)i^DK/]<=4in`n+kPJI'H^JBK!OTVa?liee&d]AL Kc:klfh)i^DK%Hohjd%eZ@O!Qe9jkgk(f_GJ"_KHe:klfh)i^DK%X_][1`9jkgk(f_GJSy}fmbpfeqccWqeyS45focq,EIC7j2cdn~!NLD2-@f=ngky$MAK?.E3`?lie{&KGI= K2c9jkgu(IEO;"\j4in`p+DJB8'XNK45focq,EIC612cdn~!MESPa?lie{&HN^_ Kc:klfv)EM[X%H.DZS[URX88>0ebl|/Bnfew7)MQZT\YQ>219jkgu(Keoj~< JXQ]wwlkumgkfiiQaeu]g?lie{&Igil|>.Pd8mjdt'Jfnm?!RDEa?lie{&CDMNZ}d:klfv)NGHI_~#Jj;hmaw*OHIJ^y"I?j;hmaw*OHIJ^y"Ic:klfv)NGE;%OHl4in`p+LIK9'Nh7dams.KLH4(C9j1bco} INN2*A4e3`ei"G@L0,R`>ohjz%BCA?!RDEb?lie{&CD^H_l;hmaw*OHZL[%Hi5focq,MJTBY'N:h6g`br-JKWCV&M8:>6g`br-JKWCV&M8T\YQ?139jkgu(AFXN]#J=_QV\5442:klfv)NG[OZ"Iohjz%BC_Y?.E0\TQY49;1bco} INPT4+B5WY^T8<<4in`p+LIU_9$O>R^[_431?lie{&CD^Z>!D3]SPZ0d3`ei"G@RV2-U`=ngky$EB\X0/PFC4d6g`br-JKWQ6&M8T\YQ?139jkgu(AFX\=#J=_QV\5442:klfv)NG[]:"I4:klfv)NG[ojht K2^RW[6773`ei"G@Rdcg}+C_X;;0ebl|/HMQadb~&LR[Sy}fmsgmehccWgoSh5focq,MJTbims%]<>4in`p+LIUmhnr"_KH1e9jkgu(AFXnmiwPtrkngwcf|lnTtb|P9:klfv)NG\:h7dams.KLQ5(DMk1bco} INW3*Aeohjz%BCX>!D332?lie{&CDY= K2^RW[5763`ei"G@U1,G6ZVSW8h0ebl|/HMV4+Wc3`ei"G@U1,QAB?.SGDe>ohjz%Gclj>c:klfv)Kghn:"Ij4in`p+Iifl8$O=i5focq,Hjgc9'N9=?5focq,Hjgc9'N9S]ZP0008mjdt'Eejh< K2^RW[4753`ei"B`ae3-@7YW\V8:>6g`br-Okdb6&M8T\YQ<139jkgu(Dfko=#J=_QV\044<64in`p+UUXNZGTJKj>.E0\TQY7911bco} PR]EWHYANm;%H?Q_T^326>ohjz%[_RH\M^DE`4(V9=1bco} PR]EWHYANm;%^HI>0:klfv)W[VLXARHId331?lie{&ZXSK]B_GDg6+B6;2cdn~!_S^DPIZ@Al;$O=<=4in`p+UUXNZGTJKj=.E02<>ohjz%[_RH\M^DE`7(C:VZ_S=?7;hmaw*VTWOYFSKHk2/F1[URX9820ebl|/QQ\BVKXNOn9"I8:klfv)W[VLXARHId3,G6ZVSW=;37dams.RP[CUJWOLo>#J=_QV\14>109mEAir|EO:SBLZF/F153=iIMe~xAK>_N@VB+B5WY^T<<84n@FlqqJB9VEIYK K2^RW[4773gKOcxzCE0]LFP@)Y880bLJ`uuNF5ZIE]O$YIJ94n@Q\BVDe3gKXSK]M.QCGg>hF[VLXNRGMUG58jDUXAFHi7cO\_HMA*UGC?2dJcxz@Ec9mEjssGL$[MIm4n@mvpJCXGK_Mi6`NotvLAZIE]O$Oj6`NotvLAZIE]O$O=k5aAnwwK@YHJ\L%H??;;oClqqIBWFH^J#J=_QV\442hFg|~DIRAMUG,QAB?hEM[XTJ^LPOCWE*A7692dII_\PFR@\KGSA&M8::6`MESP\BVDXGK_M"I7:lAAWTXNZHTCO[I.DZS[URX:;90bOK]R^DPFZIE]O$NT]Q{shoqakgjmmUeiyQ>0:lAAWTXNZHTCO[I.P;8jGCUZVCDNi5aBDPQ[LIE&YKOi6`MESP\MJDXAK_M;6`MG^KLFg=iJNUBCO _AEa8jGAXAFHTEO[I7:lAVZOHJk1eN_QFOC,SEA2hCagENSBLZF/Ff?kBnfFOTCO[I.E3f?kBnfFOTCO[I.E027>hCagENSBLZF/F1[URX8890bIgaOD]LFP@)L;U[XR?>3:lGmkIBWFH^J#J=_QV\6a=iL`dDIRAMUG,R3>hCg|~DIo5aDnwwK@(WIM?0bD^C289mMUJ5&YKOm6`FPM0\MGSA02dGH^QISCa8jIBTWOYI"]OKd:lO@VYA[KUBNXH7;oNGWZOHJj1e@I]PIN@-TDB43gEN;6`@E/RB@==iGLUDNXHn;oMF[JDRN'Ni7cAJ_N@VB+B6j2dDIRAMUG,G6f=iGLUDNXH!EYR25>hHMVEIYK JXQ]SPZ6692dDIRAMUG,F\UYW\V;:=6`@E^MAQC(BPYU[XR<>1:lLAZIE]O$NT]Q_T^125>hHMVEIYK JXQ]SPZ26l2dDIRAMUG,F\UYs{`gyicobee]maqYf3gENSBLZF/S4?kTFEE]Nn6`]ALNTA+VFLj1e^LCCWD]LFP@b3gXJAAYJ_N@VB+Ba3gXJAAYJ_N@VB+B6n2dYM@BXE^MAQC(C:8:0b_OBLVG\KGSA&LR[=85aR@OOS@YHJ\L%IU^PPU]350=iZHGG[HQ@BTD-A]VXX]U:=85aR@OOS@YHJ\L%IU^PPU]164=iZHGG[HQ@BTD-A]VX|zcf~h`nmdf\j`rXm2dYM@BXE^MAQC(V?2dYM@[XEc9mVDKR_L$[MIm4nSCNQRCXGK_Mi6`]ALWTAZIE]O$Oj6`]ALWTAZIE]O$O=k5aR@OVS@YHJ\L%H???;oPBIPQBWFH^J#KWP078jWGJ]^OTCO[I.DZS[URX88?0b_OBUVG\KGSA&LR[S]ZP1078jWGJ]^OTCO[I.DZS[URX:8?0b_OBUVG\KGSA&LR[S]ZP3078jWGJ]^OTCO[I.DZS[URX<;;0b_OBUVG\KGSA&LR[Sy}fmsgmehccWgoSh5aR@OVS@YHJ\L%]95aR@Q;?kTF['ZJH45aR@Q\KGSAj2dYM^Q@BTD-@f=iZHYTCO[I.E3`?kTF[VEIYK K2e9mVDUXGK_M"HV_139mVDUXGK_M"HV__QV\4442:lQEVYHJ\L%IU^PPU]057=iZHYTCO[I.DZS[URX<8o0b_O\_N@VB+C_XV~xe`|jn`of`Zhb|Vh0b_O\_N@VB+W33gXN_55aRDQ-TDB>3gXN_RGMUG48jWIJKZk0b_ABCR,SEAdhUGDIXSBLZF/G[T42hUGDIXSBLZF/G[TZrtadxnblcjd^lfpZbhRLZUM_Om4nTFP[CUE&YKOh6`ZDR]EWGYNJ\L37c[KS^KLFf=i]MYTEBL!P@F;?kPJIVCDNn5aVLC\MJD)XHN37cX_A^DPFf=i^YKTJ^L!P@Fg?kPWIVLXNRAMUGd8jSVFWOYISBLZF/F24>hQXHUM_OQ@BTD-@4773g\[MRH\B^MAQC(C:o1eZ]OPFR@\KGSA&X20b[^N_HMAg>hQXHUBCO _AEf8jSVFW@EISDLZF69mS@YA[Kh0bZKPFR@-TDBd3g]NSK]M_H@VB1=iido<7ckheeef3>vh{lnli45}al-AEFRuj2xja"LNCUp-@f=uid%IMNZ}.E3`?wgj'KKHX K2c9qeh)EIJ^y"\j4r`o,FDESz'XNK55}al-Ljfcf3{kf#B`le/Fa?wgj'Fdhi#J>b:pbi*Iikl$O><>4r`o,Kkeb&M8T\YQ?119qeh)Hfjo%H?Q_T^324>tfe&Eeoh K2^RW[7773{kf#B`le/F1[URX;8:0~lc Ooaf*A4XX]U?m6|nm.Mmg`(Vk2xja"Aacd,QAB7>3{kf#B`le^vpmheumh~nhRv`r^`8vdk(ZHGOE^?k;scn+WGJL@Y:"Ik4r`o,VDKCAZ;%H5}al-QEHBN[8$O>R^[_430?wgj'[KFHD]>.E0\TQY1l2xja"\NMEKP5+Wa3{kf#_OBDHQ2*WC@j2xja"\NMEKP6a=uid%YM@JFS3,Ga>tfe&XJAIG\2/F2a>tfe&XJAIG\2/F156=uid%YM@JFS3,G6ZVSW9;87ob/SCN@LU5&M8T\YQ>129qeh)UIDNB_? K2^RW[7743{kf#_OBDHQ1*A4XX]U8=>5}al-QEHBN[;$O>R^[_530?wgj'[KFHD]=.E0\TQY2l2xja"\NMEKP6+Wa3{kf#_OBDHQ1*WC@9j1ym`!]ALFJW7Ys{`gh~ho{ee]{kwYd3{kf#_OBRdcg}`=uid%YM@\jae{-@c=uid%YM@\jae{-@4`tfe&XJA_kndx,G6ZVSW=;?7ob/SCNV`gcq'N9S]ZP5068vdk(ZHGYiljv.E0\TQY1m2xja"\NMSgb`|(V991ym`!]ALPfea)ZLM27ob/SCPAId1:pbi*TF[LF%H?Q_T^225>tfe&XJ_HB!D3]SPZ7692xja"\NSDN-@7YW\V8:=6|nm.PBW@J)L;U[XR=>1:pbi*TF[LF%H?Q_T^625>tfe&XJ_HB!D3]SPZ3692xja"\NSDN-@7YW\V?8;scn+WUXNZGTJKj>.E0\TQY39>1ym`!]S^DPIZ@Al8$O>R^[_434?wgj'[YTJ^CPFGf2*A4XX]U==<5}al-QWZ@TEVLMh< ^129qeh)U[VLXARHId0,QAB`6|nm.PP[CUJWOLo>#J>139qeh)U[VLXARHId3,G641>7:pbi*TTWOYFSKHk2/F1[URX98=0~lc RR]EWHYANm8%H?Q_T^023>tfe&XXSK]B_GDg6+B5WY^T?<94r`o,VVYA[DUMJi#_>3:pbi*TTWOYFSKHk2/PFCc=uid%Y_RH\M^DE`6763{kf#_]PFRO\BCb4&M;97ob/SQ\BVKXNOn8"I?>2:pbi*TTWOYFSKHk3/F152=uid%Y_RH\M^DE`6(C:VZ_S=?8;scn+WUXNZGTJKj<.E0\TQY69>1ym`!]S^DPIZ@Al:$O>R^[_334?wgj'[YTJ^CPFGf0*A4XX]U8=:5}al-QWZ@TEVLMh> K2^RW[1703{kf#_]PFRO\BCb4&M8T\YQ:169qeh)U[VLXARHId2,G6ZVSW?;:7ob/SQ\BVKXNOn8"\?<;scn+WUXNZGTJKj<.SGDe>tfe&Xnj_O\c:pbi*Tbn[KX"Ij4r`o,V``UIZ$O=i5}al-QacTF['N9o6|nm.PfbWGT&Xo0~lc RddQEV(UMN20~lc nBCPe>tfe&dHM^ Kb:pbi*hDIZ$O=o5}al-mGDU)L;i0~lc nBCP*@^W9m1ym`!aC@Q-A]VX|zcf~h`nmdf\j`rXi2xja"`LAR,Rg>tfe&dHM^ ]EF78rdjrmtJK|5?n3:BC|7?>2O096n382h6s\ec81=f<2289?44o7:32gbg=c:031<7?51zQfg?4>k3?1=>:79`:965bai2|_:94?:082>074sZoh6?7l:48271>>i109{#:0<1=nh4$0f4>7?e3k8247>54`8673<29:qC>4;4$3;7>7??3Si96>u=5;3:>7d=u`396=4+1ec9<4=i9m31i65f9183>!7ci32:7c?k9;d8?l0a290/=io5809m5a?=021b:i4?:%3ge?>63g;o5774;h4a>5<#9mk14<5a1e;9e>=n>00;6)?ka;:2?k7c13h07d88:18'5ag=081e=i75c:9j20<72-;om76>;o3g=?b<3`296=4+1ec9<4=i9m31<65f7g83>!7ci32:7c?k9;38?l1c290/=io5809m5a?=:21b;o4?:%3ge?>63g;o57=4;h54>5<#9mk14<5a1e;90>=n?<0;6)?ka;:2?k7c13?07d9<:18'5ag=081e=i756:9j34<72-;om76>;o3g=?1<3`nm6=44i4d1>5<d`81=5=i9m31=65f5b194?=n=121<75f5d394?=nm>0;66g:6983>>o20<0;66g:6c83>>o6100;66g:7583>>o2mo0;66g89;29 4bf21;0b3290/=io5809m5a?=9<10e5850;&2`d4:9j<=<72-;om76>;o3g=?7432c3m7>5$0fb>=75<#9mk14<5a1e;954=d`8;5>h6l00:<65f29a94?"6lh095=5a1e;96>=h=l<1<75`55a94?=h=1h1<75`5d694?=h=>81<75`1dc94?"6lh0:ih5a1e;9f>=h9o;1<7*>d`82a`=i9m31m65`1g194?"6lh0:ih5a1e;9=>=h9o?1<7*>d`82a`=i9m31465`1g594?"6lh0:ih5a1e;93>=h9o31<7*>d`82a`=i9m31:65`1g`94?"6lh0:ih5a1e;91>=h9on1<7*>d`82a`=i9m31865`1gd94?"6lh0:ih5a1e;97>=h:9;1<7*>d`82a`=i9m31>65`54;94?=h=1n1<75`5e694?=h=l21<75`56594?=h=191<75`52494?=h=>31<75`5c794?=h=>:1<75`1dd94?"6lh0:ih5a1e;94>=h9li1<7*>d`82a`=i9m31=65`c483>>ic<3:17b;9e;29?j34n3:17b;;e;29?j3>j3:17b;n7;29?j3d>3:17o7<:182>5<7s-8j=7?k6:J1=0=n9m<1<7*=a082`3=#9jo1845+1e:90<=d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1j6*:f78e?x{zu2wi5<4?:083>5}#:h;1=i84H3;6?l7c>3:1(?o>:0f5?!7dm3>97)?k8;61?>{ejo0;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3l0(8h9:g9~yx{:183!4f93;o:6F=949j5a0=83.9m<4>d79'5fc=9h1/=i651`98yg?>29096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=n2.>j;4i;|~y>{e>l0;6<4?:1y'6d7=9m<0D?7:;h3g2?6=,;k:67[2B9585f1e494?"5i80:h;5+1bg952=#9m21=:54}c;4>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g59b>"2n?0m7psr}:a2d<7280;6=u+2`395a0<@;3>7d?k6;29 7g628n=7)?le;35?!7c03;=76sm9783>7<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;d8 0`12o1vqps4}c4;>5<6290;w)N51<1b=i850;&1e4<6l?1/=nk55`9'5a>==h10qo7::181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k95f:&6b34<729q/>l?51e48L7?23`;o:7>5$3c2>4b13-;hi7;=;%3g5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;7h4$4d5>c=zutw0qo6<:182>5<7s-8j=7?k6:J1=0=n9m<1<7*=a082`3=#9jo1>;5+1e:963=d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1j6*:f78e?x{zu2wi4=4?:083>5}#:h;1=i84H3;6?l7c>3:1(?o>:0f5?!7dm3897)?k8;01?>{ej>0;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3l0(8h9:g9~yx{:183!4f93;o:6F=949j5a0=83.9m<4>d79'5fc=:91/=i652198ygge29096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=n2.>j;4i;|~y>{e?j0;6<4?:1y'6d7=9m<0D?7:;h3g2?6=,;k:67[2B9585f1e494?"5i80:h;5+1bg95`=#9m21=h54}c;g>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g59b>"2n?0m7psr}:a33<7280;6=u+2`395a0<@;3>7d?k6;29 7g628n=7)?le;3g?!7c03;o76sm9b83>7<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;d8 0`12o1vqps4}c57>5<6290;w)N51<1b=i850;&1e4<6l?1/=nk51b9'5a>=9j10qo7m:181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k95f:&6b34<729q/>l?51e48L7?23`;o:7>5$3c2>4b13-;hi7?m;%3g5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;7h4$4d5>c=zutw0qok?:182>5<7s-8j=7?k6:J1=0=n9m<1<7*=a082`3=#9jo1>>5+1e:966=d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1n6*:f78a?x{zu2wii?4?:383>5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?M4f82\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f68a?!3a>3h0qpsr;|`6b6<7280;6=u+2`395a0<@;3>7d?k6;29 7g628n=7)?le;0b?!7c038j76sm5g694?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f68e?!3a>3l0qpsr;|`f0?6=93:16=4+2`395a3<^;k86k38p(8h8:c9'1c0=j2wvqp5rbd494?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=j2.>j;4m;|~y>{e==k1<7?50;2x 7g628n=7E<65:k2`3<72-8j=7?k6:&2g`<5m2.:h54=e:9~f02e29096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=n2.>j;4i;|~y>{e:1l1<7?50;2x 7g628n=7E<65:k2`3<72-8j=7?k6:&2g`<3;2.:h54;3:9~f7?629096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=n2.>j;4i;|~y>{e=j>1<7?50;2x 7g628n=7E<65:k2`3<72-8j=7?k6:&2g`<2k2.:h54:c:9~f0e229096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=n2.>j;4i;|~y>{e=131<7?50;2x 7g628n=7E<65:k2`3<72-8j=7?k6:&2g`<5l2.:h54=d:9~f0>f29096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=n2.>j;4i;|~y>{e=l81<7?50;2x 7g628n=7E<65:k2`3<72-8j=7?k6:&2g`<5n2.:h54=f:9~f0c429096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=n2.>j;4i;|~y>{em10;6<4?:1y'6d7=9m<0D?7:;h3g2?6=,;k:67[9g83>!4f93;2j65`1e794?"5i80:h85G2`28R7g428qG>l;51zTe4?4|,8o26{zut1vn886:182>5<7s-8j=7?k6:J1=0=n9m<1<7*=a082`3=#9jo18h5+1e:90`=52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn869:182>5<7s-8j=7?k6:J1=0=n9m<1<7*=a082`3=#9jo19i5+1e:91a=52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn88l:182>5<7s-8j=7?k6:J1=0=n9m<1<7*=a082`3=#9jo18n5+1e:90f=52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<7n:182>5<7s-8j=7?k6:J1=0=n9m<1<7*=a082`3=#9jo1>:5+1e:962=52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn89::182>5<7s-8j=7?k6:J1=0=n9m<1<7*=a082`3=#9jo19=5+1e:915=52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn8h?:182>5<7s-8j=7?k6:J1=0=n9m<1<7*=a082`3=#9jo18k5+1e:90c=52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn:o50;394?6|,;k:6o6l?0;6)"6kl0>j6*>d986b>=zjh31<7<50;2x 7g62j;0D?7:;h3:b?6=,;k:6<7i;:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0m7);i6;d8yx{z3th397>51;294~"5i80:h;5G2878m4b1290/>l?51e48 4eb2?:0(7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02o1/9k85f:~yx=zj1=1<7?50;2x 7g628n=7E<65:k2`3<72-8j=7?k6:&2g`<192.:h5491:9~fgg=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b250z&1e4<6l?1C>4;4i0f5>5<#:h;1=i84$0af>34<,8n36;<4;|`af?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o7c=#=o<1j6sr}|9~f=d=83;1<7>t$3c2>4b13A8296g>d783>!4f93;o:6*>cd815>"6l109=65rbca94?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f68e?!3a>3l0qpsr;|`;`?6=93:16=4+2`395a3<^;k86k38p(8h8:g9'1c0=n2wvqp5rb9d94?7=83:p(?o>:0f5?M4>=2c:h;4?:%0b5?7c>2.:oh4<4:&2`=<4<21vnok50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:4i;%7e2?`4<729q/>l?51e48L7?23`;o:7>5$3c2>4b13-;hi7:;;%3g5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;7h4$4d5>c=zutw0qo;j7;295?6=8r.9m<4>d79K6<31b<3th>i;4?:283>5}#:h;1>4=4H3;6?l7>n3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?6f:T2=f<5s-?m;7j4$4d5>a=zutw0ehj50;&1e44>{M0b1?7|^o:1>v*>e88f`>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj<>o6=4>:183!4f93;o:6F=949l5a0=83.9m<4>d79'5fc===1/=i655598yg33k3:1?7>50z&1e4<51:1C>4;4i0;e>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>283m7[?6c;0x 0`02m1/9k85d:~yx=nmm0;6){zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a1=e=83;1<7>t$3c2>4b13A8296a>d783>!4f93;o:6*>cd865>"6l10>=65rb4:a>5<4290;w)N51<1b=4h50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95<`<^83h6?u+5g59`>"2n?0o7psr}:kf`?6=,;k:6hj4V3c0>4}K:h?1=vXi0;0x 4c>2ln0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?04<729q/>l?51e48L7?23f;o:7>5$3c2>4b13-;hi7;<;%3go61o0;6)P5i:0:wA9g9U5j:4k;%7e2?bl=51zN1e0<6s_l;6?u+1d;9aa=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2;30(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:i0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{7>51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:k0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:20(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:<0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:?0(0;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:=0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:30(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:h0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:n0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{7>51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:l0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{m7>51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2=k0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:90(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2::0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb24;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2<=0(0;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2<30(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2<<0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb24;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2=<0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:80(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2=?0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:o0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{:183!4f93;o:6F=949l5a0=83.9m<4>d79'5fc=<81/=i654098yge229096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=l2.>j;4k;|~y>{el<0;6<4?:1y'6d7=9m<0D?7:;n3g2?6=,;k:67[50z&1e4<6l?1C>4;4o0f5>5<#:h;1=i84$0af>1d<,8n369l4;|`62`<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[50z&1e4<6l?1C>4;4o0f5>5<#:h;1=i84$0af>03<,8n368;4;|`67c<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[50z&1e4<6l?1C>4;4o0f5>5<#:h;1=i84$0af>0><,8n36864;|`60`<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[k3:1=7>50z&1e4<6l?1C>4;4o0f5>5<#:h;1=i84$0af>11<,8n36994;|`6=g<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[50z&1e4<6l?1C>4;4o0f5>5<#:h;1=i84$0af>1><,8n36964;|`6e2<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[50z&1e4<6l?1C>4;4o0f5>5<#:h;1=i84$0af>67<,8n36>?4;|`6g3<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj=296=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi85=50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c6;a?6=;3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1j6*:f78e?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`77)?l0;37?l7>n3:1(?o>:0;e?S4f;3;p@?o::0yU5fb=:r.:i44>9g9U5j:49;%7e2?0l=51zN1e0<6s_;hh7f5<^83h6?u+5g592>"2n?0=7psr}:k`0?6=,;k:6n:4V3c0>7}K:h?1=vX>ce81!7b13i?7[?6c;0x 0`0211/9k858:~yI4f<3>pZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f69~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f79~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?e43-99?7;i7:'225=?2w]=h652zTfa?4|,6n:4$200>0`03t.=;>4>3:~y>o50k0;6)P5i:09wAi38pZhk52z&6b0<50k1/??=55g58y!00;3;97psr;h0;e?6=,;k:6?6n;W0b7?4|D;k>60:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;e>"4::0>j:5r$750>449d83>4<729q/>l?52`:8L7?23f;o97>5$3c2>4b232wi>4950;394?6|,;k:6i6l<0;6)=zj=2=6=4<:183!4f9382i6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{3;7>51;294~"5i809m55G2878k4b2290/>l?51e78?xd3000;6:4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?>;%7e2?763twvq6g>a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:n:4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6jk0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn96n:1825?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95109'1c0=981vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`028;0(8h9:038yx{z3`;jo7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;32?!3a>3;:7psr}:k2f2<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n:5Y18a96~"2n>0:=6*:f7825>{zut1b=oo50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`028;0(8h9:038yx{z3`;in7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<692.>j;4>1:~yx=n9ki1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751ca8R4?d2;q/9k95109'1c0=981vqps4i0`g>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28ho7[?6c;0x 0`028;0(8h9:038yx{z3`;ii7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3aa>P61j09w);i7;32?!3a>3;:7psr}:k2fc<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nk5Y18a96~"2n>0=7);i6;48yx{z3`;j>7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b6>P61j09w);i7;48 0`12?1vqps4i0c0>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k87[?6c;0x 0`02?1/9k856:~yx=n9h>1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`68R4?d2;q/9k956:&6b3<13twvq6g>a483>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i<1]=4m52z&6b2<13-?m:784}|~?l7f>3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n6:T2=f<5s-?m;784$4d5>3=zutw0e4g03_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi85650;194?6|,;k:6o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn96k:180>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59=>"2n?027psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k959:&6b3<>3twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3=90;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{?i7>52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn9:i:181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm44394?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`71=<72?0;6=u+2`3957)?l0;37?l7>n3:1(?o>:0;e?S4f;3;p@?o::0yU5fb=:r.:i44>9g9U5j:49;%7e2?0l=51zN1e0<6s_;hh7f5<^83h6?u+5g592>"2n?0=7psr}:k`0?6=,;k:6n:4V3c0>7}K:h?1=vX>ce81!7b13i?7[?6c;0x 0`0211/9k858:~yI4f<3>pZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f69~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f79~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?e43-99?7;i7:'225=?2w]=h652zTfa?4|,6n:4$200>0`03t.=;>4>3:~y>o50k0;6)P5i:09wAi38pZhk52z&6b0<50k1/??=55g58y!00;3;97psr;h0;e?6=,;k:6?6n;W0b7?4|D;k>60:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;e>"4::0>j:5r$750>446<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm44194?7=83:p(?o>:3c;?M4>=2e:h84?:%0b5?7c=21vn9;::180>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4>1:&6b3<692wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,477[3:1?7>50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a002=8391<7>t$3c2>4b33A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f13>29086=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=156*:f78:?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=12.>j;46;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c65f?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f10d29096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e<>>1<7850;2x 7g6283o7E<65:&2g5<6<2c:5k4?:%0b5?7>n2\9m>4>{M0b1?7|^8io6?u+1d;95<`<^83h6?u+5g592>"2n?0=7psr}:k`7?6=,;k:6n=4V3c0>4}K:h?1=vX>ce81!7b13i87[?6c;0x 0`02?1/9k856:~yx=nk=0;6)==zutF9m94;{W0:6?5|^lo1>v*:f48`0>"4::0>j:5rVdd96~"2n<0:5k5+33191c1v*:f48`0>"4::0>j:5rVdd96~"2n<0:5k5+33191c0v*:f48`0>"4::0>j:5rVdd96~"2n<0h?6*<2286b2=z,?=86:5rV0g;>7}Qml09w);i5;a7?!55;3?m;6s+661956=zut1b>5l50;&1e4<50k1]>l=52zN1e0<6s_;hh77>e3_;2o744<,7}#=o?1>5l4$200>0`03t.=;>4>2:~y>o50h0;6)P5i:09wAi38pZhk52z&6b0<50h1/??=55g58y!00;3;97psr;n3g1?6=,;k:6t$3c2>7?b3A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{=i7>51;294~"5i809m55G2878k4b2290/>l?51e78?xd3?90;694?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a027=83>1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7[;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8m4gd290/>l?518d8R7g428qG>l;51zTe4?4|,8o26477[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2647;|~y>o6ij0;6)P5i:0:wAab9U5j:4>1:&6b3<692wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26477[50z&1e4<6l=1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo:85;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7<=#=o<156sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:89'1c0=12wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e<><1<7<50;2x 7g62j;0D?7:;h3:b?6=,;k:6<7i;:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th?;:4?:383>5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo:88;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb5:3>5<1290;w)N51<1/=n>5159j5<`=83.9m<4>9g9U6d5=9rF9m84>{W3``?4|,8o26<7i;W3:g?4|,{zut1bo>4?:%0b5?e43_8j?7?tL3c6>4}Q9jn1>v*>e88`7>P61j09w);i7;48 0`12?1vqps4ib694?"5i80h86X=a281I4f=3;pZ7}#=o?1o95+33191c17}#=o?1o95+33191c17}#=o?1o95+33191c1e290/>l?529`8R7g42;qG>l;51zT2ga<5s-;n57<7b:T2=f<5s-?m;7?=;%7e2?753twv@?o;:0yU67>e3-99?7;i7:'225=9;1vqp5f29c94?"5i8094l5Y2`196~J5i<0:w[?ld;0x 4c>2;2j7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529c8 6442{zu2e:h84?:%0b5?7c=21vn996:180>5<7s-8j=7<6e:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`73d<7280;6=u+2`396d><@;3>7b?k5;29 7g628n>76sm46a94?2=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;32?!3a>3;:7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0:=6*:f7825>{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn99k:187>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4>1:&6b3<692wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,47;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?00<729q/>l?5629K6<3;|~y>o6i80;6)P5i:0:wAa09U5j:4>1:&6b3<692wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2647;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?01<729q/>l?51e68L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3080;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;774$4d5><=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?330(8h9:89~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb3g2>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a6`>=83<1<7>t$3c2>4?c3A8296*>c1820>o61o0;6)P5i:0:wA3=#=o<1:6sr}|9jg1<72-8j=7m;;W0b7?4|D;k>69b81!3a?320(8h9:99~yxJ5i=0?w[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0<61o1/??=55g58y!00;3o0q[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0<61o1/??=55g48y!00;3o0q[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0>4:f69~ 3142>1vZvB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1h0(><<:4d4?x"1?:0:>6sr}:k17}#9l31>5o4V0;`>7}#=o=1=?5+5g4957=zutF9m94>{W0:e?4|^lo1>v*:f48176sm2d094?5=83:p(?o>:3;f?M4>=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<5i11C>4;4o0f6>5<#:h;1=i;4;|`1a0<72:0;6=u+2`3926=O:0?0e69b81!3a?3;:7);i6;32?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb3g5>5<>290;w)=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6ij0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5954=#=o<1=<5r}|8m4d0290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;32?!3a>3;:7psr}:k2fd<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k95109'1c0=981vqps4i0`a>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7?>;%7e2?763twvq6g>bb83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=om4V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`1a1<72:0;6=u+2`395a2<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a1cc=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd1800;6>4?:1y'6d7=:0o0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:g9'1c0=n2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e>921<7850;2x 7g6283o7E<65:&2g5<6<2c:5k4?:%0b5?7>n2\9m>4>{M0b1?7|^8io6?u+1d;95<`<^83h6?u+5g592>"2n?0=7psr}:k`7?6=,;k:6n=4V3c0>4}K:h?1=vX>ce81!7b13i87[?6c;0x 0`02?1/9k856:~yx=nk=0;6)==zutF9m94;{W0:6?5|^lo1>v*:f48`0>"4::0>j:5rVdd96~"2n<0:5k5+33191c1v*:f48`0>"4::0>j:5rVdd96~"2n<0:5k5+33191c0v*:f48`0>"4::0>j:5rVdd96~"2n<0h?6*<2286b2=z,?=86:5rV0g;>7}Qml09w);i5;a7?!55;3?m;6s+661956=zut1b>5l50;&1e4<50k1]>l=52zN1e0<6s_;hh77>e3_;2o744<,7}#=o?1>5l4$200>0`03t.=;>4>2:~y>o50h0;6)P5i:09wAi38pZhk52z&6b0<50h1/??=55g58y!00;3;97psr;n3g1?6=,;k:6t$3c2>7?b3A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f367290:6=4?{%0b5?4f02B9585`1e794?"5i80:h854}c436?6=<3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59f>"2n?0i7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0:=6*:f7825>{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`028;0(8h9:038yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c437?6=13:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02k1/9k85b:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o7g=#=o<1n6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jk0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g5954=#=o<1=<5r}|8m4dd290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ag>P61j09w);i7;32?!3a>3;:7psr}:k2fa<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cf8R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj?:?6=46:183!4f93<87E<65:k2e5<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95b:&6b3a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1n6*:f78a?x{zu2c:mn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;h3ae?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:no4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6jm0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gb<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn;>::1825?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7g=#=o<1n6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1n6*:f78a?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f68a?!3a>3h0qpsr;h3a3?6=,;k:6<7i;I0b4>P5i:0:wAb69U5j:4m;%7e2?d0:=6*:f7825>{zut1b=ol50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hi7[?6c;0x 0`028;0(8h9:038yx{z3`;io7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2<692.>j;4>1:~yx=n9kn1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dc3_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882f`=Q90i1>v*:f6825>"2n?0:=6sr}|9j5g`=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oh4V0;`>7}#=o=1:6*:f785?x{zu2c:m?4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e7=Q90i1>v*:f685?!3a>3<0qpsr;h3b7?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1`794?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h?0Z<7l:3y'1c1=>2.>j;49;|~y>o6i?0;6)P5i:0:wAa79U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn;>9:1825?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7g=#=o<1n6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1n6*:f78a?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f68a?!3a>3h0qpsr;h3a3?6=,;k:6<7i;I0b4>P5i:0:wAb69U5j:4>1:&6b3<692wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6jj0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5954=#=o<1=<5r}|8m4dc290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a`>P61j09w);i7;32?!3a>3;:7psr}:k2f`<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cg8R4?d2;q/9k95109'1c0=981vqps4i0`e>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hm7[?6c;0x 0`02?1/9k856:~yx=n9h81<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`08R4?d2;q/9k956:&6b3<13twvq6g>a283>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i:1]=4m52z&6b2<13-?m:784}|~?l7f<3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n4:T2=f<5s-?m;784$4d5>3=zutw0e4g23_;2o73=#=o<1:6sr}|9j5d0=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l84V0;`>7}#=o=1:6*:f785?x{zu2c:m:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e2=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{56;294~"5i80:h95G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0=7);i6;48yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;48 0`12?1vqps4i0`b>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm50694?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`665<72?0;6=u+2`3957)?l0;37?l7>n3:1(?o>:0;e?S4f;3;p@?o::0yU5fb=:r.:i44>9g9U5j:49;%7e2?0l=51zN1e0<6s_;hh7f5<^83h6?u+5g592>"2n?0=7psr}:k`0?6=,;k:6n:4V3c0>7}K:h?1=vX>ce81!7b13i?7[?6c;0x 0`0211/9k858:~yI4f<3>pZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f69~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f79~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?e43-99?7;i7:'225=?2w]=h652zTfa?4|,6n:4$200>0`03t.=;>4>3:~y>o50k0;6)P5i:09wAi38pZhk52z&6b0<50k1/??=55g58y!00;3;97psr;h0;e?6=,;k:6?6n;W0b7?4|D;k>60:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;e>"4::0>j:5r$750>446<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f071290:6=4?{%0b5?4f02B9585`1e794?"5i80:h854}c72N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c72=?6=;3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c72e?6=;3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59f>"2n?0i7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj<;i6=4;:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0i7);i6;`8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj<;h6=4;:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0i7);i6;`8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj<;o6=4;:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0i7);i6;`8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj<;n6=4;:183!4f93<87E<65:k2e5<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95109'1c0=981vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`028;0(8h9:038yx{z3`;jo7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm50594?>=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=>2.>j;49;|~y>o6jh0;6)P5i:0:wAb`9U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a74e=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd4:;0;6;4?:1y'6d7=90n0D?7:;%3`4?733`;2j7>5$3c2>4?a3_8j?7?tL3c6>4}Q9jn1>v*>e882=c=Q90i1>v*:f685?!3a>3<0qpsr;ha0>5<#:h;1o>5Y2`195~J5i<0:w[?ld;0x 4c>2j90Z<7l:3y'1c1=>2.>j;49;|~y>od<3:1(?o>:b68R7g42;qG>l;51zT2ga<5s-;n57m;;W3:g?4|,{zuE8j87:tV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b2=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b3=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3i87)==3;7e3>{#>>91i6sY1d:96~Pbm38p(8h::b68 6442{zu2c94o4?:%0b5?4?j2\9m>4={M0b1?7|^8io6?u+1d;96=d<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:3:b?S4f;38p@?o::0yU5fb=:r.:i44=8`9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4o0f6>5<#:h;1=i;4;|`05`<72:0;6=u+2`3967d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a74`=83;1<7>t$3c2>7g?3A8296a>d483>!4f93;o965rb203>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~f67c29086=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi84850;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02o1/9k85f:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm48d94?0=83:p(?o>:0;g?M4>=2.:o=4>4:k2=c<72-8j=7?6f:T1e6<6sE8j97?tV0ag>7}#9l31=4h4V0;`>7}#=o=1:6*:f785?x{zu2ch?7>5$3c2>f5<^;k86k38p(8h8:79'1c0=>2wvqp5fc583>!4f93i?7[<,7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f482=c=#;;919k94}%447?c7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f482=c=#;;919k84}%447?c7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f48`7>"4::0>j:5r$750>2=z^8o36?uYed81!3a=3i?7)==3;7e3>{#>>91=>5r}|9j6=d=83.9m<4=8c9U6d5=:rF9m84>{W3``?4|,8o26?6m;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=d<,:8868h8;|&536<6:2wvq6g=8`83>!4f9383m6X=a281I4f=3;pZj84=8`9'775==o=0q)883;31?x{z3f;o97>5$3c2>4b232wi84950;194?6|,;k:6?7j;I0:1>o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn977:182>5<7s-8j=72m7>54;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1n6*:f78a?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{2n7>57;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6825>"2n?0:=6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:no4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb5;`>5=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=j2.>j;4m;|~y>o6i80;6)P5i:0:wAa09U5j:4>1:&6b3<692wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g5954=#=o<1=<5r}|8m4de290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3af>P61j09w);i7;32?!3a>3;:7psr}:k2ff<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nn5Y18a96~"2n>0:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a0t$3c2>35<@;3>7d?n0;29 7g6283m7[;|~y>o6i80;6)P5i:0:wAa09U5j:4>1:&6b3<692wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g5954=#=o<1=<5r}|8m4de290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3af>P61j09w);i7;32?!3a>3;:7psr}:k2ff<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nn5Y18a96~"2n>0:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a0t$3c2>4b33A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0e4gd3_;2o73=#=o<1:6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`7g`<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[50z&1e4<51l1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95f:&6b3d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3lh0;6;4?:1y'6d7=90n0D?7:;%3`4?733`;2j7>5$3c2>4?a3_8j?7?tL3c6>4}Q9jn1>v*>e882=c=Q90i1>v*:f685?!3a>3<0qpsr;ha0>5<#:h;1o>5Y2`195~J5i<0:w[?ld;0x 4c>2j90Z<7l:3y'1c1=>2.>j;49;|~y>od<3:1(?o>:b68R7g42;qG>l;51zT2ga<5s-;n57m;;W3:g?4|,{zuE8j87:tV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b2=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b3=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3i87)==3;7e3>{#>>91;6sY1d:96~Pbm38p(8h::b68 6442{zu2c94o4?:%0b5?4?j2\9m>4={M0b1?7|^8io6?u+1d;96=d<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:3:b?S4f;38p@?o::0yU5fb=:r.:i44=8`9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4o0f6>5<#:h;1=i;4;|`7gc<72:0;6=u+2`3967d?n0;29 7g6283m7E0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj=n;6=4>:183!4f938j46F=949l5a3=83.9m<4>d498yg2c:3:1?7>50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470i7);i6;`8yx{z3`;jo7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;32?!3a>3;:7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th?h84?:483>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;`8 0`12k1vqps4i0c2>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7l4$4d5>g=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi8i850;794?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{l?518d8R7g428qG>l;51zTe4?4|,8o26477[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02k1/9k85b:~yx=n9hi1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74gd3_;2o7g=#=o<1n6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1n6*:f78a?x{zu2c:nl4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fd=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi8i650;494?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{k38p(8h8:c9'1c0=j2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{o=7>58;294~"5i80:h95G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0=7);i6;48yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;48 0`12?1vqps4i0`b>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02?1/9k856:~yx=n9kh1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k956:&6b3<13twvq6g>bb83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=?d;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb230>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a74d=8391<7>t$3c2>7?b3A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7h4$4d5>c=zutw0c4b23_;2o73=#=o<1:6sr}|9~f67f29086=4?{%0b5?7c<2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<61m1C>4;4$0a3>42P61j09w);i7;48 0`12?1vqps4ib194?"5i80h?6X=a282I4f=3;pZl?5c59U6d5=:rF9m84>{W3``?4|,8o26n:4V0;`>7}#=o=146*:f78;?x{zD;k?69uY28097~Pbm38p(8h::b68 6442{#>>91i6sY28097~Pbm38p(8h::b68 6442{#>>91i6sY28097~Pbm38p(8h::b68 6442<<:4d4?x"1?:0<7pX>e981Scb2;q/9k;5c59'775==o=0q)883;30?x{z3`83n7>5$3c2>7>e3_8j?74}Q9jn1>v*>e881v*:f6826>"2n?0:>6sr}M0b0?7|^;3j6?uYed81!3a=383n6*<2286b2=z,?=86<<4}|8m7>f290/>l?529c8R7g42;qG>l;51zT2ga<5s-;n57<7a:T2=f<5s-?m;7?=;%7e2?753twv@?o;:0yU67>f3-99?7;i7:'225=9;1vqp5`1e794?"5i80:h854}c13a?6=;3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`04c<7280;6=u+2`396d><@;3>7b?k5;29 7g628n>76sm30394?1=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7l4$4d5>g=zutw0e69b81!3a?3h0(8h9:c9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;`8 0`12k1vqps4i0`a>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd49;0;6:4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7l4$4d5>g=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7g=#=o<1n6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=oo50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g5954=#=o<1=<5r}|8m4de290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3af>P61j09w);i7;32?!3a>3;:7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th8==4?:283>5}#:h;1=i:4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;784$4d5>3=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?<750;194?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4m;%7e2?d7[:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1o6*:f78`?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f68`?!3a>3i0qpsr;h3ae?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1ca94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jm0;6)P5i:0:wAbe9U5j:4l;%7e2?e0h7);i6;a8yx{z3`;ij7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3ab>P61j09w);i7;31?!3a>3;97psr}:k2e7<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`08R4?d2;q/9k95139'1c0=9;1vqps4i0c0>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n3:T2=f<5s-?m;7?=;%7e2?753twvq6g>a583>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l:4V0;`>7}#=o=1=?5+5g4957=zutw0e4g23_;2o73=#=o<1:6sr}|9j5d0=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l84V0;`>7}#=o=1:6*:f785?x{zu2c:m:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e2=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{51083>5}#:h;1:>5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02j1/9k85c:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7m4$4d5>f=zutw0e4de3_;2o7f=#=o<1o6sr}|9j5ge=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=om4V0;`>7}#=o=1o6*:f78`?x{zu2c:ni4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fa=Q90i1>v*:f68`?!3a>3i0qpsr;h3aa?6=,;k:6<7i;I0b4>P5i:0:wAbd9U5j:4l;%7e2?eo6i;0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d4<^83h6?u+5g5957=#=o<1=?5r}|8m4g4290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b7>P61j09w);i7;31?!3a>3;97psr}:k2e1<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`68R4?d2;q/9k95139'1c0=9;1vqps4i0c6>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k>7[?6c;0x 0`02?1/9k856:~yx=n9h<1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`48R4?d2;q/9k956:&6b3<13twvq6g>a683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i>1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=>6;297?6=8r.9m<4>d59K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c0e6?6=;3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1j6*:f78e?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`1b4<72?0;6=u+2`3957)?l0;37?l7>n3:1(?o>:0;e?S4f;3;p@?o::0yU5fb=:r.:i44>9g9U5j:49;%7e2?0l=51zN1e0<6s_;hh7f5<^83h6?u+5g592>"2n?0=7psr}:k`0?6=,;k:6n:4V3c0>7}K:h?1=vX>ce81!7b13i?7[?6c;0x 0`0211/9k858:~yI4f<3>pZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f69~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f79~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?e43-99?7;i7:'225=?2w]=h652zTfa?4|,6n:4$200>0`03t.=;>4>3:~y>o50k0;6)P5i:09wAi38pZhk52z&6b0<50k1/??=55g58y!00;3;97psr;h0;e?6=,;k:6?6n;W0b7?4|D;k>60:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;e>"4::0>j:5r$750>446<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm2d`94?7=83:p(?o>:3c;?M4>=2e:h84?:%0b5?7c=21vn?kk:187>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4m;%7e2?d0:=6*:f7825>{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn?kj:1825?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3a3?6=,;k:6<7i;I0b4>P5i:0:wAb69U5j:4>1:&6b3<692wvqp5f1cc94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1b=om50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`028;0(8h9:038yx{z3`;ih7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jm1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882f`=Q90i1>v*:f6825>"2n?0:=6sr}|9j5g`=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oh4V0;`>7}#=o=1:6*:f785?x{zu2c:m?4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e7=Q90i1>v*:f685?!3a>3<0qpsr;h3b7?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1`794?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h?0Z<7l:3y'1c1=>2.>j;49;|~y>o6i?0;6)P5i:0:wAa79U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn?ki:1825?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3a3?6=,;k:6<7i;I0b4>P5i:0:wAb69U5j:4>1:&6b3<692wvqp5f1cc94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1b=om50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`028;0(8h9:038yx{z3`;ih7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a`>P61j09w);i7;32?!3a>3;:7psr}:k2f`<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cg8R4?d2;q/9k95109'1c0=981vqps4i0`e>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hm7[?6c;0x 0`02?1/9k856:~yx=n9h81<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`08R4?d2;q/9k956:&6b3<13twvq6g>a283>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i:1]=4m52z&6b2<13-?m:784}|~?l7f<3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n4:T2=f<5s-?m;784$4d5>3=zutw0e4g23_;2o73=#=o<1:6sr}|9j5d0=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l84V0;`>7}#=o=1:6*:f785?x{zu2c:m:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e2=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{54;294~"5i80:h95G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c0e7?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f7`f29096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e;9i1<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;d8 0`12o1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;lo6=49:183!4f93;2h6F=949'5f6=9=1b=4h50;&1e4<61o1]>l=51zN1e0<6s_;hh74?a3_;2o73=#=o<1:6sr}|9jg6<72-8j=7m<;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{1<7*=a08`0>P5i:09wAj:47;%7e2?>l:54zT1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`03t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`13t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1o>5+33191c1v*:f48`0>"4::0>j:5r$750>454o52zTfa?4|,6?6m;%117?3a?2w/::=5139~yx=n:1k1<7*=a081vB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1k0(><<:4d4?x"1?:0:>6sr}:m2`0<72-8j=7?k5:9~f7`329086=4?{%0b5?4>m2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<5i11C>4;4o0f6>5<#:h;1=i;4;|`1b2<72=0;6=u+2`3926=O:0?0e4g73_;2o7g=#=o<1n6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`1b=<728;1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0:=6*:f7825>{zut1b=l?50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`028;0(8h9:038yx{z3`;jo7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<692.>j;4>1:~yx=n9k=1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74d03_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fd=Q90i1>v*:f6825>"2n?0:=6sr}|9j5gd=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3ag?6=,;k:6<7i;I0b4>P5i:0:wAbb9U5j:4>1:&6b3<692wvqp5f1cf94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470i7);i6;`8yx{z3`;ij7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jo1]=4m52z&6b2<692.>j;4>1:~yx=n9h81<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`08R4?d2;q/9k95109'1c0=981vqps4i0c0>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k87[?6c;0x 0`02?1/9k856:~yx=n9h>1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`68R4?d2;q/9k956:&6b3<13twvq6g>a483>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i<1]=4m52z&6b2<13-?m:784}|~?l7f>3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n6:T2=f<5s-?m;784$4d5>3=zutw0e4g03_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi>k750;32>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5954=#=o<1=<5r}|8m4g6290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95109'1c0=981vqps4i0`4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?>;%7e2?763twvq6g>b`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:nn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6jl0;6)P5i:0:wAbd9U5j:4>1:&6b3<692wvqp5f1cd94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2647;|~y>o6i:0;6)P5i:0:wAa29U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l850;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d0<^83h6?u+5g592>"2n?0=7psr}:k2e2<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m:5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c0e2?6=<3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{53;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1o6*:f78`?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn>>::181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm31`94?5=83:p(?o>:3;f?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3l0(8h9:g9~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb22b>5<1290;w)N51<1/=n>5159j5<`=83.9m<4>9g9U6d5=9rF9m84>{W3``?4|,8o26<7i;W3:g?4|,{zut1bo>4?:%0b5?e43_8j?7?tL3c6>4}Q9jn1>v*>e88`7>P61j09w);i7;48 0`12?1vqps4ib694?"5i80h86X=a281I4f=3;pZ7}#=o?1o95+33191c17}#=o?1o95+33191c17}#=o?1o95+33191c1e290/>l?529`8R7g42;qG>l;51zT2ga<5s-;n57<7b:T2=f<5s-?m;7?=;%7e2?753twv@?o;:0yU67>e3-99?7;i7:'225=9;1vqp5f29c94?"5i8094l5Y2`196~J5i<0:w[?ld;0x 4c>2;2j7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529c8 6442{zu2e:h84?:%0b5?7c=21vn?hi:180>5<7s-8j=7<6e:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=?0;295?6=8r.9m<4=a99K6<36=4+2`395a3<3th85}#:h;1:>5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`028;0(8h9:038yx{z3`;jo7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;32?!3a>3;:7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th8<>4?:0394?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4>1:&6b3<692wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1b=ol50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hi7[?6c;0x 0`028;0(8h9:038yx{z3`;io7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2<692.>j;4>1:~yx=n9kn1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dc3_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882f`=Q90i1>v*:f68a?!3a>3h0qpsr;h3ab?6=,;k:6<7i;I0b4>P5i:0:wAbg9U5j:4>1:&6b3<692wvqp5f1`094?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h80Z<7l:3y'1c1=>2.>j;49;|~y>o6i:0;6)P5i:0:wAa29U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l850;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d0<^83h6?u+5g592>"2n?0=7psr}:k2e2<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m:5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c130?6=980;6=u+2`3926=O:0?0e69b81!3a?3;:7);i6;32?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6j>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5954=#=o<1=<5r}|8m4df290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;32?!3a>3;:7psr}:k2fg<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95109'1c0=981vqps4i0``>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?mc:T2=f<5s-?m;7?>;%7e2?763twvq6g>be83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oj4V0;`>7}#=o=1=<5+5g4954=zutw0e4db3_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fc=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d4=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l<4V0;`>7}#=o=1:6*:f785?x{zu2c:m>4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e6=Q90i1>v*:f685?!3a>3<0qpsr;h3b0?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395<`<^;k86k38p(8h8:79'1c0=>2wvqp5f1`494?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h<0Z<7l:3y'1c1=>2.>j;49;|~y>o6i>0;6)P5i:0:wAa69U5j:49;%7e2?07[50z&1e4<6l=1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=?6;292?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95c:&6b3a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=k2.>j;4l;|~y>o6j>0;6)P5i:0:wAb69U5j:4>2:&6b3<6:2wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=9;1/9k85139~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb224>5<0290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=oo50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02880(8h9:008yx{z3`;in7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3af>P61j09w);i7;31?!3a>3;97psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th8<54?:283>5}#:h;1=i:4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;784$4d5>3=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi??o50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c100?6=>3:1l?518d8R7g428qG>l;51zT2ga<5s-;n57?6f:T2=f<5s-?m;784$4d5>3=zutw0en=50;&1e44>{M0b1?7|^8io6?u+1d;9g6=Q90i1>v*:f685?!3a>3<0qpsr;ha7>5<#:h;1o95Y2`196~J5i<0:w[?ld;0x 4c>2j>0Z<7l:3y'1c1=02.>j;47;|~H7g32=q]>4<53zTfa?4|,6n:4$200>0`03t\nj74?a3-99?7;i7:'225=m2w]>4<53zTfa?4|,6n:4$200>0`03t\nj74?a3-99?7;i6:'225=m2w]>4<53zTfa?4|,6n:4$200>0`03t\nj7f5<,:8868h8;|&536<03t\:i54={Wgf>7}#=o?1o95+33191c1:3:a?S4f;38p@?o::0yU5fb=:r.:i44=8c9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4i3:b>5<#:h;1>5o4V3c0>7}K:h?1=vX>ce81!7b1383m6X>9b81!3a?3;97);i6;31?x{zD;k?6l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a77e=83;1<7>t$3c2>7g?3A8296a>d483>!4f93;o965rb20f>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4>1:&6b3<692wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e;;l1<7=50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;I0b4>P5i:0:wAa19U5j:4>1:&6b3<692wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,477[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59f>"2n?0i7psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95b:&6b3b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fd=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi??j50;694?6|,;k:6o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a764=838:6=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7m4$4d5>f=zutw0e4gd3_;2o7f=#=o<1o6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1o6*:f78`?x{zu2c:nl4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fd=Q90i1>v*:f68`?!3a>3i0qpsr;h3af?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1cf94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kn0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jl0;6)P5i:0:wAbd9U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l=50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d5<^83h6?u+5g59g>"2n?0h7psr}:k2e1<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m95Y18a96~"2n>0h7);i6;a8yx{z3`;j97>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b1>P61j09w);i7;a8 0`12j1vqps4i0c5>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k=7[?6c;0x 0`02j1/9k85c:~yx=n9h=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`58R4?d2;q/9k95c:&6b3a983>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l64V0;`>7}#=o=1=?5+5g4957=zutw0e4g>3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ed=Q90i1>v*:f6826>"2n?0:>6sr}|9j5dd=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3b`?6=,;k:6<7i;I0b4>P5i:0:wAae9U5j:4>2:&6b3<6:2wvqp5f1`g94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9ho0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:79'1c0=>2wvqp5f1c294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k:0Z<7l:3y'1c1=>2.>j;49;|~y>o6j80;6)P5i:0:wAb09U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o:50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g2<^83h6?u+5g592>"2n?0=7psr}:k2f0<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n85Y18a96~"2n>0=7);i6;48yx{z3`;i:7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a2>P61j09w);i7;48 0`12?1vqps4i0`;>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h37[?6c;0x 0`02?1/9k856:~yx=n9k31<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c;8R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd4;<0;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{56;294~"5i80:5i5G2878 4e728>0e<7i:18'6d7=90l0Z?o<:0yO6d3=9r\:oi4={%3f=?7>n2\:5n4={%7e3?0<,7}#9l31o>5Y18a96~"2n>0=7);i6;48yx{z3`i?6=4+2`39g1=Q:h91>vB=a482S7dl38p(f2<,:8868h8;|Tfb?4|,6<7i;%117?3a?2w/::=5e:U6<4=;r\ni7f2<,:8868h8;|Tfb?4|,6<7i;%117?3a>2w/::=5e:U6<4=;r\ni7f2<,:8868h8;|Tfb?4|,6n=4$200>0`03t.=;>48;|T2a=<5s_on6?u+5g79g1=#;;919k94}%447?743twv7d<7b;29 7g62;2i7[j;4>2:~yI4f<3;pZ?7n:3yUa`<5s-?m97<7b:&066<2n>1v(;9<:008yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2j7)==3;7e3>{#>>91=?5r}|9l5a3=83.9m<4>d498yg54>3:1?7>50z&1e4<51l1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;784$4d5>3=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?>950;394?6|,;k:6?o7;I0:1>i6l<0;6)=zj:926=4<:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0i7);i6;`8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm32c94?5=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<692.>j;4>1:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=<8;297?6=8r.9m<4>d59K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c170?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f62f290=6=4?{%0b5?7>l2B9585+1b2951=n90l1<7*=a082=c=Q:h91=vB=a482S7dl38p(k38p(8h8:79'1c0=>2wvqp5fc283>!4f93i87[7}#9l31o95Y18a96~"2n>037);i6;:8yx{K:h>18vX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d4?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d5?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=k:1/??=55g58y!00;3=0q[?j8;0xR`c=:r.>j84l4:&066<2n>1v(;9<:018yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2i7)==3;7e3>{#>>91=?5r}|9j6=g=83.9m<4=8`9U6d5=:rF9m84>{W3``?4|,8o26?6n;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=g<,:8868h8;|&536<6:2wvq6a>d483>!4f93;o965rb21f>5<4290;w)N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd4;o0;6<4?:1y'6d7=:h20D?7:;n3g1?6=,;k:6t$3c2>35<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a714=83<1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0i7);i6;`8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7?>;%7e2?763twvq6g>b683>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`006<72>0;6=u+2`3926=O:0?0e69b81!3a?3h0(8h9:c9~yx{k38p(8h8:c9'1c0=j2wvqp5f1`a94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=j2.>j;4m;|~y>o6j>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5954=#=o<1=<5r}|8m4df290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;32?!3a>3;:7psr}:k2fg<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj:>;6=4;:183!4f93;o86F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb266>5<593:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0h7);i6;a8yx{z3`;jo7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3i0(8h9:b9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ag>P61j09w);i7;a8 0`12j1vqps4i0`g>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?md:T2=f<5s-?m;7m4$4d5>f=zutw0e4db3_;2o7f=#=o<1o6sr}|9j5g`=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oh4V0;`>7}#=o=1o6*:f78`?x{zu2c:m?4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e7=Q90i1>v*:f68`?!3a>3i0qpsr;h3b7?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1`794?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h?0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i?0;6)P5i:0:wAa79U5j:4l;%7e2?e0h7);i6;a8yx{z3`;j47>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i11]=4m52z&6b2<6:2.>j;4>2:~yx=n9h31<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g>3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ed=Q90i1>v*:f6826>"2n?0:>6sr}|9j5dd=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=ll4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2c:mh4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e`=Q90i1>v*:f685?!3a>3<0qpsr;h3bb?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k;0Z<7l:3y'1c1=>2.>j;49;|~y>o6j;0;6)P5i:0:wAb39U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o;50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g3<^83h6?u+5g592>"2n?0=7psr}:k2f3<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n;5Y18a96~"2n>0=7);i6;48yx{z3`;i47>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a<>P61j09w);i7;48 0`12?1vqps4i0`:>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h27[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm35494?46290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jh0;6)P5i:0:wAb`9U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=oj50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gb<^83h6?u+5g59g>"2n?0h7psr}:k2f`<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nh5Y18a96~"2n>0h7);i6;a8yx{z3`;ij7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3ab>P61j09w);i7;a8 0`12j1vqps4i0c1>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k97[?6c;0x 0`02j1/9k85c:~yx=n9h91<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`18R4?d2;q/9k95c:&6b3a583>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i=1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n5:T2=f<5s-?m;7m4$4d5>f=zutw0e4g13_;2o7f=#=o<1o6sr}|9j5d1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l94V0;`>7}#=o=1o6*:f78`?x{zu2c:m54?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h20Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;h3be?6=,;k:6<7i;I0b4>P5i:0:wAa`9U5j:4>2:&6b3<6:2wvqp5f1``94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=lk50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95dc<^83h6?u+5g5957=#=o<1=?5r}|8m4ga290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3bb>P61j09w);i7;31?!3a>3;97psr}:k2f5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n=5Y18a96~"2n>0=7);i6;48yx{z3`;i=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a5>P61j09w);i7;48 0`12?1vqps4i0`1>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h97[?6c;0x 0`02?1/9k856:~yx=n9k91<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c18R4?d2;q/9k956:&6b3<13twvq6g>b583>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j=1]=4m52z&6b2<13-?m:784}|~?l7e=3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m5:T2=f<5s-?m;784$4d5>3=zutw0e4d13_;2o73=#=o<1:6sr}|9j5g>=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o64V0;`>7}#=o=1:6*:f785?x{zu2c:n44?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f<=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{52083>5}#:h;1:>5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59g>"2n?0h7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0h7);i6;a8yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;a8 0`12j1vqps4i0`b>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02j1/9k85c:~yx=n9kh1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95c:&6b3bb83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?md:T2=f<5s-?m;7m4$4d5>f=zutw0e4db3_;2o7f=#=o<1o6sr}|9j5g`=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oh4V0;`>7}#=o=1o6*:f78`?x{zu2c:m?4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e7=Q90i1>v*:f68`?!3a>3i0qpsr;h3b7?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1`794?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h?0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i?0;6)P5i:0:wAa79U5j:4l;%7e2?el?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b<>P61j09w);i7;31?!3a>3;97psr}:k2e<<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m45Y18a96~"2n>0:>6*:f7826>{zut1b=lo50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kj7[?6c;0x 0`02880(8h9:008yx{z3`;jn7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6ik1]=4m52z&6b2<6:2.>j;4>2:~yx=n9hn1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74gc3_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ne:T2=f<5s-?m;7?=;%7e2?753twvq6g>ag83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lh4V0;`>7}#=o=1=?5+5g4957=zutw0e4d73_;2o73=#=o<1:6sr}|9j5g7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o?4V0;`>7}#=o=1:6*:f785?x{zu2c:n?4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f7=Q90i1>v*:f685?!3a>3<0qpsr;h3a7?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c794?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k?0Z<7l:3y'1c1=>2.>j;49;|~y>o6j?0;6)P5i:0:wAb79U5j:49;%7e2?0290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a71>=83>1<7>t$3c2>4b33A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0e4gd3_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?9l50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c163:1l?518d8R7g428qG>l;51zT2ga<5s-;n57?6f:T2=f<5s-?m;784$4d5>3=zutw0en=50;&1e44>{M0b1?7|^8io6?u+1d;9g6=Q90i1>v*:f685?!3a>3<0qpsr;ha7>5<#:h;1o95Y2`196~J5i<0:w[?ld;0x 4c>2j>0Z<7l:3y'1c1=02.>j;47;|~H7g32=q]>4<53zTfa?4|,6n:4$200>0`03t\nj74?a3-99?7;i7:'225=m2w]>4<53zTfa?4|,6n:4$200>0`03t\nj74?a3-99?7;i6:'225=m2w]>4<53zTfa?4|,6n:4$200>0`03t\nj7f5<,:8868h8;|&536<03t\:i54={Wgf>7}#=o?1o95+33191c1:3:a?S4f;38p@?o::0yU5fb=:r.:i44=8c9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4i3:b>5<#:h;1>5o4V3c0>7}K:h?1=vX>ce81!7b1383m6X>9b81!3a?3;97);i6;31?x{zD;k?6l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a71b=83;1<7>t$3c2>7g?3A8296a>d483>!4f93;o965rb26e>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb273>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4>1:&6b3<692wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e;=o1<7=50;2x 7g628n?7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj:?96=4<:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm34194?46290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jh0;6)P5i:0:wAb`9U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=oj50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28ho7[?6c;0x 0`02j1/9k85c:~yx=n9ko1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cg8R4?d2;q/9k95c:&6b3bg83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jo1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n2:T2=f<5s-?m;7m4$4d5>f=zutw0e4g43_;2o7f=#=o<1o6sr}|9j5d2=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l:4V0;`>7}#=o=1o6*:f78`?x{zu2c:m84?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e0=Q90i1>v*:f68`?!3a>3i0qpsr;h3b2?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b<>P61j09w);i7;31?!3a>3;97psr}:k2e<<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`;8R4?d2;q/9k95139'1c0=9;1vqps4i0cb>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?na:T2=f<5s-?m;7?=;%7e2?753twvq6g>ac83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ik1]=4m52z&6b2<13-?m:784}|~?l7fl3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nd:T2=f<5s-?m;784$4d5>3=zutw0e4gb3_;2o73=#=o<1:6sr}|9j5d`=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lh4V0;`>7}#=o=1:6*:f785?x{zu2c:n=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f5=Q90i1>v*:f685?!3a>3<0qpsr;h3a5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c194?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k90Z<7l:3y'1c1=>2.>j;49;|~y>o6j=0;6)P5i:0:wAb59U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o650;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g><^83h6?u+5g592>"2n?0=7psr}:k2f<<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n45Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c160?6=:80;6=u+2`3926=O:0?0e4g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f68`?!3a>3i0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1c`94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jj0;6)P5i:0:wAbb9U5j:4l;%7e2?e0h7);i6;a8yx{z3`;ii7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jl1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mf:T2=f<5s-?m;7m4$4d5>f=zutw0e4g53_;2o7f=#=o<1o6sr}|9j5d5=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l=4V0;`>7}#=o=1o6*:f78`?x{zu2c:m94?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e1=Q90i1>v*:f68`?!3a>3i0qpsr;h3b1?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1`594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l650;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d><^83h6?u+5g5957=#=o<1=?5r}|8m4g>290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b=>P61j09w);i7;31?!3a>3;97psr}:k2ed<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`c8R4?d2;q/9k95139'1c0=9;1vqps4i0ca>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28ki7[?6c;0x 0`02?1/9k856:~yx=n9hn1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`f8R4?d2;q/9k956:&6b3<13twvq6g>ad83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6il1]=4m52z&6b2<13-?m:784}|~?l7fn3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nf:T2=f<5s-?m;784$4d5>3=zutw0e4d73_;2o73=#=o<1:6sr}|9j5g7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o?4V0;`>7}#=o=1:6*:f785?x{zu2c:n?4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f7=Q90i1>v*:f685?!3a>3<0qpsr;h3a7?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c794?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k?0Z<7l:3y'1c1=>2.>j;49;|~y>o6j?0;6)P5i:0:wAb79U5j:49;%7e2?0290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a703=838:6=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1cc94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=ol50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hi7[?6c;0x 0`02j1/9k85c:~yx=n9ki1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dd3_;2o7f=#=o<1o6sr}|9j5gb=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1cg94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=oh50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g`<^83h6?u+5g59g>"2n?0h7psr}:k2e7<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m?5Y18a96~"2n>0h7);i6;a8yx{z3`;j?7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b7>P61j09w);i7;a8 0`12j1vqps4i0c7>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k?7[?6c;0x 0`02j1/9k85c:~yx=n9h?1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`78R4?d2;q/9k95c:&6b3a783>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i?1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e2=Q90i1>v*:f68`?!3a>3i0qpsr;h3b69b81!3a?3;97);i6;31?x{zu2c:m44?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h30Z<7l:3y'1c1=9;1/9k85139~yx{o6ik0;6)P5i:0:wAac9U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=lh50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d`<^83h6?u+5g592>"2n?0=7psr}:k2f5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n=5Y18a96~"2n>0=7);i6;48yx{z3`;i=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a5>P61j09w);i7;48 0`12?1vqps4i0`1>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h97[?6c;0x 0`02?1/9k856:~yx=n9k91<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c18R4?d2;q/9k956:&6b3<13twvq6g>b583>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j=1]=4m52z&6b2<13-?m:784}|~?l7e=3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m5:T2=f<5s-?m;784$4d5>3=zutw0e4d13_;2o73=#=o<1:6sr}|9j5g>=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o64V0;`>7}#=o=1:6*:f785?x{zu2c:n44?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f<=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{:7>55;294~"5i80:h95G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0=7);i6;48yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj:?26=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?;:50;494?6|,;k:6<7k;I0:1>"6k90:86g>9g83>!4f93;2j6X=a282I4f=3;pZ2.>j;49;|~y>od;3:1(?o>:b18R7g428qG>l;51zT2ga<5s-;n57m<;W3:g?4|,{zut1bo94?:%0b5?e33_8j?74}Q9jn1>v*>e88`0>P61j09w);i7;:8 0`1211vqpB=a587S4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o=0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o<0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84l3:&066<2n>1v(;9<:69~R4c?2;q]ih4={%7e1?e33-99?7;i7:'225=9:1vqp5f29`94?"5i8094o5Y2`196~J5i<0:w[?ld;0x 4c>2;2i7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529`8 6442{zu2c94l4?:%0b5?4?i2\9m>4={M0b1?7|^8io6?u+1d;96=g<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:0f6?>{e;a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=:b;295?6=8r.9m<4=a99K6<36=4+2`395a3<3th89i4?:283>5}#:h;1:>5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th89h4?:3394?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7l4$4d5>g=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7g=#=o<1n6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1n6*:f78a?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f68a?!3a>3h0qpsr;h3ae?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{k38p(8h8:c9'1c0=j2wvqp5f1ca94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=j2.>j;4m;|~y>o6jm0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gb<^83h6?u+5g59f>"2n?0i7psr}:k2f`<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nh5Y18a96~"2n>0i7);i6;`8yx{z3`;ij7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3ab>P61j09w);i7;`8 0`12k1vqps4i0c1>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k97[?6c;0x 0`02k1/9k85b:~yx=n9h91<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`18R4?d2;q/9k95b:&6b3a583>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i=1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n5:T2=f<5s-?m;7l4$4d5>g=zutw0e4g13_;2o7g=#=o<1n6sr}|9j5d1=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1`:94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1b=lo50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kj7[?6c;0x 0`028;0(8h9:038yx{z3`;jn7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bf>P61j09w);i7;48 0`12?1vqps4i0cg>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28ko7[?6c;0x 0`02?1/9k856:~yx=n9ho1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`g8R4?d2;q/9k956:&6b3<13twvq6g>ag83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6io1]=4m52z&6b2<13-?m:784}|~?l7e83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m0:T2=f<5s-?m;784$4d5>3=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74d63_;2o73=#=o<1:6sr}|9j5g4=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o<4V0;`>7}#=o=1:6*:f785?x{zu2c:n>4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f6=Q90i1>v*:f685?!3a>3<0qpsr;h3a0?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395<`<^;k86k38p(8h8:79'1c0=>2wvqp5f1c494?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k<0Z<7l:3y'1c1=>2.>j;49;|~y>o6j10;6)P5i:0:wAb99U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn>;i:1815?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95b:&6b3a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7l4$4d5>g=zutw0e4d03_;2o7g=#=o<1n6sr}|9j5gg=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1n6*:f78a?x{zu2c:no4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f68a?!3a>3h0qpsr;h3ag?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3aa>P61j09w);i7;`8 0`12k1vqps4i0`e>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hm7[?6c;0x 0`02k1/9k85b:~yx=n9h81<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`08R4?d2;q/9k95b:&6b3a283>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i:1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n4:T2=f<5s-?m;7l4$4d5>g=zutw0e4g23_;2o7g=#=o<1n6sr}|9j5d0=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l84V0;`>7}#=o=1n6*:f78a?x{zu2c:m:4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h=0Z<7l:3y'1c1=j2.>j;4m;|~y>o6i10;6)P5i:0:wAa99U5j:4>1:&6b3<692wvqp5f1`;94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1b=ll50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95dd<^83h6?u+5g592>"2n?0=7psr}:k2ea<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mi5Y18a96~"2n>0=7);i6;48yx{z3`;ji7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3ba>P61j09w);i7;48 0`12?1vqps4i0ce>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28km7[?6c;0x 0`02?1/9k856:~yx=n9k:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c28R4?d2;q/9k956:&6b3<13twvq6g>b083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j81]=4m52z&6b2<13-?m:784}|~?l7e:3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m2:T2=f<5s-?m;784$4d5>3=zutw0e4d43_;2o73=#=o<1:6sr}|9j5g2=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o:4V0;`>7}#=o=1:6*:f785?x{zu2c:n84?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f0=Q90i1>v*:f685?!3a>3<0qpsr;h3a2?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c;94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k30Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?077=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;`8 0`12k1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02k1/9k85b:~yx=n9hi1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74gd3_;2o7g=#=o<1n6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1n6*:f78a?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=j2.>j;4m;|~y>o6jk0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g59f>"2n?0i7psr}:k2ff<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751ca8R4?d2;q/9k95b:&6b3be83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oj4V0;`>7}#=o=1n6*:f78a?x{zu2c:nh4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f`=Q90i1>v*:f68a?!3a>3h0qpsr;h3ab?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{k38p(8h8:c9'1c0=j2wvqp5f1`194?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h90Z<7l:3y'1c1=j2.>j;4m;|~y>o6i=0;6)P5i:0:wAa59U5j:4m;%7e2?dl?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l950;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k<7[?6c;0x 0`02k1/9k85b:~yx=n9h21<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g?3_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e<=Q90i1>v*:f6825>"2n?0:=6sr}|9j5dg=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3bf?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1`g94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9ho0Z<7l:3y'1c1=>2.>j;49;|~y>o6io0;6)P5i:0:wAag9U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=o<50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g4<^83h6?u+5g592>"2n?0=7psr}:k2f6<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n>5Y18a96~"2n>0=7);i6;48yx{z3`;i87>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a0>P61j09w);i7;48 0`12?1vqps4i0`6>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h>7[?6c;0x 0`02?1/9k856:~yx=n9k<1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c48R4?d2;q/9k956:&6b3<13twvq6g>b983>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j11]=4m52z&6b2<13-?m:784}|~?l7e13:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m9:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f6062909=7>50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=j2.>j;4m;|~y>o6i80;6)P5i:0:wAa09U5j:4m;%7e2?d0i7);i6;`8yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;`8 0`12k1vqps4i0`b>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7l4$4d5>g=zutw0e69b81!3a?3h0(8h9:c9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a`>P61j09w);i7;`8 0`12k1vqps4i0`f>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?me:T2=f<5s-?m;7l4$4d5>g=zutw0e4da3_;2o7g=#=o<1n6sr}|9j5d4=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l<4V0;`>7}#=o=1n6*:f78a?x{zu2c:m>4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e6=Q90i1>v*:f68a?!3a>3h0qpsr;h3b0?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{6=4+2`395<`<^;k86k38p(8h8:c9'1c0=j2wvqp5f1`494?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h<0Z<7l:3y'1c1=j2.>j;4m;|~y>o6i>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d1<^83h6?u+5g59f>"2n?0i7psr}:k2e=<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m55Y18a96~"2n>0:=6*:f7825>{zut1b=l750;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k27[?6c;0x 0`028;0(8h9:038yx{z3`;jm7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6ih1]=4m52z&6b2<692.>j;4>1:~yx=n9hh1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751``8R4?d2;q/9k956:&6b3<13twvq6g>ae83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6im1]=4m52z&6b2<13-?m:784}|~?l7fm3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ne:T2=f<5s-?m;784$4d5>3=zutw0e4ga3_;2o73=#=o<1:6sr}|9j5g6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o>4V0;`>7}#=o=1:6*:f785?x{zu2c:n<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f4=Q90i1>v*:f685?!3a>3<0qpsr;h3a6?6=,;k:6<7i;W0b7?7|D;k>66X>9b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c694?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k>0Z<7l:3y'1c1=>2.>j;49;|~y>o6j<0;6)P5i:0:wAb49U5j:49;%7e2?02\:5n4={%7e3?0<,l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o750;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g?<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th8:?4?:3394?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7l4$4d5>g=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7g=#=o<1n6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=j2.>j;4m;|~y>o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g59f>"2n?0i7psr}:k2fg<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95b:&6b3bb83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=om4V0;`>7}#=o=1n6*:f78a?x{zu2c:ni4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kn0Z<7l:3y'1c1=j2.>j;4m;|~y>o6jl0;6)P5i:0:wAbd9U5j:4m;%7e2?dl?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l=50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d5<^83h6?u+5g59f>"2n?0i7psr}:k2e1<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m95Y18a96~"2n>0i7);i6;`8yx{z3`;j97>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b1>P61j09w);i7;`8 0`12k1vqps4i0c5>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k=7[?6c;0x 0`02k1/9k85b:~yx=n9h=1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g03_;2o7g=#=o<1n6sr}|9j5d>=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3b=?6=,;k:6<7i;I0b4>P5i:0:wAa89U5j:4>1:&6b3<692wvqp5f1`c94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2647l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=lk50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95dc<^83h6?u+5g592>"2n?0=7psr}:k2ec<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mk5Y18a96~"2n>0=7);i6;48yx{z3`;i<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a4>P61j09w);i7;48 0`12?1vqps4i0`2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h:7[?6c;0x 0`02?1/9k856:~yx=n9k81<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c08R4?d2;q/9k956:&6b3<13twvq6g>b283>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j:1]=4m52z&6b2<13-?m:784}|~?l7e<3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m4:T2=f<5s-?m;784$4d5>3=zutw0e4d23_;2o73=#=o<1:6sr}|9j5g0=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o84V0;`>7}#=o=1:6*:f785?x{zu2c:n54?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f==Q90i1>v*:f685?!3a>3<0qpsr;h3a=?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb27`>5<0290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3`;jo7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;48 0`12?1vqps4i0`4>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`02?1/9k856:~yx=n9kk1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k956:&6b3<13twvq6g>bc83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=95;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb252>5<1290;w)N51<1/=n>5159j5<`=83.9m<4>9g9U6d5=9rF9m84>{W3``?4|,8o26<7i;W3:g?4|,{zut1bo>4?:%0b5?e43_8j?7?tL3c6>4}Q9jn1>v*>e88`7>P61j09w);i7;48 0`12?1vqps4ib694?"5i80h86X=a281I4f=3;pZ7}#=o?1o95+33191c17}#=o?1o95+33191c17}#=o?1o95+33191c1e290/>l?529`8R7g42;qG>l;51zT2ga<5s-;n57<7b:T2=f<5s-?m;7?=;%7e2?753twv@?o;:0yU67>e3-99?7;i7:'225=9;1vqp5f29c94?"5i8094l5Y2`196~J5i<0:w[?ld;0x 4c>2;2j7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529c8 6442{zu2e:h84?:%0b5?7c=21vn>89:180>5<7s-8j=7<6e:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=97;295?6=8r.9m<4=a99K6<36=4+2`395a3<3th8:44?:283>5}#:h;1:>5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th8:l4?:283>5}#:h;1:>5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th8:o4?:583>5}#:h;1:>5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>o6ij0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn>8l:187>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4>1:&6b3<692wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?01<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:mn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb24f>5<3290;w)=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4>1:&6b3<692wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e;?l1<7;50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;I0b4>P5i:0:wAa19U5j:4m;%7e2?d;|~y>o6ij0;6)P5i:0:wAab9U5j:4>1:&6b3<692wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26477[50z&1e4<6l=1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<13-?m:784}|~?l7e?3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;784$4d5>3=zutw0e4df3_;2o73=#=o<1:6sr}|9j5gd=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=ol4V0;`>7}#=o=1:6*:f785?x{zu2c:nn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ff=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{i=7>52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn9l6:185>5<7s-8j=7?6d:J1=0=#9j:1=95f18d94?"5i80:5k5Y2`195~J5i<0:w[?ld;0x 4c>283m7[?6c;0x 0`02?1/9k856:~yx=nk:0;6)3=zutw0en:50;&1e44={M0b1?7|^8io6?u+1d;9g1=Q90i1>v*:f68;?!3a>320qpsC2`690~P51;08w[kj:3y'1c3=k=1/??=55g58ySca2;q/9k;518d8 6442>4:f69~ 3142890qps4i3:a>5<#:h;1>5l4V3c0>7}K:h?1=vX>ce81!7b1383n6X>9b81!3a?3;97);i6;31?x{zD;k?65o50;&1e4<50h1]>l=52zN1e0<6s_;hh77>f3_;2o744<,7}#=o?1>5o4$200>0`03t.=;>4>2:~y>i6l<0;6)=zj=h86=4<:183!4f9382i6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{i87>51;294~"5i809m55G2878k4b2290/>l?51e78?xd3j?0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo:m7;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3j<0;6>4?:1y'6d7=9m>0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e69b81!3a?3;:7);i6;32?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb5`b>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a0f6=83<1<7>t$3c2>4?c3A8296*>c1820>o61o0;6)P5i:0:wA3=#=o<1:6sr}|9jg1<72-8j=7m;;W0b7?4|D;k>69b81!3a?320(8h9:99~yxJ5i=0?w[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0<61o1/??=55g58y!00;3o0q[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0<61o1/??=55g48y!00;3o0q[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0>4:f69~ 3142l1vZvB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1h0(><<:4d4?x"1?:0:>6sr}:k17}#9l31>5o4V0;`>7}#=o=1=?5+5g4957=zutF9m94>{W0:e?4|^lo1>v*:f48176sm4ca94?5=83:p(?o>:3;f?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb5`g>5<6290;w)N51<1d=i;50;&1e4<6l<10qo:me;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7g=#=o<1n6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e69b81!3a?3;:7);i6;32?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi8im50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02o1/9k85f:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm4d:94?0=83:p(?o>:0;g?M4>=2.:o=4>4:k2=c<72-8j=7?6f:T1e6<6sE8j97?tV0ag>7}#9l31=4h4V0;`>7}#=o=1:6*:f785?x{zu2ch?7>5$3c2>f5<^;k86k38p(8h8:79'1c0=>2wvqp5fc583>!4f93i?7[<,7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f482=c=#;;919k94}%447?c7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f482=c=#;;919k84}%447?c7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f48`7>"4::0>j:5r$750>2=z^8o36?uYed81!3a=3i?7)==3;7e3>{#>>91=>5r}|9j6=d=83.9m<4=8c9U6d5=:rF9m84>{W3``?4|,8o26?6m;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=d<,:8868h8;|&536<6:2wvq6g=8`83>!4f9383m6X=a281I4f=3;pZj84=8`9'775==o=0q)883;31?x{z3f;o97>5$3c2>4b232wi8ij50;194?6|,;k:6?7j;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c6ga?6=93:16<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`7a4<72:0;6=u+2`3926=O:0?0e69b81!3a?3;:7);i6;32?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb5g1>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb5g0>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb5g7>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`7a0<72:0;6=u+2`3926=O:0?0e69b81!3a?3h0(8h9:c9~yx{;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?01<729q/>l?5629K6<3l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`028;0(8h9:038yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c6gb?6=03:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f685?!3a>3<0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c`94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=>2.>j;49;|~y>o6jj0;6)P5i:0:wAbb9U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj=l96=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi8k850;194?6|,;k:6?7j;I0:1>o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn9h::185>5<7s-8j=7?6d:J1=0=#9j:1=95f18d94?"5i80:5k5Y2`195~J5i<0:w[?ld;0x 4c>283m7[?6c;0x 0`02?1/9k856:~yx=nk:0;6)3=zutw0en:50;&1e44={M0b1?7|^8io6?u+1d;9g1=Q90i1>v*:f68;?!3a>320qpsC2`690~P51;08w[kj:3y'1c3=k=1/??=55g58ySca2;q/9k;518d8 6442>4:f69~ 3142890qps4i3:a>5<#:h;1>5l4V3c0>7}K:h?1=vX>ce81!7b1383n6X>9b81!3a?3;97);i6;31?x{zD;k?65o50;&1e4<50h1]>l=52zN1e0<6s_;hh77>f3_;2o744<,7}#=o?1>5o4$200>0`03t.=;>4>2:~y>i6l<0;6)=zj=oi6=4<:183!4f9382i6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{no7>51;294~"5i809m55G2878k4b2290/>l?51e78?xd3ml0;694?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02k1/9k85b:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3mo0;6:4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95109'1c0=981vqps4i0`4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?>;%7e2?763twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<692.>j;4>1:~yx=n9kh1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj=l;6=47:183!4f93<87E<65:k2e5<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95b:&6b3a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=n9hi1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74gd3_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?>;%7e2?763twvq6g>b`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:nn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb5d2>5=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f6825>"2n?0:=6sr}|9j5gg=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3af?6=,;k:6<7i;I0b4>P5i:0:wAbc9U5j:4>1:&6b3<692wvqp5f1ca94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26477[50z&1e4<6l=1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<13-?m:784}|~?l7e?3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f1`4290:=7>50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02j1/9k85c:~yx=n9hi1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74gd3_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?=;%7e2?753twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kh1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95139'1c0=9;1vqps4i0``>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`02880(8h9:008yx{z3`;ih7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a`>P61j09w);i7;31?!3a>3;97psr}:k2f`<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nh5Y18a96~"2n>0:>6*:f7826>{zut1b=oh50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hm7[?6c;0x 0`02880(8h9:008yx{z3`;j>7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i;1]=4m52z&6b2<6:2.>j;4>2:~yx=n9h91<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`18R4?d2;q/9k956:&6b3<13twvq6g>a583>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i=1]=4m52z&6b2<13-?m:784}|~?l7f=3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n5:T2=f<5s-?m;784$4d5>3=zutw0e4g13_;2o73=#=o<1:6sr}|9j5d1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l94V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`7b2<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[50z&1e4<61m1C>4;4$0a3>42P61j09w);i7;48 0`12?1vqps4ib194?"5i80h?6X=a282I4f=3;pZl?5c59U6d5=:rF9m84>{W3``?4|,8o26n:4V0;`>7}#=o=146*:f78;?x{zD;k?69uY28097~Pbm38p(8h::b68 6442{#>>91i6sY28097~Pbm38p(8h::b68 6442{#>>91i6sY28097~Pbm38p(8h::b68 6442<<:4d4?x"1?:0n7pX>e981Scb2;q/9k;5c59'775==o=0q)883;30?x{z3`83n7>5$3c2>7>e3_8j?74}Q9jn1>v*>e881v*:f6826>"2n?0:>6sr}M0b0?7|^;3j6?uYed81!3a=383n6*<2286b2=z,?=86<<4}|8m7>f290/>l?529c8R7g42;qG>l;51zT2ga<5s-;n57<7a:T2=f<5s-?m;7?=;%7e2?753twv@?o;:0yU67>f3-99?7;i7:'225=9;1vqp5`1e794?"5i80:h854}c6e=?6=;3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`7bd<7280;6=u+2`396d><@;3>7b?k5;29 7g628n>76sm4ga94?5=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;32?!3a>3;:7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95b:&6b3d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3nm0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?>;%7e2?763twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm4g`94?5=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb5d;>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn8>9:185>5<7s-8j=7?6d:J1=0=#9j:1=95f18d94?"5i80:5k5Y2`195~J5i<0:w[?ld;0x 4c>283m7[?6c;0x 0`02?1/9k856:~yx=nk:0;6)3=zutw0en:50;&1e44={M0b1?7|^8io6?u+1d;9g1=Q90i1>v*:f68;?!3a>320qpsC2`690~P51;08w[kj:3y'1c3=k=1/??=55g58ySca2;q/9k;518d8 6442>4:f69~ 3142890qps4i3:a>5<#:h;1>5l4V3c0>7}K:h?1=vX>ce81!7b1383n6X>9b81!3a?3;97);i6;31?x{zD;k?65o50;&1e4<50h1]>l=52zN1e0<6s_;hh77>f3_;2o744<,7}#=o?1>5o4$200>0`03t.=;>4>2:~y>i6l<0;6)=zj<:96=4<:183!4f9382i6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{51;294~"5i809m55G2878k4b2290/>l?51e78?xd28=0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7l4$4d5>g=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb422>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~f37029096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e>;91<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;d8 0`12o1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj?896=49:183!4f93;2h6F=949'5f6=9=1b=4h50;&1e4<61o1]>l=51zN1e0<6s_;hh74?a3_;2o73=#=o<1:6sr}|9jg6<72-8j=7m<;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{1<7*=a08`0>P5i:09wAj:47;%7e2?>l:54zT1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`03t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`13t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1o>5+33191c1v*:f48`0>"4::0>j:5r$750>454o52zTfa?4|,6?6m;%117?3a?2w/::=5139~yx=n:1k1<7*=a081vB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1k0(><<:4d4?x"1?:0:>6sr}:m2`0<72-8j=7?k5:9~f37?29086=4?{%0b5?4>m2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<5i11C>4;4o0f6>5<#:h;1=i;4;|`55g<72:0;6=u+2`3926=O:0?0e4g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`55f<72=0;6=u+2`3926=O:0?0e4g73_;2o7g=#=o<1n6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`55a<728;1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0:=6*:f7825>{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8m4gd290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g59f>"2n?0i7psr}:k2fg<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:no5Y18a96~"2n>0:=6*:f7825>{zut1b=om50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5954=#=o<1=<5r}|8m4dc290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a`>P61j09w);i7;32?!3a>3;:7psr}:k2f`<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cg8R4?d2;q/9k95109'1c0=981vqps4i0`e>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?mf:T2=f<5s-?m;7?>;%7e2?763twvq6g>a383>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i;1]=4m52z&6b2<13-?m:784}|~?l7f;3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n3:T2=f<5s-?m;784$4d5>3=zutw0e4g33_;2o73=#=o<1:6sr}|9j5d3=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l;4V0;`>7}#=o=1:6*:f785?x{zu2c:m;4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e3=Q90i1>v*:f685?!3a>3<0qpsr;h3b3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb73f>5<693:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0:=6*:f7825>{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5954=#=o<1=<5r}|8m4df290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jj0;6)P5i:0:wAbb9U5j:4>1:&6b3<692wvqp5f1cf94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1b=oh50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hm7[?6c;0x 0`028;0(8h9:038yx{z3`;j>7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b6>P61j09w);i7;48 0`12?1vqps4i0c0>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k87[?6c;0x 0`02?1/9k856:~yx=n9h>1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`68R4?d2;q/9k956:&6b3<13twvq6g>a483>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i<1]=4m52z&6b2<13-?m:784}|~?l7f>3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n6:T2=f<5s-?m;784$4d5>3=zutw0e4g03_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi:5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59f>"2n?0i7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95b:&6b3ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1=<5+5g4954=zutw0e4d03_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?>;%7e2?763twvq6g>bc83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<692.>j;4>1:~yx=n9ki1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dd3_;2o7g=#=o<1n6sr}|9j5gb=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oj4V0;`>7}#=o=1=<5+5g4954=zutw0e4db3_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fc=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d4=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3b7?6=,;k:6<7i;I0b4>P5i:0:wAa29U5j:4>1:&6b3<692wvqp5f1`694?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h>0Z<7l:3y'1c1=>2.>j;49;|~y>o6i<0;6)P5i:0:wAa49U5j:49;%7e2?02\:5n4={%7e3?0<,l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a276=83;:6=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1n6*:f78a?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=j2.>j;4m;|~y>o6ij0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5954=#=o<1=<5r}|8m4d0290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jk0;6)P5i:0:wAbc9U5j:4>1:&6b3<692wvqp5f1ca94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;h3aa?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:nk4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kl0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6i:0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d5<^83h6?u+5g5954=#=o<1=<5r}|8m4g3290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l;50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d3<^83h6?u+5g592>"2n?0=7psr}:k2e3<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m;5Y18a96~"2n>0=7);i6;48yx{z3`;j;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b3>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj?;j6=48:183!4f93;o86F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=>2.>j;49;|~y>o6jk0;6)P5i:0:wAbc9U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj:=m6=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?:k50;494?6|,;k:6<7k;I0:1>"6k90:86g>9g83>!4f93;2j6X=a282I4f=3;pZ2.>j;49;|~y>od;3:1(?o>:b18R7g428qG>l;51zT2ga<5s-;n57m<;W3:g?4|,{zut1bo94?:%0b5?e33_8j?74}Q9jn1>v*>e88`0>P61j09w);i7;:8 0`1211vqpB=a587S4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o=0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o<0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84l3:&066<2n>1v(;9<:69~R4c?2;q]ih4={%7e1?e33-99?7;i7:'225=9:1vqp5f29`94?"5i8094o5Y2`196~J5i<0:w[?ld;0x 4c>2;2i7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529`8 6442{zu2c94l4?:%0b5?4?i2\9m>4={M0b1?7|^8io6?u+1d;96=g<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:0f6?>{e;>91<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj:=?6=4>:183!4f938j46F=949l5a3=83.9m<4>d498yg50>3:1?7>50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[<4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02k1/9k85b:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95b:&6b3ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7l4$4d5>g=zutw0e4df3_;2o7g=#=o<1n6sr}|9j5gd=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=ol4V0;`>7}#=o=1n6*:f78a?x{zu2c:nn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ff=Q90i1>v*:f68a?!3a>3h0qpsr;h3a`?6=,;k:6<7i;I0b4>P5i:0:wAbe9U5j:4m;%7e2?dl?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l<50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d4<^83h6?u+5g59f>"2n?0i7psr}:k2e6<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m>5Y18a96~"2n>0i7);i6;`8yx{z3`;j87>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b0>P61j09w);i7;`8 0`12k1vqps4i0c6>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k>7[?6c;0x 0`02k1/9k85b:~yx=n9h<1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`48R4?d2;q/9k95b:&6b3a683>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l94V0;`>7}#=o=1n6*:f78a?x{zu2c:m54?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h20Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6ih0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95dg<^83h6?u+5g5954=#=o<1=<5r}|8m4ge290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=lh50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d`<^83h6?u+5g592>"2n?0=7psr}:k2f5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n=5Y18a96~"2n>0=7);i6;48yx{z3`;i=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a5>P61j09w);i7;48 0`12?1vqps4i0`1>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h97[?6c;0x 0`02?1/9k856:~yx=n9k91<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c18R4?d2;q/9k956:&6b3<13twvq6g>b583>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j=1]=4m52z&6b2<13-?m:784}|~?l7e=3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m5:T2=f<5s-?m;784$4d5>3=zutw0e4d13_;2o73=#=o<1:6sr}|9j5g>=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o64V0;`>7}#=o=1:6*:f785?x{zu2c:n44?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f<=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{52083>5}#:h;1:>5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59f>"2n?0i7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0i7);i6;`8yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;`8 0`12k1vqps4i0`b>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02k1/9k85b:~yx=n9kh1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95b:&6b3bb83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fa=Q90i1>v*:f68a?!3a>3h0qpsr;h3aa?6=,;k:6<7i;I0b4>P5i:0:wAbd9U5j:4m;%7e2?dl?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l=50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d5<^83h6?u+5g59f>"2n?0i7psr}:k2e1<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m95Y18a96~"2n>0i7);i6;`8yx{z3`;j97>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b1>P61j09w);i7;`8 0`12k1vqps4i0c5>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k=7[?6c;0x 0`02k1/9k85b:~yx=n9h=1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g03_;2o7g=#=o<1n6sr}|9j5d>=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l64V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:ml4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hk0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;h3b`?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1`d94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hl0Z<7l:3y'1c1=>2.>j;49;|~y>o6j90;6)P5i:0:wAb19U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o=50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g5<^83h6?u+5g592>"2n?0=7psr}:k2f1<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n95Y18a96~"2n>0=7);i6;48yx{z3`;i97>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a1>P61j09w);i7;48 0`12?1vqps4i0`5>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h=7[?6c;0x 0`02?1/9k856:~yx=n9k21<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c:8R4?d2;q/9k956:&6b3<13twvq6g>b883>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j01]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=8a;2964<729q/>l?5629K6<3k38p(8h8:c9'1c0=j2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=j2.>j;4m;|~y>o6ij0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g59f>"2n?0i7psr}:k2f2<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n:5Y18a96~"2n>0i7);i6;`8yx{z3`;im7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f68a?!3a>3h0qpsr;h3ag?6=,;k:6<7i;I0b4>P5i:0:wAbb9U5j:4m;%7e2?d0i7);i6;`8yx{z3`;ii7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3aa>P61j09w);i7;`8 0`12k1vqps4i0`e>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hm7[?6c;0x 0`02k1/9k85b:~yx=n9h81<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`08R4?d2;q/9k95b:&6b3a283>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i:1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n4:T2=f<5s-?m;7l4$4d5>g=zutw0e4g23_;2o7g=#=o<1n6sr}|9j5d0=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l84V0;`>7}#=o=1n6*:f78a?x{zu2c:m:4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h=0Z<7l:3y'1c1=j2.>j;4m;|~y>o6i10;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d><^83h6?u+5g5954=#=o<1=<5r}|8m4g>290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b=>P61j09w);i7;32?!3a>3;:7psr}:k2ed<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`c8R4?d2;q/9k95109'1c0=981vqps4i0ca>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28ki7[?6c;0x 0`028;0(8h9:038yx{z3`;jh7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b`>P61j09w);i7;48 0`12?1vqps4i0cf>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kn7[?6c;0x 0`02?1/9k856:~yx=n9hl1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`d8R4?d2;q/9k956:&6b3<13twvq6g>b183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j91]=4m52z&6b2<13-?m:784}|~?l7e93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m1:T2=f<5s-?m;784$4d5>3=zutw0e4d53_;2o73=#=o<1:6sr}|9j5g5=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o=4V0;`>7}#=o=1:6*:f785?x{zu2c:n94?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f1=Q90i1>v*:f685?!3a>3<0qpsr;h3a1?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c:94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k20Z<7l:3y'1c1=>2.>j;49;|~y>o6j00;6)P5i:0:wAb89U5j:49;%7e2?07[<4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02k1/9k85b:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95b:&6b3ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1n6*:f78a?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f68a?!3a>3h0qpsr;h3ae?6=,;k:6<7i;I0b4>P5i:0:wAb`9U5j:4m;%7e2?d0i7);i6;`8yx{z3`;io7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fa=Q90i1>v*:f68a?!3a>3h0qpsr;h3aa?6=,;k:6<7i;I0b4>P5i:0:wAbd9U5j:4m;%7e2?dl?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l=50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d5<^83h6?u+5g59f>"2n?0i7psr}:k2e1<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m95Y18a96~"2n>0i7);i6;`8yx{z3`;j97>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b1>P61j09w);i7;`8 0`12k1vqps4i0c5>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k=7[?6c;0x 0`02k1/9k85b:~yx=n9h=1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g03_;2o7g=#=o<1n6sr}|9j5d>=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l64V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:ml4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hk0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;h3b`?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1`d94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hl0Z<7l:3y'1c1=>2.>j;49;|~y>o6j90;6)P5i:0:wAb19U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o=50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g5<^83h6?u+5g592>"2n?0=7psr}:k2f1<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n95Y18a96~"2n>0=7);i6;48yx{z3`;i97>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a1>P61j09w);i7;48 0`12?1vqps4i0`5>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h=7[?6c;0x 0`02?1/9k856:~yx=n9k21<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c:8R4?d2;q/9k956:&6b3<13twvq6g>b883>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j01]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=8c;2964<729q/>l?5629K6<3k38p(8h8:c9'1c0=j2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=j2.>j;4m;|~y>o6ij0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g59f>"2n?0i7psr}:k2f2<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n:5Y18a96~"2n>0i7);i6;`8yx{z3`;im7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f68a?!3a>3h0qpsr;h3ag?6=,;k:6<7i;I0b4>P5i:0:wAbb9U5j:4m;%7e2?d0i7);i6;`8yx{z3`;ii7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jl1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mf:T2=f<5s-?m;7l4$4d5>g=zutw0e4g53_;2o7g=#=o<1n6sr}|9j5d5=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l=4V0;`>7}#=o=1n6*:f78a?x{zu2c:m94?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e1=Q90i1>v*:f68a?!3a>3h0qpsr;h3b1?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{k38p(8h8:c9'1c0=j2wvqp5f1`594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l650;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d><^83h6?u+5g5954=#=o<1=<5r}|8m4g>290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b=>P61j09w);i7;32?!3a>3;:7psr}:k2ed<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`c8R4?d2;q/9k95109'1c0=981vqps4i0ca>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28ki7[?6c;0x 0`028;0(8h9:038yx{z3`;jh7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b`>P61j09w);i7;48 0`12?1vqps4i0cf>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kn7[?6c;0x 0`02?1/9k856:~yx=n9hl1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`d8R4?d2;q/9k956:&6b3<13twvq6g>b183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j91]=4m52z&6b2<13-?m:784}|~?l7e93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m1:T2=f<5s-?m;784$4d5>3=zutw0e4d53_;2o73=#=o<1:6sr}|9j5g5=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o=4V0;`>7}#=o=1:6*:f785?x{zu2c:n94?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f1=Q90i1>v*:f685?!3a>3<0qpsr;h3a1?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c:94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k20Z<7l:3y'1c1=>2.>j;49;|~y>o6j00;6)P5i:0:wAb89U5j:49;%7e2?07[50z&1e4<6l=1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<13-?m:784}|~?l7e?3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;784$4d5>3=zutw0e4df3_;2o73=#=o<1:6sr}|9j5gd=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=ol4V0;`>7}#=o=1:6*:f785?x{zu2c:nn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ff=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn;:n:185>5<7s-8j=7?6d:J1=0=#9j:1=95f18d94?"5i80:5k5Y2`195~J5i<0:w[?ld;0x 4c>283m7[?6c;0x 0`02?1/9k856:~yx=nk:0;6)3=zutw0en:50;&1e44={M0b1?7|^8io6?u+1d;9g1=Q90i1>v*:f68;?!3a>320qpsC2`690~P51;08w[kj:3y'1c3=k=1/??=55g58ySca2;q/9k;518d8 6442>4:f69~ 3142890qps4i3:a>5<#:h;1>5l4V3c0>7}K:h?1=vX>ce81!7b1383n6X>9b81!3a?3;97);i6;31?x{zD;k?65o50;&1e4<50h1]>l=52zN1e0<6s_;hh77>f3_;2o744<,7}#=o?1>5o4$200>0`03t.=;>4>2:~y>i6l<0;6)=zj?9m6=4<:183!4f9382i6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{51;294~"5i809m55G2878k4b2290/>l?51e78?xd1<;0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd1<:0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd1<=0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th=884?:283>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;32?!3a>3;:7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a210=8321<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0:=6*:f7825>{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8m4gd290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g59f>"2n?0i7psr}:k2fg<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:no5Y18a96~"2n>0:=6*:f7825>{zut1b=om50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`028;0(8h9:038yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c473?6=03:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0:=6*:f7825>{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5954=#=o<1=<5r}|8m4df290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jj0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn;:7:1825?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7g=#=o<1n6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jh0;6)P5i:0:wAb`9U5j:4>1:&6b3<692wvqp5f1c`94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=981/9k85109~yx{l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jo0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95g`<^83h6?u+5g5954=#=o<1=<5r}|8m4g5290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b6>P61j09w);i7;32?!3a>3;:7psr}:k2e6<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m>5Y18a96~"2n>0=7);i6;48yx{z3`;j87>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b0>P61j09w);i7;48 0`12?1vqps4i0c6>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k>7[?6c;0x 0`02?1/9k856:~yx=n9h<1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`48R4?d2;q/9k956:&6b3<13twvq6g>a683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i>1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo8;1;29d59K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>o6ij0;6)P5i:0:wAab9U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=ol50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g592>"2n?0=7psr}:k2ff<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nn5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c405?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f35c290=6=4?{%0b5?7>l2B9585+1b2951=n90l1<7*=a082=c=Q:h91=vB=a482S7dl38p(k38p(8h8:79'1c0=>2wvqp5fc283>!4f93i87[7}#9l31o95Y18a96~"2n>037);i6;:8yx{K:h>18vX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d4?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d5?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=k:1/??=55g58y!00;3=0q[?j8;0xR`c=:r.>j84l4:&066<2n>1v(;9<:018yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2i7)==3;7e3>{#>>91=?5r}|9j6=g=83.9m<4=8`9U6d5=:rF9m84>{W3``?4|,8o26?6n;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=g<,:8868h8;|&536<6:2wvq6a>d483>!4f93;o965rb711>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c407?6=93:16<729q/>l?5629K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?5629K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?5629K6<3;|~y>o6i80;6)P5i:0:wAa09U5j:4>1:&6b3<692wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e>:21<7:50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;I0b4>P5i:0:wAa19U5j:4>1:&6b3<692wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?02<729q/>l?5629K6<3k38p(8h8:c9'1c0=j2wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,470i7);i6;`8yx{z3`;i;7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<692.>j;4>1:~yx=n9kk1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74df3_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi:>o50;594?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7l4$4d5>g=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6825>"2n?0:=6sr}|9j5g1=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3ae?6=,;k:6<7i;I0b4>P5i:0:wAb`9U5j:4>1:&6b3<692wvqp5f1c`94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26477[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2647;|~y>o6ij0;6)P5i:0:wAab9U5j:4>1:&6b3<692wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:c9'1c0=j2wvqp5f1c`94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a262=8321<7>t$3c2>4b33A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0e4gd3_;2o73=#=o<1:6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1:6*:f785?x{zu2c:nl4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fd=Q90i1>v*:f685?!3a>3<0qpsr;h3af?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e>=h1<7<50;2x 7g62j;0D?7:;h3:b?6=,;k:6<7i;:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th=9:4?:783>5}#:h;1=4j4H3;6?!7d83;?7d?6f;29 7g6283m7[l?5c29U6d5=9rF9m84>{W3``?4|,8o26n=4V0;`>7}#=o=1:6*:f785?x{zu2ch87>5$3c2>f2<^;k86?uC2`795~P6km09w)?j9;a7?S7>k38p(8h8:99'1c0=02wvqA1v(;9<:d9~R7?52:q]ih4={%7e1?e33-99?7;i7:Uac<5s-?m97?6f:&066<2n?1v(;9<:d9~R7?52:q]ih4={%7e1?e33-99?7;i7:Uac<5s-?m97m<;%117?3a?2w/::=57:U5`>=:r\ni7f2<,:8868h8;|&536<6;2wvq6g=8c83>!4f9383n6X=a281I4f=3;pZj84=8c9'775==o=0q)883;31?x{z3`83m7>5$3c2>7>f3_8j?74}Q9jn1>v*>e881v*:f6826>"2n?0:>6sr}M0b0?7|^;3j6?uYed81!3a=383m6*<2286b2=z,?=86<<4}|8k4b2290/>l?51e78?xd14?:1y'6d7=:0o0D?7:;h3b4?6=,;k:6<7i;I0b4>P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn;:k:182>5<7s-8j=753;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{<7>53;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{=7>53;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo8:2;290?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95109'1c0=981vqps4i0c2>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6g>ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~f334290?6=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1n6*:f78a?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{87>54;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi:8;50;794?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4>1:&6b3<692wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb76f>5N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3`;jo7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;48 0`12?1vqps4i0`4>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`02?1/9k856:~yx=n9kk1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k956:&6b3<13twvq6g>bc83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<13-?m:784}|~?l7ek3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mc:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f14c29096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e<:?1<7850;2x 7g6283o7E<65:&2g5<6<2c:5k4?:%0b5?7>n2\9m>4>{M0b1?7|^8io6?u+1d;95<`<^83h6?u+5g592>"2n?0=7psr}:k`7?6=,;k:6n=4V3c0>4}K:h?1=vX>ce81!7b13i87[?6c;0x 0`02?1/9k856:~yx=nk=0;6)==zutF9m94;{W0:6?5|^lo1>v*:f48`0>"4::0>j:5rVdd96~"2n<0:5k5+33191c1v*:f48`0>"4::0>j:5rVdd96~"2n<0:5k5+33191c0v*:f48`0>"4::0>j:5rVdd96~"2n<0h?6*<2286b2=z,?=86:5rV0g;>7}Qml09w);i5;a7?!55;3?m;6s+661956=zut1b>5l50;&1e4<50k1]>l=52zN1e0<6s_;hh77>e3_;2o744<,7}#=o?1>5l4$200>0`03t.=;>4>2:~y>o50h0;6)P5i:09wAi38pZhk52z&6b0<50h1/??=55g58y!00;3;97psr;n3g1?6=,;k:6t$3c2>7?b3A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f14a290:6=4?{%0b5?4f02B9585`1e794?"5i80:h854}c605?6=;3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5954=#=o<1=<5r}|8m4g6290/>l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,477[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn9=<:185>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5954=#=o<1=<5r}|8m4g6290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95109'1c0=981vqps4i0`4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7l4$4d5>g=zutw0e4df3_;2o747<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo:<0;290?6=8r.9m<4>d59K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>o6ij0;6)P5i:0:wAab9U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj=8;6=49:183!4f93;2h6F=949'5f6=9=1b=4h50;&1e4<61o1]>l=51zN1e0<6s_;hh74?a3_;2o73=#=o<1:6sr}|9jg6<72-8j=7m<;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{1<7*=a08`0>P5i:09wAj:47;%7e2?>l:54zT1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`03t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`13t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1o>5+33191c1v*:f48`0>"4::0>j:5r$750>454o52zTfa?4|,6?6m;%117?3a?2w/::=5139~yx=n:1k1<7*=a081vB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1k0(><<:4d4?x"1?:0:>6sr}:m2`0<72-8j=7?k5:9~f17f29086=4?{%0b5?4>m2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<5i11C>4;4o0f6>5<#:h;1=i;4;|`75a<72:0;6=u+2`3926=O:0?0e4g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd39l0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm40a94?5=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb502>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a07e=8391<7>t$3c2>7?b3A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7h4$4d5>c=zutw0c4b23_;2o73=#=o<1:6sr}|9~f14e290=6=4?{%0b5?7>l2B9585+1b2951=n90l1<7*=a082=c=Q:h91=vB=a482S7dl38p(k38p(8h8:79'1c0=>2wvqp5fc283>!4f93i87[7}#9l31o95Y18a96~"2n>037);i6;:8yx{K:h>18vX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d4?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d5?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=k:1/??=55g58y!00;3=0q[?j8;0xR`c=:r.>j84l4:&066<2n>1v(;9<:018yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2i7)==3;7e3>{#>>91=?5r}|9j6=g=83.9m<4=8`9U6d5=:rF9m84>{W3``?4|,8o26?6n;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=g<,:8868h8;|&536<6:2wvq6a>d483>!4f93;o965rb501>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c617?6=93:11<729q/>l?5629K6<3;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8m4gd290/>l?518d8R7g428qG>l;51zTe4?4|,8o26477[3:1:7>50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f68a?!3a>3h0qpsr;h3ae?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`762<72>0;6=u+2`3926=O:0?0e4g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<692.>j;4>1:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95b:&6b3b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<692.>j;4>1:~yx=n9kh1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj=836=48:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0:=6*:f7825>{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8m4gd290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?02<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6825>"2n?0:=6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1n6*:f78a?x{zu2c:nl4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fd=Q90i1>v*:f6825>"2n?0:=6sr}|9j5gd=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=ol4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~f143290=6=4?{%0b5?7c<2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=oo50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th=954?:383>5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo894;292?6=8r.9m<4>9e9K6<3<,8i;6<:4i0;e>5<#:h;1=4h4V3c0>4}K:h?1=vX>ce81!7b13;2j6X>9b81!3a?3<0(8h9:79~yx{P5i:0:wAj:49;%7e2?0l=52zN1e0<6s_;hh7f2<^83h6?u+5g59<>"2n?037psrL3c7>1}Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::0;e?!55;3?m;6s+6619a>{Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::0;e?!55;3?m:6s+6619a>{Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::b18 644260:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;f>"4::0>j:5r$750>444o52zTfa?4|,6?6n;%117?3a?2w/::=5139~yx=h9m?1<7*=a082`0=57>53;294~"5i8095h5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th=9l4?:083>5}#:h;1>l64H3;6?j7c=3:1(?o>:0f6?>{e>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e>P5i:0:wAa19U5j:4>1:&6b3<692wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb77e>5=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=j2.>j;4m;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59f>"2n?0i7psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95b:&6b3b683>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=<5+5g4954=zutw0e4df3_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f6825>"2n?0:=6sr}|9j5ge=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{58;294~"5i80=?6F=949j5d6=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`028;0(8h9:038yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;32?!3a>3;:7psr}:k2fd<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nl5Y18a96~"2n>0:=6*:f7825>{zut1b=ol50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hi7[?6c;0x 0`028;0(8h9:038yx{z3`;io7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm67394??=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7l4$4d5>g=zutw0e4gd3_;2o7g=#=o<1n6sr}|9j5g1=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1cc94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1b=om50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`028;0(8h9:038yx{z3`;ih7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jm1]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm67094??=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7l4$4d5>g=zutw0e4gd3_;2o7g=#=o<1n6sr}|9j5g1=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3ae?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:no4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6jm0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gb<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn;;m:18;>5<7s-8j=7?k4:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?l7fk3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;784$4d5>3=zutw0e4d03_;2o73=#=o<1:6sr}|9j5gg=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1:6*:f785?x{zu2c:no4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f685?!3a>3<0qpsr;h3ag?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb40:>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a163=83<1<7>t$3c2>4?c3A8296*>c1820>o61o0;6)P5i:0:wA3=#=o<1:6sr}|9jg1<72-8j=7m;;W0b7?4|D;k>69b81!3a?320(8h9:99~yxJ5i=0?w[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0<61o1/??=55g58y!00;3o0q[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0<61o1/??=55g48y!00;3o0q[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0>4:f69~ 3142>1vZvB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1h0(><<:4d4?x"1?:0:>6sr}:k17}#9l31>5o4V0;`>7}#=o=1=?5+5g4957=zutF9m94>{W0:e?4|^lo1>v*:f48176sm53c94?5=83:p(?o>:3;f?M4>=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<5i11C>4;4o0f6>5<#:h;1=i;4;|`66a<72:0;6=u+2`3926=O:0?0e4g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`66`<72:0;6=u+2`3926=O:0?0e4g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`66c<72=0;6=u+2`3926=O:0?0e4g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm52294?2=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<692.>j;4>1:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95109'1c0=981vqps4i0c`>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd2;80;684?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=n9hi1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74gd3_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd2;;0;6;4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02k1/9k85b:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6825>"2n?0:=6sr}|9j5g1=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3ae?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`676<72>0;6=u+2`3926=O:0?0e69b81!3a?3h0(8h9:c9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;32?!3a>3;:7psr}:k2f2<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95109'1c0=981vqps4i0`b>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?>;%7e2?763twvq6g>bc83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm53a94?>=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=>2.>j;49;|~y>o6jh0;6)P5i:0:wAb`9U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a6fe=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd5l;0;6>4?:1y'6d7=:0o0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:g9'1c0=n2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e:m;1<7850;2x 7g6283o7E<65:&2g5<6<2c:5k4?:%0b5?7>n2\9m>4>{M0b1?7|^8io6?u+1d;95<`<^83h6?u+5g592>"2n?0=7psr}:k`7?6=,;k:6n=4V3c0>4}K:h?1=vX>ce81!7b13i87[?6c;0x 0`02?1/9k856:~yx=nk=0;6)==zutF9m94;{W0:6?5|^lo1>v*:f48`0>"4::0>j:5rVdd96~"2n<0:5k5+33191c1v*:f48`0>"4::0>j:5rVdd96~"2n<0:5k5+33191c0v*:f48`0>"4::0>j:5rVdd96~"2n<0h?6*<2286b2=z,?=86:5rV0g;>7}Qml09w);i5;a7?!55;3?m;6s+661956=zut1b>5l50;&1e4<50k1]>l=52zN1e0<6s_;hh77>e3_;2o744<,7}#=o?1>5l4$200>0`03t.=;>4>2:~y>o50h0;6)P5i:09wAi38pZhk52z&6b0<50h1/??=55g58y!00;3;97psr;n3g1?6=,;k:6t$3c2>7?b3A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f7eb290:6=4?{%0b5?4f02B9585`1e794?"5i80:h854}c0`b?6=>3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5954=#=o<1=<5r}|8m4g6290/>l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,47;|~y>o6j>0;6)P5i:0:wAb69U5j:4>1:&6b3<692wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb3f0>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a6a?=8391<7>t$3c2>7?b3A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7h4$4d5>c=zutw0c4b23_;2o73=#=o<1:6sr}|9~f7b?290=6=4?{%0b5?7>l2B9585+1b2951=n90l1<7*=a082=c=Q:h91=vB=a482S7dl38p(k38p(8h8:79'1c0=>2wvqp5fc283>!4f93i87[7}#9l31o95Y18a96~"2n>037);i6;:8yx{K:h>18vX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d4?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d5?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=k:1/??=55g58y!00;3=0q[?j8;0xR`c=:r.>j84l4:&066<2n>1v(;9<:018yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2i7)==3;7e3>{#>>91=?5r}|9j6=g=83.9m<4=8`9U6d5=:rF9m84>{W3``?4|,8o26?6n;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=g<,:8868h8;|&536<6:2wvq6a>d483>!4f93;o965rb3f7>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c0g1?6=93:12<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6825>"2n?0:=6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=<5+5g4954=zutw0e4df3_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd4il0;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{53;294~"5i8095h5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59b>"2n?0m7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th8n;4?:783>5}#:h;1=4j4H3;6?!7d83;?7d?6f;29 7g6283m7[l?5c29U6d5=9rF9m84>{W3``?4|,8o26n=4V0;`>7}#=o=1:6*:f785?x{zu2ch87>5$3c2>f2<^;k86?uC2`795~P6km09w)?j9;a7?S7>k38p(8h8:99'1c0=02wvqA1v(;9<:d9~R7?52:q]ih4={%7e1?e33-99?7;i7:Uac<5s-?m97?6f:&066<2n?1v(;9<:d9~R7?52:q]ih4={%7e1?e33-99?7;i7:Uac<5s-?m97m<;%117?3a?2w/::=57:U5`>=:r\ni7f2<,:8868h8;|&536<6;2wvq6g=8c83>!4f9383n6X=a281I4f=3;pZj84=8c9'775==o=0q)883;31?x{z3`83m7>5$3c2>7>f3_8j?74}Q9jn1>v*>e881v*:f6826>"2n?0:>6sr}M0b0?7|^;3j6?uYed81!3a=383m6*<2286b2=z,?=86<<4}|8k4b2290/>l?51e78?xd4io0;6>4?:1y'6d7=:0o0D?7:;h3b4?6=,;k:6<7i;I0b4>P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn>l?:182>5<7s-8j=77>54;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?o=50;594?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4>1:&6b3<692wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1b=ol50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn>l;:184>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5954=#=o<1=<5r}|8m4g6290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95109'1c0=981vqps4i0`4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?>;%7e2?763twvq6g>b`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`0f4<72=0;6=u+2`395a2<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th>><4?:383>5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;=8;292?6=8r.9m<4>9e9K6<3<,8i;6<:4i0;e>5<#:h;1=4h4V3c0>4}K:h?1=vX>ce81!7b13;2j6X>9b81!3a?3<0(8h9:79~yx{P5i:0:wAj:49;%7e2?0l=52zN1e0<6s_;hh7f2<^83h6?u+5g59<>"2n?037psrL3c7>1}Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::0;e?!55;3?m;6s+6619a>{Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::0;e?!55;3?m:6s+6619a>{Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::b18 644260:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;f>"4::0>j:5r$750>444o52zTfa?4|,6?6n;%117?3a?2w/::=5139~yx=h9m?1<7*=a082`0=7>53;294~"5i8095h5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th>>>4?:083>5}#:h;1>l64H3;6?j7c=3:1(?o>:0f6?>{e=;?1<7=50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi9?850;194?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?>;%7e2?763twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm53694?5=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb2`;>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a7f6=83<1<7>t$3c2>4?c3A8296*>c1820>o61o0;6)P5i:0:wA3=#=o<1:6sr}|9jg1<72-8j=7m;;W0b7?4|D;k>69b81!3a?320(8h9:99~yxJ5i=0?w[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0<61o1/??=55g58y!00;3o0q[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0<61o1/??=55g48y!00;3o0q[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0>4:f69~ 3142>1vZvB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1h0(><<:4d4?x"1?:0:>6sr}:k17}#9l31>5o4V0;`>7}#=o=1=?5+5g4957=zutF9m94>{W0:e?4|^lo1>v*:f48176sm3c;94?5=83:p(?o>:3;f?M4>=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<5i11C>4;4o0f6>5<#:h;1=i;4;|`0ff<72:0;6=u+2`3926=O:0?0e4g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd4jm0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?>;%7e2?763twvq6g>a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~f6db29086=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=mb;290?6=8r.9m<4>d59K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>o6ij0;6)P5i:0:wAab9U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;km6=4<:183!4f9382i6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68e?!3a>3l0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{56;294~"5i80:5i5G2878 4e728>0e<7i:18'6d7=90l0Z?o<:0yO6d3=9r\:oi4={%3f=?7>n2\:5n4={%7e3?0<,7}#9l31o>5Y18a96~"2n>0=7);i6;48yx{z3`i?6=4+2`39g1=Q:h91>vB=a482S7dl38p(f2<,:8868h8;|Tfb?4|,6<7i;%117?3a?2w/::=5e:U6<4=;r\ni7f2<,:8868h8;|Tfb?4|,6<7i;%117?3a>2w/::=5e:U6<4=;r\ni7f2<,:8868h8;|Tfb?4|,6n=4$200>0`03t.=;>48;|T2a=<5s_on6?u+5g79g1=#;;919k94}%447?743twv7d<7b;29 7g62;2i7[j;4>2:~yI4f<3;pZ?7n:3yUa`<5s-?m97<7b:&066<2n>1v(;9<:008yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2j7)==3;7e3>{#>>91=?5r}|9l5a3=83.9m<4>d498yg4fi3:1?7>50z&1e4<51l1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd5ik0;6<4?:1y'6d7=:h20D?7:;n3g1?6=,;k:6t$3c2>4b43A8296a>d483>!4f93;o965rb3fb>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a6`6=8391<7>t$3c2>7?b3A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7h4$4d5>c=zutw0c4b23_;2o73=#=o<1:6sr}|9~f7ba290=6=4?{%0b5?7>l2B9585+1b2951=n90l1<7*=a082=c=Q:h91=vB=a482S7dl38p(k38p(8h8:79'1c0=>2wvqp5fc283>!4f93i87[7}#9l31o95Y18a96~"2n>037);i6;:8yx{K:h>18vX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d4?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d5?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=k:1/??=55g58y!00;3=0q[?j8;0xR`c=:r.>j84l4:&066<2n>1v(;9<:018yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2i7)==3;7e3>{#>>91=?5r}|9j6=g=83.9m<4=8`9U6d5=:rF9m84>{W3``?4|,8o26?6n;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=g<,:8868h8;|&536<6:2wvq6a>d483>!4f93;o965rb3fa>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c0gg?6=93:1=<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6825>"2n?0:=6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=<5+5g4954=zutw0e4df3_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7?>;%7e2?763twvq6g>bb83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm3b394?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`0gg<72?0;6=u+2`3957)?l0;37?l7>n3:1(?o>:0;e?S4f;3;p@?o::0yU5fb=:r.:i44>9g9U5j:49;%7e2?0l=51zN1e0<6s_;hh7f5<^83h6?u+5g592>"2n?0=7psr}:k`0?6=,;k:6n:4V3c0>7}K:h?1=vX>ce81!7b13i?7[?6c;0x 0`0211/9k858:~yI4f<3>pZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f69~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f79~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?e43-99?7;i7:'225=?2w]=h652zTfa?4|,6n:4$200>0`03t.=;>4>3:~y>o50k0;6)P5i:09wAi38pZhk52z&6b0<50k1/??=55g58y!00;3;97psr;h0;e?6=,;k:6?6n;W0b7?4|D;k>60:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;e>"4::0>j:5r$750>446<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f6e4290:6=4?{%0b5?4f02B9585`1e794?"5i80:h854}c1`1?6=;3:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm3b494?5=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<692.>j;4>1:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=l7;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd4k10;694?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a7f?=83>1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0:=6*:f7825>{zut1b=l?50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`028;0(8h9:038yx{z3`;jo7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;32?!3a>3;:7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th8o94?:783>5}#:h;1=i:4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;784$4d5>3=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o73=#=o<1:6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1:6*:f785?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f685?!3a>3<0qpsr;h3ae?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb2a`>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a7a3=8391<7>t$3c2>7?b3A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7h4$4d5>c=zutw0c4b23_;2o73=#=o<1:6sr}|9~f6b3290=6=4?{%0b5?7>l2B9585+1b2951=n90l1<7*=a082=c=Q:h91=vB=a482S7dl38p(k38p(8h8:79'1c0=>2wvqp5fc283>!4f93i87[7}#9l31o95Y18a96~"2n>037);i6;:8yx{K:h>18vX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d4?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d5?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=k:1/??=55g58y!00;3=0q[?j8;0xR`c=:r.>j84l4:&066<2n>1v(;9<:018yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2i7)==3;7e3>{#>>91=?5r}|9j6=g=83.9m<4=8`9U6d5=:rF9m84>{W3``?4|,8o26?6n;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=g<,:8868h8;|&536<6:2wvq6a>d483>!4f93;o965rb2ag>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c1`a?6=93:11<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4>1:&6b3<692wvqp5f1`a94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb2f2>5<2290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0e4gd3_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd4l;0;684?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0:=6*:f7825>{zut1b=o950;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`028;0(8h9:038yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c1`b?6=<3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn>k?:180>5<7s-8j=7<6e:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=kf;292?6=8r.9m<4>9e9K6<3<,8i;6<:4i0;e>5<#:h;1=4h4V3c0>4}K:h?1=vX>ce81!7b13;2j6X>9b81!3a?3<0(8h9:79~yx{P5i:0:wAj:49;%7e2?0l=52zN1e0<6s_;hh7f2<^83h6?u+5g59<>"2n?037psrL3c7>1}Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::0;e?!55;3?m;6s+6619a>{Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::0;e?!55;3?m:6s+6619a>{Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::b18 644260:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;f>"4::0>j:5r$750>444o52zTfa?4|,6?6n;%117?3a?2w/::=5139~yx=h9m?1<7*=a082`0=53;294~"5i8095h5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th8h54?:083>5}#:h;1>l64H3;6?j7c=3:1(?o>:0f6?>{e;mk1<7:50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{56;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7?>;%7e2?763twvq6g>b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<692.>j;4>1:~yx=n9kk1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj:nh6=49:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0:=6*:f7825>{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8m4gd290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn>jk:185>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4>1:&6b3<692wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6j>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5954=#=o<1=<5r}|8m4df290/>l?518d8R7g428qG>l;51zTe4?4|,8o26477[50z&1e4<6l=1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<13-?m:784}|~?l7e?3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f7d729096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e:k<1<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;d8 0`12o1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;h>6=49:183!4f93;2h6F=949'5f6=9=1b=4h50;&1e4<61o1]>l=51zN1e0<6s_;hh74?a3_;2o73=#=o<1:6sr}|9jg6<72-8j=7m<;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{1<7*=a08`0>P5i:09wAj:47;%7e2?>l:54zT1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`03t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`13t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1o>5+33191c1v*:f48`0>"4::0>j:5r$750>454o52zTfa?4|,6?6m;%117?3a?2w/::=5139~yx=n:1k1<7*=a081vB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1k0(><<:4d4?x"1?:0:>6sr}:m2`0<72-8j=7?k5:9~f7d629086=4?{%0b5?4>m2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<5i11C>4;4o0f6>5<#:h;1=i;4;|`1f6<72:0;6=u+2`3926=O:0?0e4g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd5jl0;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{53;294~"5i8095h5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59b>"2n?0m7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th9o>4?:783>5}#:h;1=4j4H3;6?!7d83;?7d?6f;29 7g6283m7[l?5c29U6d5=9rF9m84>{W3``?4|,8o26n=4V0;`>7}#=o=1:6*:f785?x{zu2ch87>5$3c2>f2<^;k86?uC2`795~P6km09w)?j9;a7?S7>k38p(8h8:99'1c0=02wvqA1v(;9<:d9~R7?52:q]ih4={%7e1?e33-99?7;i7:Uac<5s-?m97?6f:&066<2n?1v(;9<:d9~R7?52:q]ih4={%7e1?e33-99?7;i7:Uac<5s-?m97m<;%117?3a?2w/::=57:U5`>=:r\ni7f2<,:8868h8;|&536<6;2wvq6g=8c83>!4f9383n6X=a281I4f=3;pZj84=8c9'775==o=0q)883;31?x{z3`83m7>5$3c2>7>f3_8j?74}Q9jn1>v*>e881v*:f6826>"2n?0:>6sr}M0b0?7|^;3j6?uYed81!3a=383m6*<2286b2=z,?=86<<4}|8k4b2290/>l?51e78?xd5jo0;6>4?:1y'6d7=:0o0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e:j:1<7?50;2x 7g62;k37E<65:m2`0<72-8j=7?k5:9~f7e6290?6=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<692.>j;4>1:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95109'1c0=981vqps4i0c`>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`028;0(8h9:038yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c6bf?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f1d7290=6=4?{%0b5?7>l2B9585+1b2951=n90l1<7*=a082=c=Q:h91=vB=a482S7dl38p(k38p(8h8:79'1c0=>2wvqp5fc283>!4f93i87[7}#9l31o95Y18a96~"2n>037);i6;:8yx{K:h>18vX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d4?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d5?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=k:1/??=55g58y!00;3=0q[?j8;0xR`c=:r.>j84l4:&066<2n>1v(;9<:018yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2i7)==3;7e3>{#>>91=?5r}|9j6=g=83.9m<4=8`9U6d5=:rF9m84>{W3``?4|,8o26?6n;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=g<,:8868h8;|&536<6:2wvq6a>d483>!4f93;o965rb5c`>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c6b`?6=93:16<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`1f2<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[50z&1e4<51l1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95f:&6b3d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd5jj0;6;4?:1y'6d7=90n0D?7:;%3`4?733`;2j7>5$3c2>4?a3_8j?7?tL3c6>4}Q9jn1>v*>e882=c=Q90i1>v*:f685?!3a>3<0qpsr;ha0>5<#:h;1o>5Y2`195~J5i<0:w[?ld;0x 4c>2j90Z<7l:3y'1c1=>2.>j;49;|~y>od<3:1(?o>:b68R7g42;qG>l;51zT2ga<5s-;n57m;;W3:g?4|,{zuE8j87:tV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b2=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b3=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3i87)==3;7e3>{#>>91;6sY1d:96~Pbm38p(8h::b68 6442{zu2c94o4?:%0b5?4?j2\9m>4={M0b1?7|^8io6?u+1d;96=d<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:3:b?S4f;38p@?o::0yU5fb=:r.:i44=8`9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4o0f6>5<#:h;1=i;4;|`1f=<72:0;6=u+2`3967d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a6g?=83;1<7>t$3c2>7g?3A8296a>d483>!4f93;o965rb3`b>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~f7e229096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e:jh1<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;d8 0`12o1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;ij6=49:183!4f93;2h6F=949'5f6=9=1b=4h50;&1e4<61o1]>l=51zN1e0<6s_;hh74?a3_;2o73=#=o<1:6sr}|9jg6<72-8j=7m<;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{1<7*=a08`0>P5i:09wAj:47;%7e2?>l:54zT1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`03t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`13t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1o>5+33191c1v*:f48`0>"4::0>j:5r$750>454o52zTfa?4|,6?6m;%117?3a?2w/::=5139~yx=n:1k1<7*=a081vB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1k0(><<:4d4?x"1?:0:>6sr}:m2`0<72-8j=7?k5:9~f7e129086=4?{%0b5?4>m2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<5i11C>4;4o0f6>5<#:h;1=i;4;|`1g=<72<0;6=u+2`3926=O:0?0e4g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<692.>j;4>1:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj<:<6=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi9<=50;494?6|,;k:6<7k;I0:1>"6k90:86g>9g83>!4f93;2j6X=a282I4f=3;pZ2.>j;49;|~y>od;3:1(?o>:b18R7g428qG>l;51zT2ga<5s-;n57m<;W3:g?4|,{zut1bo94?:%0b5?e33_8j?74}Q9jn1>v*>e88`0>P61j09w);i7;:8 0`1211vqpB=a587S4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o=0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o<0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84l3:&066<2n>1v(;9<:69~R4c?2;q]ih4={%7e1?e33-99?7;i7:'225=9:1vqp5f29`94?"5i8094o5Y2`196~J5i<0:w[?ld;0x 4c>2;2i7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529`8 6442{zu2c94l4?:%0b5?4?i2\9m>4={M0b1?7|^8io6?u+1d;96=g<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:0f6?>{e=921<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;?9;295?6=8r.9m<4=a99K6<36=4+2`395a3<3th>5}#:h;1:>5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?5629K6<3;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn8>k:180>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn8>j:180>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn8>i:180>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4m;%7e2?d0:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a146=8391<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0:=6*:f7825>{zut1b=l?50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`028;0(8h9:038yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c725?6=<3:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02k1/9k85b:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o7g=#=o<1n6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{58;294~"5i80:h95G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0=7);i6;48yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;48 0`12?1vqps4i0`b>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02?1/9k856:~yx=n9kh1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k956:&6b3<13twvq6g>bb83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;i8;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb4dg>5<1290;w)N51<1/=n>5159j5<`=83.9m<4>9g9U6d5=9rF9m84>{W3``?4|,8o26<7i;W3:g?4|,{zut1bo>4?:%0b5?e43_8j?7?tL3c6>4}Q9jn1>v*>e88`7>P61j09w);i7;48 0`12?1vqps4ib694?"5i80h86X=a281I4f=3;pZ7}#=o?1o95+33191c17}#=o?1o95+33191c17}#=o?1o95+33191c1e290/>l?529`8R7g42;qG>l;51zT2ga<5s-;n57<7b:T2=f<5s-?m;7?=;%7e2?753twv@?o;:0yU67>e3-99?7;i7:'225=9;1vqp5f29c94?"5i8094l5Y2`196~J5i<0:w[?ld;0x 4c>2;2j7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529c8 6442{zu2e:h84?:%0b5?7c=21vn8h6:180>5<7s-8j=7<6e:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`6bd<7280;6=u+2`396d><@;3>7b?k5;29 7g628n>76sm5g`94?5=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;`8 0`12k1vqps4i0c2>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd5?10;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{56;294~"5i80:5i5G2878 4e728>0e<7i:18'6d7=90l0Z?o<:0yO6d3=9r\:oi4={%3f=?7>n2\:5n4={%7e3?0<,7}#9l31o>5Y18a96~"2n>0=7);i6;48yx{z3`i?6=4+2`39g1=Q:h91>vB=a482S7dl38p(f2<,:8868h8;|Tfb?4|,6<7i;%117?3a?2w/::=5e:U6<4=;r\ni7f2<,:8868h8;|Tfb?4|,6<7i;%117?3a>2w/::=5e:U6<4=;r\ni7f2<,:8868h8;|Tfb?4|,6n=4$200>0`03t.=;>48;|T2a=<5s_on6?u+5g79g1=#;;919k94}%447?743twv7d<7b;29 7g62;2i7[j;4>2:~yI4f<3;pZ?7n:3yUa`<5s-?m97<7b:&066<2n>1v(;9<:008yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2j7)==3;7e3>{#>>91=?5r}|9l5a3=83.9m<4>d498yg4013:1?7>50z&1e4<51l1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd5?h0;6<4?:1y'6d7=:h20D?7:;n3g1?6=,;k:6t$3c2>35<@;3>7d?n0;29 7g6283m7E0i7);i6;`8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo<8e;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb3:0>5<1290;w)N51<1/=n>5159j5<`=83.9m<4>9g9U6d5=9rF9m84>{W3``?4|,8o26<7i;W3:g?4|,{zut1bo>4?:%0b5?e43_8j?7?tL3c6>4}Q9jn1>v*>e88`7>P61j09w);i7;48 0`12?1vqps4ib694?"5i80h86X=a281I4f=3;pZ7}#=o?1o95+33191c17}#=o?1o95+33191c17}#=o?1o95+33191c1e290/>l?529`8R7g42;qG>l;51zT2ga<5s-;n57<7b:T2=f<5s-?m;7?=;%7e2?753twv@?o;:0yU67>e3-99?7;i7:'225=9;1vqp5f29c94?"5i8094l5Y2`196~J5i<0:w[?ld;0x 4c>2;2j7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529c8 6442{zu2e:h84?:%0b5?7c=21vn?9i:180>5<7s-8j=7<6e:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo<70;295?6=8r.9m<4=a99K6<36=4+2`395a3<3th94<4?:283>5}#:h;1:>5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c626?6=;3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1j6*:f78e?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`754<72?0;6=u+2`3957)?l0;37?l7>n3:1(?o>:0;e?S4f;3;p@?o::0yU5fb=:r.:i44>9g9U5j:49;%7e2?0l=51zN1e0<6s_;hh7f5<^83h6?u+5g592>"2n?0=7psr}:k`0?6=,;k:6n:4V3c0>7}K:h?1=vX>ce81!7b13i?7[?6c;0x 0`0211/9k858:~yI4f<3>pZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f69~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f79~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?e43-99?7;i7:'225=?2w]=h652zTfa?4|,6n:4$200>0`03t.=;>4>3:~y>o50k0;6)P5i:09wAi38pZhk52z&6b0<50k1/??=55g58y!00;3;97psr;h0;e?6=,;k:6?6n;W0b7?4|D;k>60:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;e>"4::0>j:5r$750>446<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm41:94?7=83:p(?o>:3c;?M4>=2e:h84?:%0b5?7c=21vn9>n:180>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn9>m:186>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5954=#=o<1=<5r}|8m4g6290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0:=6*:f7825>{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn9>l:18;>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4>1:&6b3<692wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:nl4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fd=Q90i1>v*:f68a?!3a>3h0qpsr;h3af?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{k38p(8h8:038 0`128;0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{;h7>58;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7?>;%7e2?763twvq6g>b683>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=<5+5g4954=zutw0e4df3_;2o7g=#=o<1n6sr}|9j5gd=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1ca94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb52f>5<>290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0e4gd3_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?>;%7e2?763twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7l4$4d5>g=zutw0e4dd3_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?md:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd38o0;644?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0:=6*:f7825>{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5954=#=o<1=<5r}|8m4df290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=ol50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g59f>"2n?0i7psr}:k2ff<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nn5Y18a96~"2n>0:=6*:f7825>{zut1b=oj50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gb<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn9>6:184>5<7s-8j=7?k4:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?l7fk3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;784$4d5>3=zutw0e4d03_;2o73=#=o<1:6sr}|9j5gg=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1:6*:f785?x{zu2c:no4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn5<7s-8j=7?6d:J1=0=#9j:1=95f18d94?"5i80:5k5Y2`195~J5i<0:w[?ld;0x 4c>283m7[?6c;0x 0`02?1/9k856:~yx=nk:0;6)3=zutw0en:50;&1e44={M0b1?7|^8io6?u+1d;9g1=Q90i1>v*:f68;?!3a>320qpsC2`690~P51;08w[kj:3y'1c3=k=1/??=55g58ySca2;q/9k;518d8 6442>4:f69~ 3142890qps4i3:a>5<#:h;1>5l4V3c0>7}K:h?1=vX>ce81!7b1383n6X>9b81!3a?3;97);i6;31?x{zD;k?65o50;&1e4<50h1]>l=52zN1e0<6s_;hh77>f3_;2o744<,7}#=o?1>5o4$200>0`03t.=;>4>2:~y>i6l<0;6)=zj8i96=4<:183!4f9382i6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{51;294~"5i809m55G2878k4b2290/>l?51e78?xd6k=0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7l4$4d5>g=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb3:7>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a6=?=83<1<7>t$3c2>4?c3A8296*>c1820>o61o0;6)P5i:0:wA3=#=o<1:6sr}|9jg1<72-8j=7m;;W0b7?4|D;k>69b81!3a?320(8h9:99~yxJ5i=0?w[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0<61o1/??=55g58y!00;3o0q[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0<61o1/??=55g48y!00;3o0q[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0>4:f69~ 3142>1vZvB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1h0(><<:4d4?x"1?:0:>6sr}:k17}#9l31>5o4V0;`>7}#=o=1=?5+5g4957=zutF9m94>{W0:e?4|^lo1>v*:f48176sm29794?5=83:p(?o>:3;f?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb3:5>5<6290;w)N51<1d=i;50;&1e4<6l<10qo<77;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95109'1c0=981vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`028;0(8h9:038yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c1:2?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f6?e290=6=4?{%0b5?7>l2B9585+1b2951=n90l1<7*=a082=c=Q:h91=vB=a482S7dl38p(k38p(8h8:79'1c0=>2wvqp5fc283>!4f93i87[7}#9l31o95Y18a96~"2n>037);i6;:8yx{K:h>18vX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d4?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d5?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=k:1/??=55g58y!00;3=0q[?j8;0xR`c=:r.>j84l4:&066<2n>1v(;9<:018yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2i7)==3;7e3>{#>>91=?5r}|9j6=g=83.9m<4=8`9U6d5=:rF9m84>{W3``?4|,8o26?6n;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=g<,:8868h8;|&536<6:2wvq6a>d483>!4f93;o965rb2;4>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c1:6<729q/>l?5629K6<3l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;`8 0`12k1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj:o:6=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?h;50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?03<729q/>l?518f8L7?23-;h<7?;;h3:b?6=,;k:6<7i;W0b7?7|D;k>60=7);i6;48yx{z3`i86=4+2`39g6=Q:h91=vB=a482S7dl38p(0Z?o<:3yO6d3=9r\:oi4={%3f=?e33_;2o7==#=o<146sr}M0b0?2|^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;3:b>"4::0>j:5r$750>`=z^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;3:b>"4::0>j;5r$750>`=z^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;a0?!55;3?m;6s+66193>{Q9l21>vXje;0x 0`22j>0(><<:4d4?x"1?:0:?6sr}:k17}#9l31>5l4V0;`>7}#=o=1=?5+5g4957=zutF9m94>{W0:e?4|^lo1>v*:f481j;4>2:~yI4f<3;pZ?7n:3yUa`<5s-?m97<7a:&066<2n>1v(;9<:008yx{6=4+2`395a3<3th8i?4?:283>5}#:h;1>4k4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;784$4d5>3=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?h=50;394?6|,;k:6?o7;I0:1>i6l<0;6)=zj:o?6=48:183!4f93<87E<65:k2e5<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95109'1c0=981vqps4i0c2>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6g>ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{59;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=?5+5g4957=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7?=;%7e2?753twvq6g>b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kk1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k95c:&6b3bc83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mc:T2=f<5s-?m;7?=;%7e2?753twvq6g>be83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jm1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm3d594??=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;31?!3a>3;97psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0:>6*:f7826>{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5957=#=o<1=?5r}|8m4d0290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;31?!3a>3;97psr}:k2fd<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nl5Y18a96~"2n>0h7);i6;a8yx{z3`;in7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mc:T2=f<5s-?m;7?=;%7e2?753twvq6g>be83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jm1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm3d:94??=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;31?!3a>3;97psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0:>6*:f7826>{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5957=#=o<1=?5r}|8m4d0290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;31?!3a>3;97psr}:k2fd<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nl5Y18a96~"2n>0h7);i6;a8yx{z3`;in7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mc:T2=f<5s-?m;7?=;%7e2?753twvq6g>be83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jm1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm3d;94??=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;31?!3a>3;97psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0:>6*:f7826>{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5957=#=o<1=?5r}|8m4d0290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;31?!3a>3;97psr}:k2fd<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nl5Y18a96~"2n>0h7);i6;a8yx{z3`;in7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mc:T2=f<5s-?m;7?=;%7e2?753twvq6g>be83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jm1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm3dc94?3=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c1e6?6=>3:1l?518d8R7g428qG>l;51zT2ga<5s-;n57?6f:T2=f<5s-?m;784$4d5>3=zutw0en=50;&1e44>{M0b1?7|^8io6?u+1d;9g6=Q90i1>v*:f685?!3a>3<0qpsr;ha7>5<#:h;1o95Y2`196~J5i<0:w[?ld;0x 4c>2j>0Z<7l:3y'1c1=02.>j;47;|~H7g32=q]>4<53zTfa?4|,6n:4$200>0`03t\nj74?a3-99?7;i7:'225=m2w]>4<53zTfa?4|,6n:4$200>0`03t\nj74?a3-99?7;i6:'225=m2w]>4<53zTfa?4|,6n:4$200>0`03t\nj7f5<,:8868h8;|&536<03t\:i54={Wgf>7}#=o?1o95+33191c1:3:a?S4f;38p@?o::0yU5fb=:r.:i44=8c9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4i3:b>5<#:h;1>5o4V3c0>7}K:h?1=vX>ce81!7b1383m6X>9b81!3a?3;97);i6;31?x{zD;k?6k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?04<729q/>l?52`:8L7?23f;o97>5$3c2>4b232wi?k>50;194?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7l4$4d5>g=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7g=#=o<1n6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?k750;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?03<729q/>l?518f8L7?23-;h<7?;;h3:b?6=,;k:6<7i;W0b7?7|D;k>60=7);i6;48yx{z3`i86=4+2`39g6=Q:h91=vB=a482S7dl38p(0Z?o<:3yO6d3=9r\:oi4={%3f=?e33_;2o7==#=o<146sr}M0b0?2|^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;3:b>"4::0>j:5r$750>`=z^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;3:b>"4::0>j;5r$750>`=z^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;a0?!55;3?m;6s+66193>{Q9l21>vXje;0x 0`22j>0(><<:4d4?x"1?:0:?6sr}:k17}#9l31>5l4V0;`>7}#=o=1=?5+5g4957=zutF9m94>{W0:e?4|^lo1>v*:f481j;4>2:~yI4f<3;pZ?7n:3yUa`<5s-?m97<7a:&066<2n>1v(;9<:008yx{6=4+2`395a3<3th8jl4?:283>5}#:h;1>4k4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb2da>5<6290;w)N51<1d=i;50;&1e4<6l<10qo=id;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=ie;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=if;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95109'1c0=981vqps4i0c2>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3890;694?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=n9hi1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74gd3_;2o747<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo:?1;290?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{;>7>55;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7l4$4d5>g=zutw0e4d03_;2o747<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo:?3;292?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95109'1c0=981vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`028;0(8h9:038yx{z3`;jo7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;`8 0`12k1vqps4i0`4>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`028;0(8h9:038yx{z3`;im7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;32?!3a>3;:7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th8jn4?:983>5}#:h;1=i:4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;784$4d5>3=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o73=#=o<1:6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1:6*:f785?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f685?!3a>3<0qpsr;h3ae?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1ca94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c623:1l?518d8R7g428qG>l;51zT2ga<5s-;n57?6f:T2=f<5s-?m;784$4d5>3=zutw0en=50;&1e44>{M0b1?7|^8io6?u+1d;9g6=Q90i1>v*:f685?!3a>3<0qpsr;ha7>5<#:h;1o95Y2`196~J5i<0:w[?ld;0x 4c>2j>0Z<7l:3y'1c1=02.>j;47;|~H7g32=q]>4<53zTfa?4|,6n:4$200>0`03t\nj74?a3-99?7;i7:'225=m2w]>4<53zTfa?4|,6n:4$200>0`03t\nj74?a3-99?7;i6:'225=m2w]>4<53zTfa?4|,6n:4$200>0`03t\nj7f5<,:8868h8;|&536<03t\:i54={Wgf>7}#=o?1o95+33191c1:3:a?S4f;38p@?o::0yU5fb=:r.:i44=8c9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4i3:b>5<#:h;1>5o4V3c0>7}K:h?1=vX>ce81!7b1383m6X>9b81!3a?3;97);i6;31?x{zD;k?64;297?6=8r.9m<4=9d9K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?04<729q/>l?52`:8L7?23f;o97>5$3c2>4b232wi8<850;194?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?>;%7e2?763twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm67794?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`52d<72?0;6=u+2`3957)?l0;37?l7>n3:1(?o>:0;e?S4f;3;p@?o::0yU5fb=:r.:i44>9g9U5j:49;%7e2?0l=51zN1e0<6s_;hh7f5<^83h6?u+5g592>"2n?0=7psr}:k`0?6=,;k:6n:4V3c0>7}K:h?1=vX>ce81!7b13i?7[?6c;0x 0`0211/9k858:~yI4f<3>pZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f69~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f79~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?e43-99?7;i7:'225=?2w]=h652zTfa?4|,6n:4$200>0`03t.=;>4>3:~y>o50k0;6)P5i:09wAi38pZhk52z&6b0<50k1/??=55g58y!00;3;97psr;h0;e?6=,;k:6?6n;W0b7?4|D;k>60:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;e>"4::0>j:5r$750>446<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm67594?7=83:p(?o>:3c;?M4>=2e:h84?:%0b5?7c=21vn;87:180>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5954=#=o<1=<5r}|8m4g6290/>l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,477[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;=?6=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi>:950;494?6|,;k:6<7k;I0:1>"6k90:86g>9g83>!4f93;2j6X=a282I4f=3;pZ2.>j;49;|~y>od;3:1(?o>:b18R7g428qG>l;51zT2ga<5s-;n57m<;W3:g?4|,{zut1bo94?:%0b5?e33_8j?74}Q9jn1>v*>e88`0>P61j09w);i7;:8 0`1211vqpB=a587S4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o=0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o<0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84l3:&066<2n>1v(;9<:d9~R4c?2;q]ih4={%7e1?e33-99?7;i7:'225=9:1vqp5f29`94?"5i8094o5Y2`196~J5i<0:w[?ld;0x 4c>2;2i7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529`8 6442{zu2c94l4?:%0b5?4?i2\9m>4={M0b1?7|^8io6?u+1d;96=g<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:0f6?>{e:>;1<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;=96=4>:183!4f938j46F=949l5a3=83.9m<4>d498yg40;3:1=7>50z&1e4<6l:1C>4;4o0f6>5<#:h;1=i;4;|`130<72?0;6=u+2`3926=O:0?0e69b81!3a?3i0(8h9:b9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;a8 0`12j1vqps4i0`4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?=;%7e2?753twvq6g>b`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1=?5+5g4957=zutw0c4b23_;2o73=#=o<1:6sr}|9~f717290?6=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=n950;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?0cb83>3<729q/>l?518f8L7?23-;h<7?;;h3:b?6=,;k:6<7i;W0b7?7|D;k>60=7);i6;48yx{z3`i86=4+2`39g6=Q:h91=vB=a482S7dl38p(0Z?o<:3yO6d3=9r\:oi4={%3f=?e33_;2o7==#=o<146sr}M0b0?2|^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;3:b>"4::0>j:5r$750>`=z^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;3:b>"4::0>j;5r$750>`=z^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;a0?!55;3?m;6s+66193>{Q9l21>vXje;0x 0`22j>0(><<:4d4?x"1?:0:?6sr}:k17}#9l31>5l4V0;`>7}#=o=1=?5+5g4957=zutF9m94>{W0:e?4|^lo1>v*:f481j;4>2:~yI4f<3;pZ?7n:3yUa`<5s-?m97<7a:&066<2n>1v(;9<:008yx{6=4+2`395a3<3th:o54?:283>5}#:h;1>4k4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;784$4d5>3=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=n750;394?6|,;k:6?o7;I0:1>i6l<0;6)=zj8ij6=4<:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0:=6*:f7825>{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn><;:181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm33;94?0=83:p(?o>:0;g?M4>=2.:o=4>4:k2=c<72-8j=7?6f:T1e6<6sE8j97?tV0ag>7}#9l31=4h4V0;`>7}#=o=1:6*:f785?x{zu2ch?7>5$3c2>f5<^;k86k38p(8h8:79'1c0=>2wvqp5fc583>!4f93i?7[<,7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f482=c=#;;919k94}%447?c7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f482=c=#;;919k84}%447?c7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f48`7>"4::0>j:5r$750>2=z^8o36?uYed81!3a=3i?7)==3;7e3>{#>>91=>5r}|9j6=d=83.9m<4=8c9U6d5=:rF9m84>{W3``?4|,8o26?6m;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=d<,:8868h8;|&536<6:2wvq6g=8`83>!4f9383m6X=a281I4f=3;pZj84=8`9'775==o=0q)883;31?x{z3f;o97>5$3c2>4b232wi??;50;194?6|,;k:6?7j;I0:1>o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn><9:182>5<7s-8j=753;294~"5i80=?6F=949j5d6=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a7=6=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd4010;6;4?:1y'6d7=90n0D?7:;%3`4?733`;2j7>5$3c2>4?a3_8j?7?tL3c6>4}Q9jn1>v*>e882=c=Q90i1>v*:f685?!3a>3<0qpsr;ha0>5<#:h;1o>5Y2`195~J5i<0:w[?ld;0x 4c>2j90Z<7l:3y'1c1=>2.>j;49;|~y>od<3:1(?o>:b68R7g42;qG>l;51zT2ga<5s-;n57m;;W3:g?4|,{zuE8j87:tV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b2=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b3=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3i87)==3;7e3>{#>>91;6sY1d:96~Pbm38p(8h::b68 6442{zu2c94o4?:%0b5?4?j2\9m>4={M0b1?7|^8io6?u+1d;96=d<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:3:b?S4f;38p@?o::0yU5fb=:r.:i44=8`9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4o0f6>5<#:h;1=i;4;|`0<4<72:0;6=u+2`3967d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a7=4=83;1<7>t$3c2>7g?3A8296a>d483>!4f93;o965rb2:7>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~f6>229086=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=76;290?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~f6>4290?6=4?{%0b5?7c<2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn>66:181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm39g94?0=83:p(?o>:0;g?M4>=2.:o=4>4:k2=c<72-8j=7?6f:T1e6<6sE8j97?tV0ag>7}#9l31=4h4V0;`>7}#=o=1:6*:f785?x{zu2ch?7>5$3c2>f5<^;k86k38p(8h8:79'1c0=>2wvqp5fc583>!4f93i?7[<,7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f482=c=#;;919k94}%447?c7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f482=c=#;;919k84}%447?c7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f48`7>"4::0>j:5r$750>2=z^8o36?uYed81!3a=3i?7)==3;7e3>{#>>91=>5r}|9j6=d=83.9m<4=8c9U6d5=:rF9m84>{W3``?4|,8o26?6m;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=d<,:8868h8;|&536<6:2wvq6g=8`83>!4f9383m6X=a281I4f=3;pZj84=8`9'775==o=0q)883;31?x{z3f;o97>5$3c2>4b232wi?5o50;194?6|,;k:6?7j;I0:1>o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn>6m:182>5<7s-8j=753;294~"5i80=?6F=949j5d6=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a7=`=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd41=0;6;4?:1y'6d7=90n0D?7:;%3`4?733`;2j7>5$3c2>4?a3_8j?7?tL3c6>4}Q9jn1>v*>e882=c=Q90i1>v*:f685?!3a>3<0qpsr;ha0>5<#:h;1o>5Y2`195~J5i<0:w[?ld;0x 4c>2j90Z<7l:3y'1c1=>2.>j;49;|~y>od<3:1(?o>:b68R7g42;qG>l;51zT2ga<5s-;n57m;;W3:g?4|,{zuE8j87:tV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b2=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b3=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3i87)==3;7e3>{#>>91;6sY1d:96~Pbm38p(8h::b68 6442{zu2c94o4?:%0b5?4?j2\9m>4={M0b1?7|^8io6?u+1d;96=d<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:3:b?S4f;38p@?o::0yU5fb=:r.:i44=8`9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4o0f6>5<#:h;1=i;4;|`0=5<72:0;6=u+2`3967d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a7<7=83;1<7>t$3c2>7g?3A8296a>d483>!4f93;o965rb2;1>5<4290;w)=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=j2.>j;4m;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59f>"2n?0i7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th85n4?:383>5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=n1;292?6=8r.9m<4>9e9K6<3<,8i;6<:4i0;e>5<#:h;1=4h4V3c0>4}K:h?1=vX>ce81!7b13;2j6X>9b81!3a?3<0(8h9:79~yx{P5i:0:wAj:49;%7e2?0l=52zN1e0<6s_;hh7f2<^83h6?u+5g59<>"2n?037psrL3c7>1}Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::0;e?!55;3?m;6s+6619a>{Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::0;e?!55;3?m:6s+6619a>{Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::b18 644260:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;f>"4::0>j:5r$750>444o52zTfa?4|,6?6n;%117?3a?2w/::=5139~yx=h9m?1<7*=a082`0=53;294~"5i8095h5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th85h4?:083>5}#:h;1>l64H3;6?j7c=3:1(?o>:0f6?>{e;0l1<7=50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?l<50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?03<729q/>l?518f8L7?23-;h<7?;;h3:b?6=,;k:6<7i;W0b7?7|D;k>60=7);i6;48yx{z3`i86=4+2`39g6=Q:h91=vB=a482S7dl38p(0Z?o<:3yO6d3=9r\:oi4={%3f=?e33_;2o7==#=o<146sr}M0b0?2|^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;3:b>"4::0>j:5r$750>`=z^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;3:b>"4::0>j;5r$750>`=z^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;a0?!55;3?m;6s+66193>{Q9l21>vXje;0x 0`22j>0(><<:4d4?x"1?:0:?6sr}:k17}#9l31>5l4V0;`>7}#=o=1=?5+5g4957=zutF9m94>{W0:e?4|^lo1>v*:f481j;4>2:~yI4f<3;pZ?7n:3yUa`<5s-?m97<7a:&066<2n>1v(;9<:008yx{6=4+2`395a3<3th8m>4?:283>5}#:h;1>4k4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;784$4d5>3=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?l:50;394?6|,;k:6?o7;I0:1>i6l<0;6)=zj:k>6=4<:183!4f93<87E<65:k2e5<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95b:&6b3a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1n6*:f78a?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`0e=<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[50z&1e4<61m1C>4;4$0a3>42P61j09w);i7;48 0`12?1vqps4ib194?"5i80h?6X=a282I4f=3;pZl?5c59U6d5=:rF9m84>{W3``?4|,8o26n:4V0;`>7}#=o=146*:f78;?x{zD;k?69uY28097~Pbm38p(8h::b68 6442{#>>91i6sY28097~Pbm38p(8h::b68 6442{#>>91i6sY28097~Pbm38p(8h::b68 6442<<:4d4?x"1?:0<7pX>e981Scb2;q/9k;5c59'775==o=0q)883;30?x{z3`83n7>5$3c2>7>e3_8j?74}Q9jn1>v*>e881v*:f6826>"2n?0:>6sr}M0b0?7|^;3j6?uYed81!3a=383n6*<2286b2=z,?=86<<4}|8m7>f290/>l?529c8R7g42;qG>l;51zT2ga<5s-;n57<7a:T2=f<5s-?m;7?=;%7e2?753twv@?o;:0yU67>f3-99?7;i7:'225=9;1vqp5`1e794?"5i80:h854}c1b=?6=;3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`0ed<7280;6=u+2`396d><@;3>7b?k5;29 7g628n>76sm3``94?5=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68a?!3a>3h0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn>h7:185>5<7s-8j=7?6d:J1=0=#9j:1=95f18d94?"5i80:5k5Y2`195~J5i<0:w[?ld;0x 4c>283m7[?6c;0x 0`02?1/9k856:~yx=nk:0;6)3=zutw0en:50;&1e44={M0b1?7|^8io6?u+1d;9g1=Q90i1>v*:f68;?!3a>320qpsC2`690~P51;08w[kj:3y'1c3=k=1/??=55g58ySca2;q/9k;518d8 6442>4:f69~ 3142890qps4i3:a>5<#:h;1>5l4V3c0>7}K:h?1=vX>ce81!7b1383n6X>9b81!3a?3;97);i6;31?x{zD;k?65o50;&1e4<50h1]>l=52zN1e0<6s_;hh77>f3_;2o744<,7}#=o?1>5o4$200>0`03t.=;>4>2:~y>i6l<0;6)=zj:l?6=4<:183!4f9382i6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{51;294~"5i809m55G2878k4b2290/>l?51e78?xd4n?0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th??;4?:383>5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo:9e9K6<3<,8i;6<:4i0;e>5<#:h;1=4h4V3c0>4}K:h?1=vX>ce81!7b13;2j6X>9b81!3a?3<0(8h9:79~yx{P5i:0:wAj:49;%7e2?0l=52zN1e0<6s_;hh7f2<^83h6?u+5g59<>"2n?037psrL3c7>1}Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::0;e?!55;3?m;6s+6619a>{Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::0;e?!55;3?m:6s+6619a>{Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::b18 644260:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;f>"4::0>j:5r$750>444o52zTfa?4|,6?6n;%117?3a?2w/::=5139~yx=h9m?1<7*=a082`0=8;7>53;294~"5i8095h5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th??54?:083>5}#:h;1>l64H3;6?j7c=3:1(?o>:0f6?>{e<:31<7=50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;I0b4>P5i:0:wAa19U5j:4m;%7e2?d0i7);i6;`8yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c60g?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f126290=6=4?{%0b5?7>l2B9585+1b2951=n90l1<7*=a082=c=Q:h91=vB=a482S7dl38p(k38p(8h8:79'1c0=>2wvqp5fc283>!4f93i87[7}#9l31o95Y18a96~"2n>037);i6;:8yx{K:h>18vX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d4?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d5?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=k:1/??=55g58y!00;3=0q[?j8;0xR`c=:r.>j84l4:&066<2n>1v(;9<:018yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2i7)==3;7e3>{#>>91=?5r}|9j6=g=83.9m<4=8`9U6d5=:rF9m84>{W3``?4|,8o26?6n;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=g<,:8868h8;|&536<6:2wvq6a>d483>!4f93;o965rb51g>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c60a?6=93:16<729q/>l?5629K6<3l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;`8 0`12k1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj=>96=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi89950;494?6|,;k:6<7k;I0:1>"6k90:86g>9g83>!4f93;2j6X=a282I4f=3;pZ2.>j;49;|~y>od;3:1(?o>:b18R7g428qG>l;51zT2ga<5s-;n57m<;W3:g?4|,{zut1bo94?:%0b5?e33_8j?74}Q9jn1>v*>e88`0>P61j09w);i7;:8 0`1211vqpB=a587S4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o=0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o<0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84l3:&066<2n>1v(;9<:69~R4c?2;q]ih4={%7e1?e33-99?7;i7:'225=9:1vqp5f29`94?"5i8094o5Y2`196~J5i<0:w[?ld;0x 4c>2;2i7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529`8 6442{zu2c94l4?:%0b5?4?i2\9m>4={M0b1?7|^8io6?u+1d;96=g<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:0f6?>{e<=91<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj=>?6=4>:183!4f938j46F=949l5a3=83.9m<4>d498yg23=3:1?7>50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02k1/9k85b:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm45:94?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`70a<72?0;6=u+2`3957)?l0;37?l7>n3:1(?o>:0;e?S4f;3;p@?o::0yU5fb=:r.:i44>9g9U5j:49;%7e2?0l=51zN1e0<6s_;hh7f5<^83h6?u+5g592>"2n?0=7psr}:k`0?6=,;k:6n:4V3c0>7}K:h?1=vX>ce81!7b13i?7[?6c;0x 0`0211/9k858:~yI4f<3>pZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f69~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f79~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?e43-99?7;i7:'225=?2w]=h652zTfa?4|,6n:4$200>0`03t.=;>4>3:~y>o50k0;6)P5i:09wAi38pZhk52z&6b0<50k1/??=55g58y!00;3;97psr;h0;e?6=,;k:6?6n;W0b7?4|D;k>60:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;e>"4::0>j:5r$750>446<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm45c94?7=83:p(?o>:3c;?M4>=2e:h84?:%0b5?7c=21vn9:m:180>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59f>"2n?0i7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95b:&6b3d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd30o0;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{287>56;294~"5i80:5i5G2878 4e728>0e<7i:18'6d7=90l0Z?o<:0yO6d3=9r\:oi4={%3f=?7>n2\:5n4={%7e3?0<,7}#9l31o>5Y18a96~"2n>0=7);i6;48yx{z3`i?6=4+2`39g1=Q:h91>vB=a482S7dl38p(f2<,:8868h8;|Tfb?4|,6<7i;%117?3a?2w/::=5e:U6<4=;r\ni7f2<,:8868h8;|Tfb?4|,6<7i;%117?3a>2w/::=5e:U6<4=;r\ni7f2<,:8868h8;|Tfb?4|,6n=4$200>0`03t.=;>48;|T2a=<5s_on6?u+5g79g1=#;;919k94}%447?743twv7d<7b;29 7g62;2i7[j;4>2:~yI4f<3;pZ?7n:3yUa`<5s-?m97<7b:&066<2n>1v(;9<:008yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2j7)==3;7e3>{#>>91=?5r}|9l5a3=83.9m<4>d498yg2>83:1?7>50z&1e4<51l1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3180;6<4?:1y'6d7=:h20D?7:;n3g1?6=,;k:6t$3c2>35<@;3>7d?n0;29 7g6283m7[;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn9o>:181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm4`794?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`7ed<72?0;6=u+2`3957)?l0;37?l7>n3:1(?o>:0;e?S4f;3;p@?o::0yU5fb=:r.:i44>9g9U5j:49;%7e2?0l=51zN1e0<6s_;hh7f5<^83h6?u+5g592>"2n?0=7psr}:k`0?6=,;k:6n:4V3c0>7}K:h?1=vX>ce81!7b13i?7[?6c;0x 0`0211/9k858:~yI4f<3>pZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f69~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f79~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?e43-99?7;i7:'225=?2w]=h652zTfa?4|,6n:4$200>0`03t.=;>4>3:~y>o50k0;6)P5i:09wAi38pZhk52z&6b0<50k1/??=55g58y!00;3;97psr;h0;e?6=,;k:6?6n;W0b7?4|D;k>60:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;e>"4::0>j:5r$750>446<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm4`194?7=83:p(?o>:3c;?M4>=2e:h84?:%0b5?7c=21vn9o;:187>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4>1:&6b3<692wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,470:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a0d0=83;:6=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1o6*:f78`?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{o6jh0;6)P5i:0:wAb`9U5j:4>2:&6b3<6:2wvqp5f1c`94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;h3a`?6=,;k:6<7i;I0b4>P5i:0:wAbe9U5j:4l;%7e2?eo6jo0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95g`<^83h6?u+5g5957=#=o<1=?5r}|8m4g5290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b6>P61j09w);i7;31?!3a>3;97psr}:k2e6<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`18R4?d2;q/9k95139'1c0=9;1vqps4i0c7>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k?7[?6c;0x 0`02?1/9k856:~yx=n9h?1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`78R4?d2;q/9k956:&6b3<13twvq6g>a783>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i?1]=4m52z&6b2<13-?m:784}|~?l7f?3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n7:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f1g0290:=7>50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59g>"2n?0h7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0h7);i6;a8yx{z3`;i;7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kk1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k95139'1c0=9;1vqps4i0`a>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hi7[?6c;0x 0`02880(8h9:008yx{z3`;io7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3ag>P61j09w);i7;31?!3a>3;97psr}:k2fa<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:ni5Y18a96~"2n>0:>6*:f7826>{zut1b=ok50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gc<^83h6?u+5g5957=#=o<1=?5r}|8m4da290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ab>P61j09w);i7;31?!3a>3;97psr}:k2e7<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`08R4?d2;q/9k95139'1c0=9;1vqps4i0c0>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n3:T2=f<5s-?m;7?=;%7e2?753twvq6g>a583>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i=1]=4m52z&6b2<13-?m:784}|~?l7f=3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n5:T2=f<5s-?m;784$4d5>3=zutw0e4g13_;2o73=#=o<1:6sr}|9j5d1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l94V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`7e=<72:0;6=u+2`395a2<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a0f7=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3km0;6;4?:1y'6d7=90n0D?7:;%3`4?733`;2j7>5$3c2>4?a3_8j?7?tL3c6>4}Q9jn1>v*>e882=c=Q90i1>v*:f685?!3a>3<0qpsr;ha0>5<#:h;1o>5Y2`195~J5i<0:w[?ld;0x 4c>2j90Z<7l:3y'1c1=>2.>j;49;|~y>od<3:1(?o>:b68R7g42;qG>l;51zT2ga<5s-;n57m;;W3:g?4|,{zuE8j87:tV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b2=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b3=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3i87)==3;7e3>{#>>91;6sY1d:96~Pbm38p(8h::b68 6442{zu2c94o4?:%0b5?4?j2\9m>4={M0b1?7|^8io6?u+1d;96=d<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:3:b?S4f;38p@?o::0yU5fb=:r.:i44=8`9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4o0f6>5<#:h;1=i;4;|`7g7<72:0;6=u+2`3967d?n0;29 7g6283m7E0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj=i86=4>:183!4f938j46F=949l5a3=83.9m<4>d498yg2d=3:1?7>50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[3:1?7>50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2647;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`7g<<72=0;6=u+2`3926=O:0?0e4g73_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~f1ef290>6=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`7gg<72?0;6=u+2`3926=O:0?0e69b81!3a?3h0(8h9:c9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;32?!3a>3;:7psr}:k2f2<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95109'1c0=981vqps4i0`b>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`028;0(8h9:038yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c6`0?6=03:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f685?!3a>3<0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c`94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=>2.>j;49;|~y>o6jj0;6)P5i:0:wAbb9U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj?;=6=49:183!4f93;2h6F=949'5f6=9=1b=4h50;&1e4<61o1]>l=51zN1e0<6s_;hh74?a3_;2o73=#=o<1:6sr}|9jg6<72-8j=7m<;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{1<7*=a08`0>P5i:09wAj:47;%7e2?>l:54zT1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`03t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`13t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1o>5+33191c1v*:f48`0>"4::0>j:5r$750>454o52zTfa?4|,6?6m;%117?3a?2w/::=5139~yx=n:1k1<7*=a081vB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1k0(><<:4d4?x"1?:0:>6sr}:m2`0<72-8j=7?k5:9~f36e29086=4?{%0b5?4>m2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<5i11C>4;4o0f6>5<#:h;1=i;4;|`54`<72:0;6=u+2`3926=O:0?0e4g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`54c<72:0;6=u+2`3926=O:0?0e4g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`555<72:0;6=u+2`3926=O:0?0e4g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd1980;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?>;%7e2?763twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm60094?1=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;`8 0`12k1vqps4i0c2>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6g>ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1n6*:f78a?x{zu2c:n:4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6jk0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn;?<:184>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4m;%7e2?d0:=6*:f7825>{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5954=#=o<1=<5r}|8m4d0290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;32?!3a>3;:7psr}:k2fd<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k95109'1c0=981vqps4i0`a>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd19=0;6:4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?>;%7e2?763twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95109'1c0=981vqps4i0`4>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`028;0(8h9:038yx{z3`;im7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;32?!3a>3;:7psr}:k2fg<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj?:o6=47:183!4f93;o86F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=>2.>j;49;|~y>o6jk0;6)P5i:0:wAbc9U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn;<;:181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm62294?0=83:p(?o>:0;g?M4>=2.:o=4>4:k2=c<72-8j=7?6f:T1e6<6sE8j97?tV0ag>7}#9l31=4h4V0;`>7}#=o=1:6*:f785?x{zu2ch?7>5$3c2>f5<^;k86k38p(8h8:79'1c0=>2wvqp5fc583>!4f93i?7[<,7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f482=c=#;;919k94}%447?c7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f482=c=#;;919k84}%447?c7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f48`7>"4::0>j:5r$750>2=z^8o36?uYed81!3a=3i?7)==3;7e3>{#>>91=>5r}|9j6=d=83.9m<4=8c9U6d5=:rF9m84>{W3``?4|,8o26?6m;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=d<,:8868h8;|&536<6:2wvq6g=8`83>!4f9383m6X=a281I4f=3;pZj84=8`9'775==o=0q)883;31?x{z3f;o97>5$3c2>4b232wi:?;50;194?6|,;k:6?7j;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c412?6=93:16<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`56<<72:0;6=u+2`3926=O:0?0e4g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd1:h0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd1:k0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd1:j0;684?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?>;%7e2?763twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95109'1c0=981vqps4i0`4>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`028;0(8h9:038yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c41`?6=>3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59f>"2n?0i7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95109'1c0=981vqps4i0c`>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7l4$4d5>g=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb70f>5<1290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4>1:&6b3<692wvqp5f1`a94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn;<8:18;>5<7s-8j=7?k4:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?l7fk3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;784$4d5>3=zutw0e4d03_;2o73=#=o<1:6sr}|9j5gg=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1:6*:f785?x{zu2c:no4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f685?!3a>3<0qpsr;h3ag?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb320>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a65?=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b24?:1y'6d7=:0o0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e:9?1<7?50;2x 7g62;k37E<65:m2`0<72-8j=7?k5:9~f76029086=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi>=650;194?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?>;%7e2?763twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qod59K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c024?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f77329096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=i2.>j;4n;|~y>{e:9h1<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;:h6=4>:183!4f938j46F=949l5a3=83.9m<4>d498yg47m3:1?7>50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=j2.>j;4m;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn?>i:180>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4>1:&6b3<692wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=j2.>j;4m;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?51e68L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm20394??=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;a8 0`12j1vqps4i0c2>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?=;%7e2?753twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<6:2.>j;4>2:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95139'1c0=9;1vqps4i0`b>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02880(8h9:008yx{z3`;in7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3af>P61j09w);i7;31?!3a>3;97psr}:k2ff<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751ca8R4?d2;q/9k95139'1c0=9;1vqps4i0`g>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?md:T2=f<5s-?m;7?=;%7e2?753twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd59;0;644?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7m4$4d5>f=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6826>"2n?0:>6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=?5+5g4957=zutw0e4df3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f6826>"2n?0:>6sr}|9j5ge=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3a`?6=,;k:6<7i;I0b4>P5i:0:wAbe9U5j:4>2:&6b3<6:2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e:891<7=50;2x 7g628n?7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;;>6=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi><750;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;c8 0`12h1vqps4}c022?6=;3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`152<7280;6=u+2`396d><@;3>7b?k5;29 7g628n>76sm20:94?5=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm20c94?2=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3i0(8h9:b9~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb33a>5<3290;w)=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)P5i:0:wAa09U5j:4l;%7e2?el?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn??l:187>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95c:&6b3ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo<>d;291?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g59g>"2n?0h7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th9=h4?:483>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;a8 0`12j1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02j1/9k85c:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm20d94?0=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=>2.>j;49;|~y>o6jh0;6)P5i:0:wAb`9U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;8<6=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi>?l50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:4n;%7e2?g6<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm23194?7=83:p(?o>:3c;?M4>=2e:h84?:%0b5?7c=21vn?<::180>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4m;%7e2?d0:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a670=8391<7>t$3c2>35<@;3>7d?n0;29 7g6283m7[;|~y>o6i80;6)P5i:0:wAa09U5j:4m;%7e2?d7[50z&1e4<6l=1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd5:10;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o744<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo<=9;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95139'1c0=9;1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02j1/9k85c:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm23c94?5=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb30`>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a664=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd5;>0;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3k0(8h9:`9~yx{53;294~"5i8095h5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th9>h4?:083>5}#:h;1>l64H3;6?j7c=3:1(?o>:0f6?>{e:::1<7=50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb30e>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c007?6==3:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1o6*:f78`?x{zu2c:n:4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=9;1/9k85139~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb317>5<1290;w)=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59g>"2n?0h7psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fd=Q90i1>v*:f6826>"2n?0:>6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi>>;50;494?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=9;1/9k85139~yx{i6l<0;6)P5i:0:wAd49U5j:49;%7e2?01<729q/>l?51e68L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd5;10;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn?:<:181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k95a:&6b3:3;f?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb31b>5<6290;w)N51<1d=i;50;&1e4<6l<10qo<a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo<4g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a66c=83>1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;a8 0`12j1vqps4i0c`>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7m4$4d5>f=zutw0c4b23_;2o73=#=o<1:6sr}|9~f75a290?6=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1o6*:f78`?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4l;%7e2?e7[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59g>"2n?0h7psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd5<80;694?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7m4$4d5>f=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e:=81<7850;2x 7g628n?7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4i0c`>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02?1/9k856:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k956:&6b3<13twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo<;4;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb36b>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a61c=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b24?:1y'6d7=:0o0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e:=<1<7?50;2x 7g62;k37E<65:m2`0<72-8j=7?k5:9~f72?29086=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi>9750;194?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?>;%7e2?763twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo<;7;297?6=8r.9m<4>d59K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?5629K6<3k38p(8h8:b9'1c0=k2wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,447[50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:b9'1c0=k2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e:=n1<7=50;2x 7g628n?7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;>m6=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi>8;50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;c8 0`12h1vqps4}c064?6=;3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`114<7280;6=u+2`396d><@;3>7b?k5;29 7g628n>76sm24194?5=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;`8 0`12k1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`028;0(8h9:038yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c060?6=;3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59f>"2n?0i7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;?96=4<:183!4f93;o86F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{:7>51083>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;a8 0`12j1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02j1/9k85c:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=?5+5g4957=zutw0e4df3_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7?=;%7e2?753twvq6g>bb83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kn1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cf8R4?d2;q/9k95139'1c0=9;1vqps4i0`f>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?me:T2=f<5s-?m;7?=;%7e2?753twvq6g>bg83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oh4V0;`>7}#=o=1=?5+5g4957=zutw0e66X>9b81!3a?3;97);i6;31?x{zu2c:m>4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e6=Q90i1>v*:f685?!3a>3<0qpsr;h3b0?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395<`<^;k86k38p(8h8:79'1c0=>2wvqp5f1`494?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h<0Z<7l:3y'1c1=>2.>j;49;|~y>o6i>0;6)P5i:0:wAa69U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;<96=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1m6*:f78b?x{zu2wi>8750;194?6|,;k:6?7j;I0:1>o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn?;n:182>5<7s-8j=7o7>53;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{h7>53;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1n6*:f78a?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68a?!3a>3h0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{i7>53;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1n6*:f78a?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb37e>5<3290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4m;%7e2?dl?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn?8?:187>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4m;%7e2?dl?518d8R7g428qG>l;51zTe4?4|,8o26477[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59f>"2n?0i7psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;?i6=48:183!4f93;o86F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=>2.>j;49;|~y>o6jk0;6)P5i:0:wAbc9U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;<<6=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi>;k50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:4n;%7e2?g6<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm27794?7=83:p(?o>:3c;?M4>=2e:h84?:%0b5?7c=21vn?89:180>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn?87:185>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5957=#=o<1=?5r}|8m4g6290/>l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02880(8h9:008yx{z3`;i;7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kk1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74df3_;2o744<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo<99;293?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5957=#=o<1=?5r}|8m4df290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;31?!3a>3;97psr}:k2fg<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95139'1c0=9;1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6826>"2n?0:>6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2c:no4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=9;1/9k85139~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb34a>5=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)P5i:0:wAa09U5j:4l;%7e2?el?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;a8 0`12j1vqps4i0`b>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?=;%7e2?753twvq6g>bc83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=ol4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`12f<7210;6=u+2`3926=O:0?0e69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1`a94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=k2.>j;4l;|~y>o6j>0;6)P5i:0:wAb69U5j:4>2:&6b3<6:2wvqp5f1cc94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=om50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`02880(8h9:008yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c05`?6=>3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f685?!3a>3<0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e=0=7);i6;48yx{z3th>:?4?:383>5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;97;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:`9'1c0=i2wvqp5rb47`>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c76`?6=93:16<729q/>l?5629K6<3k38p(8h8:c9'1c0=j2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=j2.>j;4m;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?5629K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?00<729q/>l?5629K6<3;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8m4gd290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;32?!3a>3;:7psr}:k2f2<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb440>5<3290;w)=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59g>"2n?0h7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0h7);i6;a8yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c750?6==3:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=k2.>j;4l;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?00<729q/>l?5629K6<3l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g59g>"2n?0h7psr}:k2f2<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n:5Y18a96~"2n>0:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a130=83>1<7>t$3c2>4b33A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0e4gd3_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi95h50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c7:e?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o7d=#=o<1m6sr}|9~f0?729086=4?{%0b5?4>m2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th>5<4?:083>5}#:h;1>l64H3;6?j7c=3:1(?o>:0f6?>{e=081<7=50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e=0>1<7:50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{o6ij0;6)P5i:0:wAab9U5j:4>2:&6b3<6:2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e=0?1<7:50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;I0b4>P5i:0:wAa19U5j:4>2:&6b3<6:2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=9;1/9k85139~yx{i6l<0;6)P5i:0:wAd49U5j:49;%7e2?00<729q/>l?5629K6<3l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;a8 0`12j1vqps4i0c`>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3;97);i6;31?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`6=2<72<0;6=u+2`3926=O:0?0e69b81!3a?3i0(8h9:b9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;31?!3a>3;97psr}:k2f2<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95139'1c0=9;1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj<336=4::183!4f93<87E<65:k2e5<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95c:&6b3a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f68`?!3a>3i0qpsr;h3a3?6=,;k:6<7i;I0b4>P5i:0:wAb69U5j:4>2:&6b3<6:2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e=031<7850;2x 7g628n?7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4i0c`>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02?1/9k856:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k956:&6b3<13twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;k6;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb4fb>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a1`6=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b20;6>4?:1y'6d7=:0o0D?7:;h3b4?6=,;k:6<7i;I0b4>P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn8j7:182>5<7s-8j=753;294~"5i80=?6F=949j5d6=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a1ad=83;:6=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jk0;6)P5i:0:wAbc9U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=ok50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hn7[?6c;0x 0`02j1/9k85c:~yx=n9kl1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cd8R4?d2;q/9k95139'1c0=9;1vqps4i0c1>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n2:T2=f<5s-?m;7?=;%7e2?753twvq6g>a283>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l=4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2c:m84?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e0=Q90i1>v*:f6826>"2n?0:>6sr}|9j5d0=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3b3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb4f`>5<693:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95c:&6b3ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7m4$4d5>f=zutw0e4df3_;2o7f=#=o<1o6sr}|9j5gd=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=ol4V0;`>7}#=o=1o6*:f78`?x{zu2c:nn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ff=Q90i1>v*:f68`?!3a>3i0qpsr;h3a`?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{l?518d8R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=l=50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k87[?6c;0x 0`02880(8h9:008yx{z3`;j87>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i=1]=4m52z&6b2<6:2.>j;4>2:~yx=n9h?1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`78R4?d2;q/9k95139'1c0=9;1vqps4i0c5>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n6:T2=f<5s-?m;7?=;%7e2?753twvq6g>a683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i>1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;kd;2964<729q/>l?5629K6<3k38p(8h8:b9'1c0=k2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=k2.>j;4l;|~y>o6ij0;6)P5i:0:wAab9U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=ol50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g59g>"2n?0h7psr}:k2ff<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nn5Y18a96~"2n>0h7);i6;a8yx{z3`;ih7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jm1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882f`=Q90i1>v*:f68`?!3a>3i0qpsr;h3ab?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1`194?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h90Z<7l:3y'1c1=k2.>j;4l;|~y>o6i=0;6)P5i:0:wAa59U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l950;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k<7[?6c;0x 0`02j1/9k85c:~yx=n9h21<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`:8R4?d2;q/9k95139'1c0=9;1vqps4i0c:>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n9:T2=f<5s-?m;7?=;%7e2?753twvq6g>a`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lo4V0;`>7}#=o=1=?5+5g4957=zutw0e4ge3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ea=Q90i1>v*:f6826>"2n?0:>6sr}|9j5dc=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lk4V0;`>7}#=o=1:6*:f785?x{zu2c:mk4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ec=Q90i1>v*:f685?!3a>3<0qpsr;h3a4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c094?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k80Z<7l:3y'1c1=>2.>j;49;|~y>o6j:0;6)P5i:0:wAb29U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o850;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g0<^83h6?u+5g592>"2n?0=7psr}:k2f=<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n55Y18a96~"2n>0=7);i6;48yx{z3`;i57>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a=>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1o6*:f78`?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jk0;6)P5i:0:wAbc9U5j:4l;%7e2?el?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a`>P61j09w);i7;a8 0`12j1vqps4i0`f>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?me:T2=f<5s-?m;7m4$4d5>f=zutw0e4da3_;2o7f=#=o<1o6sr}|9j5d4=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l<4V0;`>7}#=o=1o6*:f78`?x{zu2c:m>4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e6=Q90i1>v*:f68`?!3a>3i0qpsr;h3b0?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{6=4+2`395<`<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`494?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h<0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d1<^83h6?u+5g59g>"2n?0h7psr}:k2e=<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m55Y18a96~"2n>0:>6*:f7826>{zut1b=l750;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k27[?6c;0x 0`02880(8h9:008yx{z3`;jm7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6ih1]=4m52z&6b2<6:2.>j;4>2:~yx=n9hh1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751``8R4?d2;q/9k95139'1c0=9;1vqps4i0cg>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nd:T2=f<5s-?m;7?=;%7e2?753twvq6g>ad83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6il1]=4m52z&6b2<13-?m:784}|~?l7fn3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nf:T2=f<5s-?m;784$4d5>3=zutw0e4d73_;2o73=#=o<1:6sr}|9j5g7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o?4V0;`>7}#=o=1:6*:f785?x{zu2c:n?4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f7=Q90i1>v*:f685?!3a>3<0qpsr;h3a7?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c794?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k?0Z<7l:3y'1c1=>2.>j;49;|~y>o6j?0;6)P5i:0:wAb79U5j:49;%7e2?0290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a1a`=83?1<7>t$3c2>4b33A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0e4gd3_;2o73=#=o<1:6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`6ad<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02h1/9k85a:~yx=zj9g9K6d6<^;k86k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?04<729q/>l?52`:8L7?23f;o97>5$3c2>4b232wi9hj50;194?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`71d<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj=<:6=49:183!4f93;2h6F=949'5f6=9=1b=4h50;&1e4<61o1]>l=51zN1e0<6s_;hh74?a3_;2o73=#=o<1:6sr}|9jg6<72-8j=7m<;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{1<7*=a08`0>P5i:09wAj:47;%7e2?>l:54zT1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`03t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`13t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1o>5+33191c1v*:f48`0>"4::0>j:5r$750>454o52zTfa?4|,6?6m;%117?3a?2w/::=5139~yx=n:1k1<7*=a081vB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1k0(><<:4d4?x"1?:0:>6sr}:m2`0<72-8j=7?k5:9~f13e29086=4?{%0b5?4>m2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<5i11C>4;4o0f6>5<#:h;1=i;4;|`71a<72:0;6=u+2`3926=O:0?0e4g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3=o0;6;4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02880(8h9:008yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;31?!3a>3;97psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0h7);i6;a8yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;31?!3a>3;97psr}:k2fd<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nl5Y18a96~"2n>0:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a034=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3>00;6;4?:1y'6d7=90n0D?7:;%3`4?733`;2j7>5$3c2>4?a3_8j?7?tL3c6>4}Q9jn1>v*>e882=c=Q90i1>v*:f685?!3a>3<0qpsr;ha0>5<#:h;1o>5Y2`195~J5i<0:w[?ld;0x 4c>2j90Z<7l:3y'1c1=>2.>j;49;|~y>od<3:1(?o>:b68R7g42;qG>l;51zT2ga<5s-;n57m;;W3:g?4|,{zuE8j87:tV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b2=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b3=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3i87)==3;7e3>{#>>91;6sY1d:96~Pbm38p(8h::b68 6442{zu2c94o4?:%0b5?4?j2\9m>4={M0b1?7|^8io6?u+1d;96=d<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:3:b?S4f;38p@?o::0yU5fb=:r.:i44=8`9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4o0f6>5<#:h;1=i;4;|`726<72:0;6=u+2`3967d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a032=83;1<7>t$3c2>7g?3A8296a>d483>!4f93;o965rb545>5<4290;w)=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=981/9k85109~yx{;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?5629K6<3;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn98::180>5<7s-8j=7?k4:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;<8;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb41`>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a16c=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b24?:1y'6d7=:0o0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e=:k1<7?50;2x 7g62;k37E<65:m2`0<72-8j=7?k5:9~f05e29086=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`67a<72?0;6=u+2`3926=O:0?0e4g73_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?=;%7e2?753twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?=;%7e2?753twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm56`94?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`6<7<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[50z&1e4<51l1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd2?m0;6<4?:1y'6d7=:h20D?7:;n3g1?6=,;k:61<7>t$3c2>35<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02k1/9k85b:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm59294?0=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;`8 0`12k1vqps4i0c2>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7l4$4d5>g=zutw0e4gd3_;2o7g=#=o<1n6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1n6*:f78a?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=j2.>j;4m;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?03<729q/>l?5629K6<3k38p(8h8:c9'1c0=j2wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g59f>"2n?0i7psr}:k2f2<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95b:&6b3b`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1n6*:f78a?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`63`<72=0;6=u+2`395a2<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th>n:4?:383>5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;mb;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb4a1>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g59e>"2n?0j7psr}:a1g>=8391<7>t$3c2>7?b3A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{51;294~"5i809m55G2878k4b2290/>l?51e78?xd2jh0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd2jj0;6:4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7m4$4d5>f=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=ol50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn8lk:184>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0h7);i6;a8yx{z3`;jo7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;a8 0`12j1vqps4i0`4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?=;%7e2?753twvq6g>b`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1=?5+5g4957=zutw0e4de3_;2o744<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;me;293?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`a94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=k2.>j;4l;|~y>o6j>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5957=#=o<1=?5r}|8m4df290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;31?!3a>3;97psr}:k2fg<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:no5Y18a96~"2n>0:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a1g`=8321<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f68`?!3a>3i0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{o6jk0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g5957=#=o<1=?5r}|8m4dd290/>l?518d8R7g428qG>l;51zTe4?4|,8o26447[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59g>"2n?0h7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0h7);i6;a8yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;31?!3a>3;97psr}:k2fd<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k95139'1c0=9;1vqps4i0`a>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7?=;%7e2?753twvq6g>bb83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm5b394?0=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=>2.>j;49;|~y>o6jh0;6)P5i:0:wAb`9U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj?d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi::<50;494?6|,;k:6<7k;I0:1>"6k90:86g>9g83>!4f93;2j6X=a282I4f=3;pZ2.>j;49;|~y>od;3:1(?o>:b18R7g428qG>l;51zT2ga<5s-;n57m<;W3:g?4|,{zut1bo94?:%0b5?e33_8j?74}Q9jn1>v*>e88`0>P61j09w);i7;:8 0`1211vqpB=a587S4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o=0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o<0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84l3:&066<2n>1v(;9<:69~R4c?2;q]ih4={%7e1?e33-99?7;i7:'225=9:1vqp5f29`94?"5i8094o5Y2`196~J5i<0:w[?ld;0x 4c>2;2i7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529`8 6442{zu2c94l4?:%0b5?4?i2\9m>4={M0b1?7|^8io6?u+1d;96=g<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:0f6?>{e>?i1<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj?:183!4f938j46F=949l5a3=83.9m<4>d498yg01m3:1?7>50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a226=8391<7>t$3c2>35<@;3>7d?n0;29 7g6283m7[l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;a8 0`12j1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj0o1<7<50;2x 7g62j;0D?7:;h3:b?6=,;k:6<7i;:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3thj>7>52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vnl650;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:4n;%7e2?gk38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06=4+2`395a3<3thj=7>53;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1n6*:f78a?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68a?!3a>3h0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{0:>6*:f7826>{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vnl:50;194?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?=;%7e2?753twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sma483>6<729q/>l?5629K6<3k38p(8h8:008 0`12880qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`b2?6=<3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5957=#=o<1=?5r}|8m4g6290/>l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vnl950;794?6|,;k:6o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3thjo7>52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vno>50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06=4+2`395a3<^;k86k38p(8h8:`9'1c0=i2wvqp5rb`f94?5=83:p(?o>:3;f?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb`g94?7=83:p(?o>:3c;?M4>=2e:h84?:%0b5?7c=21vnlh50;194?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7l4$4d5>g=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7g=#=o<1n6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2win<4?:483>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;31?!3a>3;97psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95139'1c0=9;1vqps4i0c`>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7?=;%7e2?753twvq6g>b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6smb383>0<729q/>l?5629K6<3o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5957=#=o<1=?5r}|8m4gd290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;31?!3a>3;97psr}:k2f2<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n:5Y18a96~"2n>0:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:af6<72?0;6=u+2`3926=O:0?0e69b81!3a?3;97);i6;31?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4>2:&6b3<6:2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:af1<72?0;6=u+2`3926=O:0?0e69b81!3a?3;97);i6;31?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4>2:&6b3<6:2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:af0<72<0;6=u+2`395a2<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g592>"2n?0=7psr}:k2f2<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n:5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}ca;>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:agf<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=i2.>j;4n;|~y>{ek00;6>4?:1y'6d7=:0o0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{ekh0;6<4?:1y'6d7=:h20D?7:;n3g1?6=,;k:64g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|```?6=?3:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2644o6jk0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vnnk50;594?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4l;%7e2?e0:>6*:f7826>{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5957=#=o<1=?5r}|8m4df290/>l?518d8R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:agc<72>0;6=u+2`3926=O:0?0e4g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440h7);i6;a8yx{z3`;im7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kh1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74de3_;2o744<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qoj?:184>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4l;%7e2?e0:>6*:f7826>{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02j1/9k85c:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95139'1c0=9;1vqps4i0`b>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?=;%7e2?753twvq6g>bc83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=ol4V0;`>7}#=o=1=?5+5g4957=zutw0c4b23_;2o73=#=o<1:6sr}|9~fa7=83=1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<6:2.>j;4>2:~yx=n9hi1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74gd3_;2o7f=#=o<1o6sr}|9j5g1=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3ae?6=,;k:6<7i;I0b4>P5i:0:wAb`9U5j:4>2:&6b3<6:2wvqp5f1c`94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26447[2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=oo50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3tho:7>52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vnio50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06=4+2`395a3<^;k86k38p(8h8:`9'1c0=i2wvqp5rbe594?5=83:p(?o>:3;f?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rbe:94?7=83:p(?o>:3c;?M4>=2e:h84?:%0b5?7c=21vni750;194?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4m;%7e2?d7[a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?=;%7e2?753twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xdck3:1?7>50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vnij50;194?6|,;k:6o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6smf083>7<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c3f4?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f4c0290=6=4?{%0b5?7>l2B9585+1b2950=n90l1<7*=a082=c=Q:h91=vB=a482S7dl38p(k38p(8h8:79'1c0=>2wvqp5fc283>!4f93i87[7}#9l31o95Y18a96~"2n>037);i6;:8yx{K:h>18vX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d4?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d5?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=k:1/??=55g58y!00;3o0q[?j8;0xR`c=:r.>j84l4:&066<2n>1v(;9<:018yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2i7)==3;7e3>{#>>91=?5r}|9j6=g=83.9m<4=8`9U6d5=:rF9m84>{W3``?4|,8o26?6n;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=g<,:8868h8;|&536<6:2wvq6a>d483>!4f93;o965rb0fg>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c3ga?6=93:1dg83>4<729q/>l?52`:8L7?23f;o97>5$3c2>4b232wi=h?50;694?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0h7);i6;a8yx{z3`;jo7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo?j3;290?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=k2.>j;4l;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?0e583>1<729q/>l?5629K6<3l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02j1/9k85c:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm1d794?3=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?0db83>2<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6825>"2n?0:=6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:no4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi99?50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c773:1l?518d8R7g428qG>l;51zT2ga<5s-;n57?6f:T2=f<5s-?m;784$4d5>3=zutw0en=50;&1e44>{M0b1?7|^8io6?u+1d;9g6=Q90i1>v*:f685?!3a>3<0qpsr;ha7>5<#:h;1o95Y2`196~J5i<0:w[?ld;0x 4c>2j>0Z<7l:3y'1c1=02.>j;47;|~H7g32=q]>4<53zTfa?4|,6n:4$200>0`03t\nj74?a3-99?7;i7:'225=m2w]>4<53zTfa?4|,6n:4$200>0`03t\nj74?a3-99?7;i6:'225=m2w]>4<53zTfa?4|,6n:4$200>0`03t\nj7f5<,:8868h8;|&536<03t\:i54={Wgf>7}#=o?1o95+33191c1:3:a?S4f;38p@?o::0yU5fb=:r.:i44=8c9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4i3:b>5<#:h;1>5o4V3c0>7}K:h?1=vX>ce81!7b1383m6X>9b81!3a?3;97);i6;31?x{zD;k?6l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a115=83;1<7>t$3c2>7g?3A8296a>d483>!4f93;o965rb466>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~f02129086=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`601<72:0;6=u+2`395a2<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a106=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd41<0;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{47>56;294~"5i80:5i5G2878 4e728?0e<7i:18'6d7=90l0Z?o<:0yO6d3=9r\:oi4={%3f=?7>n2\:5n4={%7e3?0<,7}#9l31o>5Y18a96~"2n>0=7);i6;48yx{z3`i?6=4+2`39g1=Q:h91>vB=a482S7dl38p(f2<,:8868h8;|Tfb?4|,6<7i;%117?3a?2w/::=5e:U6<4=;r\ni7f2<,:8868h8;|Tfb?4|,6<7i;%117?3a>2w/::=5e:U6<4=;r\ni7f2<,:8868h8;|Tfb?4|,6n=4$200>0`03t.=;>48;|T2a=<5s_on6?u+5g79g1=#;;919k94}%447?743twv7d<7b;29 7g62;2i7[j;4>2:~yI4f<3;pZ?7n:3yUa`<5s-?m97<7b:&066<2n>1v(;9<:008yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2j7)==3;7e3>{#>>91=?5r}|9l5a3=83.9m<4>d498yg3293:1?7>50z&1e4<51l1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd2=;0;6<4?:1y'6d7=:h20D?7:;n3g1?6=,;k:6t$3c2>35<@;3>7d?n0;29 7g6283m7[;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn8;::180>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5954=#=o<1=<5r}|8m4g6290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th>9;4?:583>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;32?!3a>3;:7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95109'1c0=981vqps4i0c`>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd2=:0;694?:1y'6d7=9m>0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1`a94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c7b5?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f0g129096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=i2.>j;4n;|~y>{e=0o1<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;6f;295?6=8r.9m<4=a99K6<36=4+2`395a3<3th>m=4?:783>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;`8 0`12k1vqps4i0c2>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7l4$4d5>g=zutw0e69b81!3a?3h0(8h9:c9~yx{;|~y>o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn8o=:180>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4>2:&6b3<6:2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=9;1/9k85139~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb4c0>5<0290;w)=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59g>"2n?0h7psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kk1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74df3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f6826>"2n?0:>6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi9l:50;:94?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=oo50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02880(8h9:008yx{z3`;in7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<6:2.>j;4>2:~yx=n9ki1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dd3_;2o744<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;n5;290?6=8r.9m<4>d59K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>o6ij0;6)P5i:0:wAab9U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zjd49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi9o:50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:4n;%7e2?g6<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f0ge290:6=4?{%0b5?4f02B9585`1e794?"5i80:h854}c7bg?6=;3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c7ba?6=>3:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2644i6l<0;6)P5i:0:wAd49U5j:49;%7e2?03<729q/>l?5629K6<3l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02j1/9k85c:~yx=n9k=1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74d03_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?=;%7e2?753twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd2j90;6;4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7m4$4d5>f=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2644i6l<0;6)P5i:0:wAd49U5j:49;%7e2?03<729q/>l?5629K6<3l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02j1/9k85c:~yx=n9k=1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74d03_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?=;%7e2?753twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd2j;0;6;4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7m4$4d5>f=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2644i6l<0;6)P5i:0:wAd49U5j:49;%7e2?03<729q/>l?51e68L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k956:&6b3<13twvq6g>b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<13-?m:784}|~?l7ei3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f0e?29096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e=jl1<7<50;2x 7g62j;0D?7:;h3:b?6=,;k:6<7i;:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th>h>4?:383>5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;7o4$4d5>d=zutw0qo;l9;297?6=8r.9m<4=9d9K6<3l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a1fg=83;1<7>t$3c2>7g?3A8296a>d483>!4f93;o965rb4a`>5<1290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4m;%7e2?d0i7);i6;`8yx{z3`;i;7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fd=Q90i1>v*:f68a?!3a>3h0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{56;294~"5i80=?6F=949j5d6=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=j2.>j;4m;|~y>o6ij0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g59f>"2n?0i7psr}:k2f2<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95b:&6b3b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;le;292?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7g=#=o<1n6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=o950;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`02k1/9k85b:~yx=n9kk1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74df3_;2o747<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;lb;290?6=8r.9m<4>d59K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>o6ij0;6)P5i:0:wAab9U5j:49;%7e2?07[:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7m4$4d5>f=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1o6*:f78`?x{zu2c:n:4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;h3af?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2c:nn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ff=Q90i1>v*:f6826>"2n?0:>6sr}|9j5gb=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1cg94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9ko0Z<7l:3y'1c1=9;1/9k85139~yx{o6i;0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d4<^83h6?u+5g5957=#=o<1=?5r}|8m4g4290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b7>P61j09w);i7;31?!3a>3;97psr}:k2e1<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`68R4?d2;q/9k95139'1c0=9;1vqps4i0c6>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k>7[?6c;0x 0`02?1/9k856:~yx=n9h<1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`48R4?d2;q/9k956:&6b3<13twvq6g>a683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i>1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;k1;2954<729q/>l?5629K6<3l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g59g>"2n?0h7psr}:k2f2<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95139'1c0=9;1vqps4i0`b>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02880(8h9:008yx{z3`;in7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3af>P61j09w);i7;31?!3a>3;97psr}:k2ff<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nn5Y18a96~"2n>0:>6*:f7826>{zut1b=oj50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gb<^83h6?u+5g5957=#=o<1=?5r}|8m4db290/>l?518d8R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=l<50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k97[?6c;0x 0`02880(8h9:008yx{z3`;j?7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i:1]=4m52z&6b2<6:2.>j;4>2:~yx=n9h>1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g33_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n5:T2=f<5s-?m;784$4d5>3=zutw0e4g13_;2o73=#=o<1:6sr}|9j5d1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l94V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`6`7<72:0;6=u+2`395a2<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a0?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f6<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[t$3c2>7?b3A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f4<7280;6=u+2`396d><@;3>7b?k5;29 7g628n>76sm2;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95b:&6b3a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~fc4=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xda;3:1?7>50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=991/9k85119~yx{k38p(8h8:028 0`128:0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6826>"2n?0:>6sr}|9j5g1=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3ae?6=,;k:6<7i;I0b4>P5i:0:wAb`9U5j:4>2:&6b3<6:2wvqp5f1c`94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=9;1/9k85139~yx{i6l<0;6)P5i:0:wAd49U5j:49;%7e2?0a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=k2.>j;4l;|~y>o6j>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5957=#=o<1=?5r}|8m4df290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;31?!3a>3;97psr}:k2fg<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:no5Y18a96~"2n>0:>6*:f7826>{zut1b=om50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`02880(8h9:008yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}cd5>5<>290;w)=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)P5i:0:wAa09U5j:4l;%7e2?el?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;31?!3a>3;97psr}:k2fd<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k95139'1c0=9;1vqps4i0`a>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7?=;%7e2?753twvq6g>bb83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kn1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dc3_;2o744<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qoh8:1825?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95c:&6b3a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7m4$4d5>f=zutw0e4d03_;2o7f=#=o<1o6sr}|9j5gg=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1o6*:f78`?x{zu2c:no4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f68`?!3a>3i0qpsr;h3ag?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3aa>P61j09w);i7;31?!3a>3;97psr}:k2fc<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cd8R4?d2;q/9k95139'1c0=9;1vqps4i0c1>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n2:T2=f<5s-?m;7?=;%7e2?753twvq6g>a283>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i:1]=4m52z&6b2<6:2.>j;4>2:~yx=n9h>1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g33_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n5:T2=f<5s-?m;784$4d5>3=zutw0e4g13_;2o73=#=o<1:6sr}|9j5d1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l94V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`e4g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f68`?!3a>3i0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1c`94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jj0;6)P5i:0:wAbb9U5j:4l;%7e2?e0h7);i6;a8yx{z3`;ii7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jl1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kl1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74da3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e7=Q90i1>v*:f6826>"2n?0:>6sr}|9j5d5=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l=4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2c:m84?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e0=Q90i1>v*:f685?!3a>3<0qpsr;h3b2?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{en00;6:4?:1y'6d7=9m>0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1`a94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=>2.>j;49;|~y>o6j>0;6)P5i:0:wAb69U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:abd<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<682.>j;4>0:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95119'1c0=991vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zjoi1<7<>:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;a8 0`12j1vqps4i0c`>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02j1/9k85c:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95c:&6b3b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7m4$4d5>f=zutw0e4dd3_;2o7f=#=o<1o6sr}|9j5gb=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1cg94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9ko0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jo0;6)P5i:0:wAbg9U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l:50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d2<^83h6?u+5g59g>"2n?0h7psr}:k2e0<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m85Y18a96~"2n>0h7);i6;a8yx{z3`;j:7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b2>P61j09w);i7;a8 0`12j1vqps4i0c4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n7:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3;97);i6;31?x{zu2c:m44?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h30Z<7l:3y'1c1=9;1/9k85139~yx{o6ik0;6)P5i:0:wAac9U5j:4>2:&6b3<6:2wvqp5f1`f94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2644l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g6<^83h6?u+5g592>"2n?0=7psr}:k2f4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n<5Y18a96~"2n>0=7);i6;48yx{z3`;i>7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a6>P61j09w);i7;48 0`12?1vqps4i0`0>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h87[?6c;0x 0`02?1/9k856:~yx=n9k>1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c68R4?d2;q/9k956:&6b3<13twvq6g>b483>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j<1]=4m52z&6b2<13-?m:784}|~?l7e>3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m6:T2=f<5s-?m;784$4d5>3=zutw0e4d?3_;2o73=#=o<1:6sr}|9j5g?=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o74V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`e`?6=:80;6=u+2`3926=O:0?0e4g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=k2.>j;4l;|~y>o6j>0;6)P5i:0:wAb69U5j:4l;%7e2?e0h7);i6;a8yx{z3`;in7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ff=Q90i1>v*:f68`?!3a>3i0qpsr;h3a`?6=,;k:6<7i;I0b4>P5i:0:wAbe9U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l<50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d4<^83h6?u+5g59g>"2n?0h7psr}:k2e6<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m>5Y18a96~"2n>0h7);i6;a8yx{z3`;j87>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b0>P61j09w);i7;a8 0`12j1vqps4i0c6>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k>7[?6c;0x 0`02j1/9k85c:~yx=n9h<1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`48R4?d2;q/9k95c:&6b3a683>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l94V0;`>7}#=o=1o6*:f78`?x{zu2c:m54?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h20Z<7l:3y'1c1=9;1/9k85139~yx{o6ih0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95dg<^83h6?u+5g5957=#=o<1=?5r}|8m4ge290/>l?518d8R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=lk50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95dc<^83h6?u+5g592>"2n?0=7psr}:k2ec<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mk5Y18a96~"2n>0=7);i6;48yx{z3`;i<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a4>P61j09w);i7;48 0`12?1vqps4i0`2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h:7[?6c;0x 0`02?1/9k856:~yx=n9k81<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c08R4?d2;q/9k956:&6b3<13twvq6g>b283>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j:1]=4m52z&6b2<13-?m:784}|~?l7e<3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m4:T2=f<5s-?m;784$4d5>3=zutw0e4d23_;2o73=#=o<1:6sr}|9j5g0=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o84V0;`>7}#=o=1:6*:f785?x{zu2c:n54?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f==Q90i1>v*:f685?!3a>3<0qpsr;h3a=?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rbgg94?46290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=oo50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02j1/9k85c:~yx=n9kh1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74de3_;2o7f=#=o<1o6sr}|9j5ge=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1cf94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=ok50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hn7[?6c;0x 0`02j1/9k85c:~yx=n9kl1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cd8R4?d2;q/9k95c:&6b3a383>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i;1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n3:T2=f<5s-?m;7m4$4d5>f=zutw0e4g33_;2o7f=#=o<1o6sr}|9j5d3=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l;4V0;`>7}#=o=1o6*:f78`?x{zu2c:m;4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e3=Q90i1>v*:f68`?!3a>3i0qpsr;h3b3?6=,;k:6<7i;I0b4>P5i:0:wAa69U5j:4l;%7e2?eo6i00;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d?<^83h6?u+5g5957=#=o<1=?5r}|8m4gf290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3be>P61j09w);i7;31?!3a>3;97psr}:k2eg<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mo5Y18a96~"2n>0:>6*:f7826>{zut1b=lj50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28ko7[?6c;0x 0`02880(8h9:008yx{z3`;ji7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3ba>P61j09w);i7;48 0`12?1vqps4i0ce>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28km7[?6c;0x 0`02?1/9k856:~yx=n9k:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c28R4?d2;q/9k956:&6b3<13twvq6g>b083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j81]=4m52z&6b2<13-?m:784}|~?l7e:3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m2:T2=f<5s-?m;784$4d5>3=zutw0e4d43_;2o73=#=o<1:6sr}|9j5g2=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o:4V0;`>7}#=o=1:6*:f785?x{zu2c:n84?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f0=Q90i1>v*:f685?!3a>3<0qpsr;h3a2?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c;94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k30Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?0l?5629K6<3k38p(8h8:b9'1c0=k2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=k2.>j;4l;|~y>o6ij0;6)P5i:0:wAab9U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=ol50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g59g>"2n?0h7psr}:k2ff<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nn5Y18a96~"2n>0h7);i6;a8yx{z3`;ih7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jm1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?me:T2=f<5s-?m;7m4$4d5>f=zutw0e4da3_;2o7f=#=o<1o6sr}|9j5d4=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l<4V0;`>7}#=o=1o6*:f78`?x{zu2c:m>4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e6=Q90i1>v*:f68`?!3a>3i0qpsr;h3b0?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{6=4+2`395<`<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`494?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h<0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d1<^83h6?u+5g59g>"2n?0h7psr}:k2e=<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`:8R4?d2;q/9k95139'1c0=9;1vqps4i0c:>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n9:T2=f<5s-?m;7?=;%7e2?753twvq6g>a`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lo4V0;`>7}#=o=1=?5+5g4957=zutw0e4ge3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ea=Q90i1>v*:f6826>"2n?0:>6sr}|9j5dc=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lk4V0;`>7}#=o=1:6*:f785?x{zu2c:mk4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ec=Q90i1>v*:f685?!3a>3<0qpsr;h3a4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c094?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k80Z<7l:3y'1c1=>2.>j;49;|~y>o6j:0;6)P5i:0:wAb29U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o850;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g0<^83h6?u+5g592>"2n?0=7psr}:k2f=<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n55Y18a96~"2n>0=7);i6;48yx{z3`;i57>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a=>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj8:;6=4=1;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1o6*:f78`?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4l;%7e2?el?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;a8 0`12j1vqps4i0`a>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3i0(8h9:b9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3aa>P61j09w);i7;a8 0`12j1vqps4i0`e>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hm7[?6c;0x 0`02j1/9k85c:~yx=n9h81<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`08R4?d2;q/9k95c:&6b3a283>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i:1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n4:T2=f<5s-?m;7m4$4d5>f=zutw0e4g23_;2o7f=#=o<1o6sr}|9j5d0=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l84V0;`>7}#=o=1o6*:f78`?x{zu2c:m:4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h=0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i10;6)P5i:0:wAa99U5j:4>2:&6b3<6:2wvqp5f1`;94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=ll50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95dd<^83h6?u+5g5957=#=o<1=?5r}|8m4gc290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b`>P61j09w);i7;31?!3a>3;97psr}:k2e`<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mh5Y18a96~"2n>0=7);i6;48yx{z3`;jj7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bb>P61j09w);i7;48 0`12?1vqps4i0`3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h;7[?6c;0x 0`02?1/9k856:~yx=n9k;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c38R4?d2;q/9k956:&6b3<13twvq6g>b383>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j;1]=4m52z&6b2<13-?m:784}|~?l7e;3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m3:T2=f<5s-?m;784$4d5>3=zutw0e4d33_;2o73=#=o<1:6sr}|9j5g3=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o;4V0;`>7}#=o=1:6*:f785?x{zu2c:n;4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f3=Q90i1>v*:f685?!3a>3<0qpsr;h3a69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e99;1<7950;2x 7g628n?7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4i0c`>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02?1/9k856:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k956:&6b3<13twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<13-?m:784}|~?l7ej3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f46529096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9991<7=50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{i6l<0;6)P5i:0:wAd49U5j:49;%7e2?00583>7<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c331?6=;3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95139'1c0=9;1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj8:=6=4=1;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1o6*:f78`?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jk0;6)P5i:0:wAbc9U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=ok50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gc<^83h6?u+5g59g>"2n?0h7psr}:k2fc<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nk5Y18a96~"2n>0h7);i6;a8yx{z3`;j>7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b6>P61j09w);i7;a8 0`12j1vqps4i0c0>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k87[?6c;0x 0`02j1/9k85c:~yx=n9h>1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`68R4?d2;q/9k95c:&6b3a483>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i<1]=4m52z&6b23:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n6:T2=f<5s-?m;7m4$4d5>f=zutw0e4g03_;2o7f=#=o<1o6sr}|9j5d>=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3b=?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2c:ml4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hk0Z<7l:3y'1c1=9;1/9k85139~yx{o6im0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95db<^83h6?u+5g5957=#=o<1=?5r}|8m4gb290/>l?518d8R7g428qG>l;51zTe4?4|,8o2644l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g7<^83h6?u+5g592>"2n?0=7psr}:k2f7<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n?5Y18a96~"2n>0=7);i6;48yx{z3`;i?7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a7>P61j09w);i7;48 0`12?1vqps4i0`7>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h?7[?6c;0x 0`02?1/9k856:~yx=n9k?1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c78R4?d2;q/9k956:&6b3<13twvq6g>b783>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j?1]=4m52z&6b2<13-?m:784}|~?l7e03:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m8:T2=f<5s-?m;784$4d5>3=zutw0e4d>3_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi==950;194?6|,;k:6o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<>7:181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm11;94?5=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;33?!3a>3;;7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0:<6*:f7824>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a55g=83>1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,44i6l<0;6)P5i:0:wAd49U5j:49;%7e2?00c83>1<729q/>l?5629K6<3l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,44i6l<0;6)P5i:0:wAd49U5j:49;%7e2?00b83>1<729q/>l?5629K6<3l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,44i6l<0;6)P5i:0:wAd49U5j:49;%7e2?00e83>0<729q/>l?5629K6<3k38p(8h8:b9'1c0=k2wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,440:>6*:f7826>{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<>j:184>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95c:&6b3ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<6:2.>j;4>2:~yx=n9k=1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74d03_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fd=Q90i1>v*:f6826>"2n?0:>6sr}|9j5gd=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=ol4V0;`>7}#=o=1=?5+5g4957=zutw0c4b23_;2o73=#=o<1:6sr}|9~f46a290<6=4?{%0b5?7c<2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=oo50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g592>"2n?0=7psr}:k2fg<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:no5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c324?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f47629086=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<682.>j;4>0:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95119'1c0=991vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj8;96=4>1;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1o6*:f78`?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jk0;6)P5i:0:wAbc9U5j:4l;%7e2?el?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a`>P61j09w);i7;a8 0`12j1vqps4i0`f>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?me:T2=f<5s-?m;7?=;%7e2?753twvq6g>bg83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oh4V0;`>7}#=o=1=?5+5g4957=zutw0e66X>9b81!3a?3;97);i6;31?x{zu2c:m>4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e6=Q90i1>v*:f6826>"2n?0:>6sr}|9j5d2=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l:4V0;`>7}#=o=1:6*:f785?x{zu2c:m84?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e0=Q90i1>v*:f685?!3a>3<0qpsr;h3b2?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9891<7?>:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7m4$4d5>f=zutw0e4d03_;2o7f=#=o<1o6sr}|9j5gg=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1o6*:f78`?x{zu2c:no4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f68`?!3a>3i0qpsr;h3ag?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1cg94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=oh50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g`<^83h6?u+5g5957=#=o<1=?5r}|8m4g5290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b6>P61j09w);i7;31?!3a>3;97psr}:k2e6<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`18R4?d2;q/9k95139'1c0=9;1vqps4i0c7>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n4:T2=f<5s-?m;7?=;%7e2?753twvq6g>a483>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i<1]=4m52z&6b2<6:2.>j;4>2:~yx=n9h<1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`48R4?d2;q/9k956:&6b3<13twvq6g>a683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i>1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo?>4;2964<729q/>l?5629K6<3k38p(8h8:b9'1c0=k2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=k2.>j;4l;|~y>o6ij0;6)P5i:0:wAab9U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=ol50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g59g>"2n?0h7psr}:k2ff<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nn5Y18a96~"2n>0h7);i6;a8yx{z3`;ih7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jm1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?me:T2=f<5s-?m;7m4$4d5>f=zutw0e4da3_;2o7f=#=o<1o6sr}|9j5d4=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l<4V0;`>7}#=o=1o6*:f78`?x{zu2c:m>4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e6=Q90i1>v*:f68`?!3a>3i0qpsr;h3b0?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{6=4+2`395<`<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`494?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h<0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d1<^83h6?u+5g59g>"2n?0h7psr}:k2e=<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`:8R4?d2;q/9k95139'1c0=9;1vqps4i0c:>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n9:T2=f<5s-?m;7?=;%7e2?753twvq6g>a`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lo4V0;`>7}#=o=1=?5+5g4957=zutw0e4ge3_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nd:T2=f<5s-?m;784$4d5>3=zutw0e4gb3_;2o73=#=o<1:6sr}|9j5d`=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lh4V0;`>7}#=o=1:6*:f785?x{zu2c:n=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f5=Q90i1>v*:f685?!3a>3<0qpsr;h3a5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c194?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k90Z<7l:3y'1c1=>2.>j;49;|~y>o6j=0;6)P5i:0:wAb59U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o650;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g><^83h6?u+5g592>"2n?0=7psr}:k2f<<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n45Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c321?6=:80;6=u+2`3926=O:0?0e4g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f68`?!3a>3i0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1c`94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jj0;6)P5i:0:wAbb9U5j:4l;%7e2?e0h7);i6;a8yx{z3`;ii7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jl1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mf:T2=f<5s-?m;7m4$4d5>f=zutw0e4g53_;2o7f=#=o<1o6sr}|9j5d5=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l=4V0;`>7}#=o=1o6*:f78`?x{zu2c:m94?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e1=Q90i1>v*:f68`?!3a>3i0qpsr;h3b1?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1`594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l650;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d><^83h6?u+5g5957=#=o<1=?5r}|8m4g>290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b=>P61j09w);i7;31?!3a>3;97psr}:k2ed<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`c8R4?d2;q/9k95139'1c0=9;1vqps4i0ca>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28ki7[?6c;0x 0`02880(8h9:008yx{z3`;jh7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b`>P61j09w);i7;48 0`12?1vqps4i0cf>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kn7[?6c;0x 0`02?1/9k856:~yx=n9hl1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`d8R4?d2;q/9k956:&6b3<13twvq6g>b183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j91]=4m52z&6b2<13-?m:784}|~?l7e93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m1:T2=f<5s-?m;784$4d5>3=zutw0e4d53_;2o73=#=o<1:6sr}|9j5g5=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o=4V0;`>7}#=o=1:6*:f785?x{zu2c:n94?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f1=Q90i1>v*:f685?!3a>3<0qpsr;h3a1?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c:94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k20Z<7l:3y'1c1=>2.>j;49;|~y>o6j00;6)P5i:0:wAb89U5j:49;%7e2?07[3:1><4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95c:&6b3ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1o6*:f78`?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f68`?!3a>3i0qpsr;h3ae?6=,;k:6<7i;I0b4>P5i:0:wAb`9U5j:4l;%7e2?e0h7);i6;a8yx{z3`;io7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fa=Q90i1>v*:f68`?!3a>3i0qpsr;h3aa?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1`094?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h80Z<7l:3y'1c1=k2.>j;4l;|~y>o6i:0;6)P5i:0:wAa29U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l850;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d0<^83h6?u+5g59g>"2n?0h7psr}:k2e2<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`58R4?d2;q/9k95c:&6b3a983>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l64V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2c:ml4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hk0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;h3b`?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1`d94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hl0Z<7l:3y'1c1=>2.>j;49;|~y>o6j90;6)P5i:0:wAb19U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o=50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g5<^83h6?u+5g592>"2n?0=7psr}:k2f1<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n95Y18a96~"2n>0=7);i6;48yx{z3`;i97>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a1>P61j09w);i7;48 0`12?1vqps4i0`5>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h=7[?6c;0x 0`02?1/9k856:~yx=n9k21<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c:8R4?d2;q/9k956:&6b3<13twvq6g>b883>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j01]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo?>7;293?6=8r.9m<4>d59K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>o6ij0;6)P5i:0:wAab9U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=ol50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th:=54?:383>5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo?>9;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95119'1c0=991vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`028:0(8h9:028yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c32e?6=?3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95c:&6b3ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f6826>"2n?0:>6sr}|9j5gg=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3af?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`25g<72>0;6=u+2`3926=O:0?0e4g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=o950;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`02880(8h9:008yx{z3`;im7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kh1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95139'1c0=9;1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj8;h6=47:183!4f93<87E<65:k2e5<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95c:&6b3a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3;97);i6;31?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=9;1/9k85139~yx{o6jj0;6)P5i:0:wAbb9U5j:4>2:&6b3<6:2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e98n1<7?>:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;a8 0`12j1vqps4i0c`>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02j1/9k85c:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95c:&6b3b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7m4$4d5>f=zutw0e4dd3_;2o7f=#=o<1o6sr}|9j5gb=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1cg94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=l<50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k97[?6c;0x 0`02880(8h9:008yx{z3`;j?7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b7>P61j09w);i7;31?!3a>3;97psr}:k2e1<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m95Y18a96~"2n>0=7);i6;48yx{z3`;j97>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b1>P61j09w);i7;48 0`12?1vqps4i0c5>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k=7[?6c;0x 0`02?1/9k856:~yx=n9h=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`58R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd69l0;669b81!3a?3i0(8h9:b9~yx{l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g59g>"2n?0h7psr}:k2fd<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nl5Y18a96~"2n>0h7);i6;a8yx{z3`;in7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3af>P61j09w);i7;a8 0`12j1vqps4i0``>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`02j1/9k85c:~yx=n9kn1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cf8R4?d2;q/9k95c:&6b3bd83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=ok4V0;`>7}#=o=1o6*:f78`?x{zu2c:nk4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fc=Q90i1>v*:f6826>"2n?0:>6sr}|9j5d4=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3b7?6=,;k:6<7i;I0b4>P5i:0:wAa29U5j:4>2:&6b3<6:2wvqp5f1`694?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2644o6i?0;6)P5i:0:wAa79U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn5<7s-8j=7?k4:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?l7fk3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;784$4d5>3=zutw0e4d03_;2o73=#=o<1:6sr}|9j5gg=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1:6*:f785?x{zu2c:no4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<<>:180>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4>0:&6b3<682wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=991/9k85119~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb001>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6826>"2n?0:>6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=?5+5g4957=zutw0c4b23_;2o73=#=o<1:6sr}|9~f44429086=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<6:2.>j;4>2:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95139'1c0=9;1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj88?6=4<:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0:>6*:f7826>{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<<::180>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5957=#=o<1=?5r}|8m4g6290/>l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,447[3:187>50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)P5i:0:wAa09U5j:4>2:&6b3<6:2wvqp5f1`a94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=9;1/9k85139~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb004>5<0290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3`;jo7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;48 0`12?1vqps4i0`4>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`02?1/9k856:~yx=n9kk1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k956:&6b3<13twvq6g>bc83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo?=8;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb00:>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6824>"2n?0:<6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1==5+5g4955=zutw0c4b23_;2o73=#=o<1:6sr}|9~f44f290?6=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6826>"2n?0:>6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{56;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1o6*:f78`?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=k2.>j;4l;|~y>o6ij0;6)P5i:0:wAab9U5j:4l;%7e2?e0:>6*:f7826>{zut1b=oo50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02880(8h9:008yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c31g?6=>3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95c:&6b3ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1o6*:f78`?x{zu2c:n:4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=9;1/9k85139~yx{i6l<0;6)P5i:0:wAd49U5j:49;%7e2?02e83>47=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;a8 0`12j1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02j1/9k85c:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7m4$4d5>f=zutw0e4de3_;2o7f=#=o<1o6sr}|9j5ge=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=om4V0;`>7}#=o=1o6*:f78`?x{zu2c:ni4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kn0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jl0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gc<^83h6?u+5g5957=#=o<1=?5r}|8m4da290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ab>P61j09w);i7;31?!3a>3;97psr}:k2e7<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`08R4?d2;q/9k95139'1c0=9;1vqps4i0c0>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k87[?6c;0x 0`02?1/9k856:~yx=n9h>1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`68R4?d2;q/9k956:&6b3<13twvq6g>a483>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i<1]=4m52z&6b2<13-?m:784}|~?l7f>3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n6:T2=f<5s-?m;784$4d5>3=zutw0e4g03_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=?k50;32>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g59g>"2n?0h7psr}:k2fd<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nl5Y18a96~"2n>0h7);i6;a8yx{z3`;in7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3af>P61j09w);i7;a8 0`12j1vqps4i0``>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`02j1/9k85c:~yx=n9kn1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dc3_;2o7f=#=o<1o6sr}|9j5gc=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3ab?6=,;k:6<7i;I0b4>P5i:0:wAbg9U5j:4>2:&6b3<6:2wvqp5f1`094?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2644l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l;50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d3<^83h6?u+5g592>"2n?0=7psr}:k2e3<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m;5Y18a96~"2n>0=7);i6;48yx{z3`;j;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b3>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj88m6=48:183!4f93;o86F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=>2.>j;49;|~y>o6jk0;6)P5i:0:wAbc9U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj89:6=4<:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0:<6*:f7824>{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5955=#=o<1==5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<==:186>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4l;%7e2?eo6ij0;6)P5i:0:wAab9U5j:4>2:&6b3<6:2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26447[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5957=#=o<1=?5r}|8m4gd290/>l?518d8R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a562=83?1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,44o6j>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<=::185>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4l;%7e2?e0:>6*:f7826>{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02880(8h9:008yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;31?!3a>3;97psr}:k2fd<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k95139'1c0=9;1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj89=6=47:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3;97);i6;31?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;h3ag?6=,;k:6<7i;I0b4>P5i:0:wAbb9U5j:4>2:&6b3<6:2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9:=1<7950;2x 7g628n?7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4i0c`>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02?1/9k856:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k956:&6b3<13twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<13-?m:784}|~?l7ej3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f45?29096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9:31<7650;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{o6ij0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g59g>"2n?0h7psr}:k2f2<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n:5Y18a96~"2n>0:>6*:f7826>{zut1b=oo50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02880(8h9:008yx{z3`;in7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<6:2.>j;4>2:~yx=n9ki1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dd3_;2o744<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo?a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=?5+5g4957=zutw0e4gd3_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?=;%7e2?753twvq6g>b`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2c:nn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=9;1/9k85139~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb01a>5=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5957=#=o<1=?5r}|8m4gd290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;a8 0`12j1vqps4i0`4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?=;%7e2?753twvq6g>b`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2c:nn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=9;1/9k85139~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb01`>5=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5957=#=o<1=?5r}|8m4gd290/>l?518d8R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=oo50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02880(8h9:008yx{z3`;in7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<6:2.>j;4>2:~yx=n9ki1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dd3_;2o744<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo?a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;h3ae?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2c:no4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f6826>"2n?0:>6sr}|9j5ge=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3a`?6=,;k:6<7i;I0b4>P5i:0:wAbe9U5j:4>2:&6b3<6:2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9:o1<7850;2x 7g628n?7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4i0c`>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02?1/9k856:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k956:&6b3<13twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo?6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb063>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6824>"2n?0:<6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1==5+5g4955=zutw0c4b23_;2o73=#=o<1:6sr}|9~f426290>6=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1o6*:f78`?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4l;%7e2?e0:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a514=83?1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;a8 0`12j1vqps4i0c`>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3;97);i6;31?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`206<72<0;6=u+2`3926=O:0?0e69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=o950;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`02880(8h9:008yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c370?6==3:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95c:&6b3ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1o6*:f78`?x{zu2c:n:4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=9;1/9k85139~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb066>5<2290;w)=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)P5i:0:wAa09U5j:4l;%7e2?el?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;31?!3a>3;97psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th:8;4?:683>5}#:h;1=i:4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;784$4d5>3=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o73=#=o<1:6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1:6*:f785?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f685?!3a>3<0qpsr;h3ae?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9==1<7<50;2x 7g62j;0D?7:;h3:b?6=,;k:6<7i;:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th:854?:883>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;a8 0`12j1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02j1/9k85c:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1o6*:f78`?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=9;1/9k85139~yx{o6jj0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5957=#=o<1=?5r}|8m4dc290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a`>P61j09w);i7;31?!3a>3;97psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th:844?:883>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;a8 0`12j1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02j1/9k85c:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=?5+5g4957=zutw0e4df3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f6826>"2n?0:>6sr}|9j5ge=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3a`?6=,;k:6<7i;I0b4>P5i:0:wAbe9U5j:4>2:&6b3<6:2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9=k1<7750;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{o6ij0;6)P5i:0:wAab9U5j:4>2:&6b3<6:2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;h3af?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2c:nn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=9;1/9k85139~yx{i6l<0;6)P5i:0:wAd49U5j:49;%7e2?04c83><<729q/>l?5629K6<3l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;31?!3a>3;97psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0:>6*:f7826>{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5957=#=o<1=?5r}|8m4df290/>l?518d8R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=om50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`02880(8h9:008yx{z3`;ih7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jm1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm15a94?3=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?04e83>7<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c37a?6=;3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5955=#=o<1==5r}|8m4g6290/>l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,4$4d5>467[50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5957=#=o<1=?5r}|8m4gd290/>l?518d8R7g428qG>l;51zTe4?4|,8o2644o6jh0;6)P5i:0:wAb`9U5j:4>2:&6b3<6:2wvqp5f1c`94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a506=8321<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f68`?!3a>3i0qpsr;h3a3?6=,;k:6<7i;I0b4>P5i:0:wAb69U5j:4>2:&6b3<6:2wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=9;1/9k85139~yx{o6jj0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<;>:18;>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95c:&6b3ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1=?5+5g4957=zutw0e4d03_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?=;%7e2?753twvq6g>bc83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=ol4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`217<7210;6=u+2`3926=O:0?0e4g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440h7);i6;a8yx{z3`;im7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kh1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74de3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ff=Q90i1>v*:f6826>"2n?0:>6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=8=50;:94?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7m4$4d5>f=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{o6j>0;6)P5i:0:wAb69U5j:4>2:&6b3<6:2wvqp5f1cc94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=om50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`02880(8h9:008yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c360?6=?3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f685?!3a>3<0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c`94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?05483>7<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c362?6=;3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5955=#=o<1==5r}|8m4g6290/>l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,4$4d5>467[50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5957=#=o<1=?5r}|8m4gd290/>l?518d8R7g428qG>l;51zTe4?4|,8o2644o6jh0;6)P5i:0:wAb`9U5j:4>2:&6b3<6:2wvqp5f1c`94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a50>=8321<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<6:2.>j;4>2:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95139'1c0=9;1vqps4i0`4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?=;%7e2?753twvq6g>b`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2c:nn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=9;1/9k85139~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb07:>5=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f6826>"2n?0:>6sr}|9j5gg=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2c:nn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=9;1/9k85139~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb07b>5<>290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4l;%7e2?e0:>6*:f7826>{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5957=#=o<1=?5r}|8m4df290/>l?518d8R7g428qG>l;51zTe4?4|,8o2644o6jj0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5957=#=o<1=?5r}|8m4dc290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a`>P61j09w);i7;31?!3a>3;97psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th:9o4?:883>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;31?!3a>3;97psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0:>6*:f7826>{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5957=#=o<1=?5r}|8m4d0290/>l?518d8R7g428qG>l;51zTe4?4|,8o2644l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3af>P61j09w);i7;31?!3a>3;97psr}:k2ff<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751ca8R4?d2;q/9k95139'1c0=9;1vqps4i0`g>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?md:T2=f<5s-?m;7?=;%7e2?753twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd6=j0;6:4?:1y'6d7=9m>0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1`a94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=>2.>j;49;|~y>o6j>0;6)P5i:0:wAb69U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a50b=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd6=l0;644?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?=;%7e2?753twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<6:2.>j;4>2:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95139'1c0=9;1vqps4i0`4>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`02880(8h9:008yx{z3`;im7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7?=;%7e2?753twvq6g>bb83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=om4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`21c<7200;6=u+2`3926=O:0?0e69b81!3a?3;97);i6;31?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6826>"2n?0:>6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1=?5+5g4957=zutw0e4d03_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?=;%7e2?753twvq6g>bc83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<6:2.>j;4>2:~yx=n9ki1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dd3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fa=Q90i1>v*:f6826>"2n?0:>6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=;>50;32>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95c:&6b3ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1=?5+5g4957=zutw0e4d03_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?=;%7e2?753twvq6g>bc83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<6:2.>j;4>2:~yx=n9ki1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dd3_;2o7f=#=o<1o6sr}|9j5gb=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oj4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2c:nk4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kl0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:79'1c0=>2wvqp5f1`194?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h90Z<7l:3y'1c1=>2.>j;49;|~y>o6i=0;6)P5i:0:wAa59U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d1<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th::<4?:0394?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4l;%7e2?e0:>6*:f7826>{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5957=#=o<1=?5r}|8m4df290/>l?518d8R7g428qG>l;51zTe4?4|,8o2644o6jj0;6)P5i:0:wAbb9U5j:4>2:&6b3<6:2wvqp5f1cf94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kn0Z<7l:3y'1c1=9;1/9k85139~yx{o6jo0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95g`<^83h6?u+5g5957=#=o<1=?5r}|8m4g5290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l=50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d5<^83h6?u+5g592>"2n?0=7psr}:k2e1<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m95Y18a96~"2n>0=7);i6;48yx{z3`;j97>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b1>P61j09w);i7;48 0`12?1vqps4i0c5>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k=7[?6c;0x 0`02?1/9k856:~yx=n9h=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`58R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd6>;0;6P5i:0:wAa19U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o950;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`02880(8h9:008yx{z3`;im7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;31?!3a>3;97psr}:k2fg<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:no5Y18a96~"2n>0:>6*:f7826>{zut1b=om50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5957=#=o<1=?5r}|8m4dc290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a`>P61j09w);i7;a8 0`12j1vqps4i0`f>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?me:T2=f<5s-?m;7?=;%7e2?753twvq6g>bg83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oh4V0;`>7}#=o=1=?5+5g4957=zutw0e66X>9b81!3a?3;97);i6;31?x{zu2c:m>4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e6=Q90i1>v*:f685?!3a>3<0qpsr;h3b0?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395<`<^;k86k38p(8h8:79'1c0=>2wvqp5f1`494?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h<0Z<7l:3y'1c1=>2.>j;49;|~y>o6i>0;6)P5i:0:wAa69U5j:49;%7e2?07[50z&1e4<6l=1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<13-?m:784}|~?l7e?3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;784$4d5>3=zutw0e4df3_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=;:50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06483>2<729q/>l?5629K6<3k38p(8h8:b9'1c0=k2wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02880(8h9:008yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;31?!3a>3;97psr}:k2fd<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k95139'1c0=9;1vqps4i0`a>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7?=;%7e2?753twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd6>?0;6:4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7?=;%7e2?753twvq6g>b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kk1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74df3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f6826>"2n?0:>6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=;950;594?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4>2:&6b3<6:2wvqp5f1`a94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=9;1/9k85139~yx{o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g5957=#=o<1=?5r}|8m4de290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3af>P61j09w);i7;31?!3a>3;97psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th::54?:983>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;a8 0`12j1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02j1/9k85c:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1o6*:f78`?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=9;1/9k85139~yx{o6jj0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<86:18;>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0h7);i6;a8yx{z3`;jo7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;a8 0`12j1vqps4i0`4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?=;%7e2?753twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kh1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74de3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ff=Q90i1>v*:f6826>"2n?0:>6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=;o50;494?6|,;k:6o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g592>"2n?0=7psr}:k2fd<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nl5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c35f?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f40d29036=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4>2:&6b3<6:2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=oo50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02880(8h9:008yx{z3`;in7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<6:2.>j;4>2:~yx=n9ki1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dd3_;2o744<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo?9d;29a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;h3ae?6=,;k:6<7i;I0b4>P5i:0:wAb`9U5j:4>2:&6b3<6:2wvqp5f1c`94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a53c=8321<7>t$3c2>35<@;3>7d?n0;29 7g6283m7[l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;31?!3a>3;97psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kk1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74df3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f6826>"2n?0:>6sr}|9j5ge=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{58;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1o6*:f78`?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=9;1/9k85139~yx{o6jj0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<9?:18;>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95139'1c0=9;1vqps4i0c`>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3;97);i6;31?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=9;1/9k85139~yx{o6jj0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<9>:185>5<7s-8j=7?k4:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?l7fk3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;784$4d5>3=zutw0e4d03_;2o73=#=o<1:6sr}|9j5gg=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`237<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=991/9k85119~yx{k38p(8h8:028 0`128:0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{54;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=?5+5g4957=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6826>"2n?0:>6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=:;50;694?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6826>"2n?0:>6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4>2:&6b3<6:2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9><1<7:50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{o6ij0;6)P5i:0:wAab9U5j:4>2:&6b3<6:2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9>=1<7;50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;I0b4>P5i:0:wAa19U5j:4l;%7e2?e0h7);i6;a8yx{z3`;jo7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?=;%7e2?753twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd6?10;684?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7m4$4d5>f=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`23<<72>0;6=u+2`395a2<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g592>"2n?0=7psr}:k2f2<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n:5Y18a96~"2n>0=7);i6;48yx{z3`;im7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;48 0`12?1vqps4i0`a>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hi7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm16c94?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`23g<72>0;6=u+2`3926=O:0?0e69b81!3a?3i0(8h9:b9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;a8 0`12j1vqps4i0`4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?=;%7e2?753twvq6g>b`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1=?5+5g4957=zutw0e4de3_;2o744<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo?8c;293?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=k2.>j;4l;|~y>o6j>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5957=#=o<1=?5r}|8m4df290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;31?!3a>3;97psr}:k2fg<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:no5Y18a96~"2n>0:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a52b=83=1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;a8 0`12j1vqps4i0c`>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3;97);i6;31?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{57;294~"5i80=?6F=949j5d6=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=k2.>j;4l;|~y>o6ij0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g59g>"2n?0h7psr}:k2f2<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95139'1c0=9;1vqps4i0`b>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?=;%7e2?753twvq6g>bc83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm16d94?1=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3i0(8h9:b9~yx{o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g5957=#=o<1=?5r}|8m4de290/>l?518d8R7g428qG>l;51zTe4?4|,8o26447[50z&1e4<6l=1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<13-?m:784}|~?l7e?3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;784$4d5>3=zutw0e4df3_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=5?50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?08383>3<729q/>l?5629K6<3l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02j1/9k85c:~yx=n9k=1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74d03_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?=;%7e2?753twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd60:0;6;4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7m4$4d5>f=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1o6*:f78`?x{zu2c:n:4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{56;294~"5i80=?6F=949j5d6=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g59g>"2n?0h7psr}:k2f2<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95139'1c0=9;1vqps4i0`b>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02880(8h9:008yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c3;1?6=?3:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g5957=#=o<1=?5r}|8m4de290/>l?518d8R7g428qG>l;51zTe4?4|,8o26447[3:1;7>50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59g>"2n?0h7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0h7);i6;a8yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;31?!3a>3;97psr}:k2fd<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k95139'1c0=9;1vqps4i0`a>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hi7[?6c;0x 0`02880(8h9:008yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c3;3?6=>3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f685?!3a>3<0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9121<7<50;2x 7g62j;0D?7:;h3:b?6=,;k:6<7i;:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th:444?:983>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;a8 0`12j1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02j1/9k85c:~yx=n9hi1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74gd3_;2o7f=#=o<1o6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1o6*:f78`?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=9;1/9k85139~yx{o6jj0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<6n:181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm19`94?5=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;33?!3a>3;;7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0:<6*:f7824>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a5=e=8391<7>t$3c2>35<@;3>7d?n0;29 7g6283m7[l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;31?!3a>3;97psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th:4i4?:283>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;31?!3a>3;97psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95139'1c0=9;1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj82n6=4;:183!4f93<87E<65:k2e5<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95c:&6b3a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=k2.>j;4l;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?08g83>1<729q/>l?5629K6<3l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;a8 0`12j1vqps4i0c`>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7?=;%7e2?753twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd6190;694?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7m4$4d5>f=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e90;1<7950;2x 7g628n?7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4i0c`>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02?1/9k856:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k956:&6b3<13twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<13-?m:784}|~?l7ej3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f4?529096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9091<7;50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;I0b4>P5i:0:wAa19U5j:4l;%7e2?el?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;a8 0`12j1vqps4i0`4>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`02880(8h9:008yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c3:0?6==3:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95c:&6b3ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1o6*:f78`?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f6826>"2n?0:>6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=4;50;794?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{l?518d8R7g428qG>l;51zTe4?4|,8o26447[>3:197>50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59g>"2n?0h7psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm18594?3=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:008 0`12880qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{56;294~"5i80:h95G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0=7);i6;48yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;48 0`12?1vqps4i0`b>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6s|9383>6}:1:0:h;5Q939>g5<61o1vn>50;308e728n>70=?6;3b4>;48>0:m=5232095d6<5:>=6a19>70`=9h:01>8?:0c3?85193;j<63<7982e5=:;>31=l>4=25b>4g7349a19>ba<6i916jh4>a19>550=9h:0q~7?:1808?628n=7S7?;<`e>4?a3tyij7>513y>fc<6l<16?><51`38962228k;70=;6;3b5>;4<>0:m<5234195d6<5:??6a19>72e=9h:01?;<:0c3?83cm3;j<63if;3b4>;6890:m=5211495d7<58;?6a19~w3`=839p1:>51e48Z3`<5031=4h4}r;:>5<6mr7257?k5:?077<6ij16?9;51`38962128kh70=;7;3bg>;4=:0:m<5234695d7<5:?>6;<16a?7f92789k4>a09>736=9h;01>8>:0c2?851:3;j=63<7982e4=:;>31=l?4=25b>4g6349?651`2890bc28k:70;ke;3b5>;ak3;j=63id;3b5>;am3;j=63if;3b5>;6890:m<5211495de<58;?6;<321?7f927:=;4>a09~w3b=839p1;k51e48Z3b<5021=4h4}r;;>5<5ir7247?k5:?7`2<6i916?<:51`28965528h<70=;5;3bg>;4ab9>70c=9hi01>;i:0c`?85183;jo63<6082ef=:;?81=lm4=25;>4gd349<57?nc:?03d<6ij16?:l51`a8961d28kh70<>d;3b4>;5;90:m=5222695d6<5<hi4>ab9>1ac=9hi018li:0c3?8`028k;70hl:0c`?8`c28kh70hj:0c`?8`a28kh70??0;3bg>;68?0:n:5210095d6<58;86ab9>540=9hi01<6}:>j0:h;5Q6c9>=2<61o1v4950;0b8?028n>70:k7;3b5>;49<0:m=5232095gg<5:>>6b`9>705=9k=01>;;:0`4?852=3;i;63<5d82f2=:;4d0349==7?m7:?027<6j>16?:651c58961>28h<70=8a;3a3>;4?k0:n:5236a95g1<5;;o6;<011?7f8279?94>a09>132=9h;018jl:0c3?83cl3;i;63:dd82f2=:=kl1=l?4=g:95d6<5oi1=o94=gf95g1<5oo1=o94=gd95g1<58:;6b69>543=9k=011d82e5=:9;o1=l>4=0:6>4g63ty=57>53z?5e?7c>2T=56366;3:b>{t1?0;6bc9>711=9kh01>;<:0`b?852<3;im63<5482fd=:;4df349=<7?ma:?024<6jh16?;<51cc8961?28hj70=89;3ae>;4?h0:nl5236`95gg<5:=h6hi4>b`9>1ac=9kk01km51cc89cb=9kk01kk51cc89c`=9kk01<>?:0`b?877>3;in63>1582fd=:98?1=oo4=035>4df3ty=;7>53z?52T=;6365;3:b>{t1<0;6bb9>711=9ki01>;<:0`a?852<3;in63<5482fg=:;4de349=<7?mb:?024<6jk16?;<51c`8961?28hi70=89;3af>;4?h0:no5236`95gd<5:=h6hi4>bc9>1ac=9kh01km51c`89cb=9kh01kk51c`89c`=9kh01<>?:0`a?877>3;io63>1582fg=:98?1=ol4=035>4de3ty=97>53z?52?7c>2T=96364;3:b>{t1=0;6be9>711=9kn01>;<:0``?852<3;io63<5482ff=:;4dd349=<7?mc:?024<6jj16?;<51ca8961?28hh70=89;3ag>;4?h0:nn5236`95ge<5:=h6hi4>bb9>1ac=9ki01km51ca89cb=9ki01kk51ca89c`=9ki01<>?:0``?877>3;ih63>1582ff=:98?1=om4=035>4dd3ty3>7>53z?;7?7c>2T3>63m8;3:b>{tj10;6l?t=c:95a3<5?:86a19>250=9h:018?m:0c3?82c=3;j<63;d782e5=:4g7349:=7?n0:?057<6i916?=851`38966028k:70=<0;3b4>;4;;0:nh5235095d6<5:>86bd9>711=9ko01>;<:0`g?852<3;ih63<5482fa=:;4dc349=<7?md:?024<6jm16?;<51cf891c128k;70:i3;3b4>;19o0:m=5263295d6<5:=36be9>72d=9kn01>9l:0`g?80303;j<6393882e5=:>:k1=l>4=77e>4g734<=<7?n0:?524<6i916:;<51`28905428k;70;>1;3b4>;3i?0:m=524`595d6<5=ii64>a19>27b=9h:01;4=33g>4gd348:i7?n0:?176<6i916>>:51`a8975228k;70<;5;l0:m=5222d95d6<5;>;6a19>60b=9h:01?86:0c3?841i3;j<63=6c82e5=::?i1=l>4=440>4g734?=87?nc:?620<6i91694:51`2890?128k;70;67;3b4>;2110:m=525ef95gb<5nn4>a19>1gb=9h:018lj:0c3?83en3;jo63:c182e5=:km0:m=52cd82e5=:9l;1=l>4=0g1>4g734;n?7?n0:?2a1<6i9169l=51`2890g328k;70;ne;3b4>;2io0:m=525c295d6<5on4>a19>1fb=9h:018mj:0c3?83c83;j<63:d082e5=:n=0:m=52f782e5=:nj0:ni52fe82fa=:nl0:ni52fg82fa=:99:1=oj4=025>4db34;;m7?n0:?24a<6i916==k51`28947328ho70?>5;3a`>;69?0:ni5210a95d6<588j6a19>517=9h:01<:=:0c3?873;3;j<63>4582e5=:9=?1=l>4=06;>4g734;?57?n0:?20c<6i916=8>51`28943628k;70?:7;3b4>;6>90:m=5217395d6<58<96a19>521=9h:01<97:0c3?870j3;j<63>7b82e5=:9>n1=l>4=05f>4g734;328k;70?75;3bg>;60?0:m=5219;95d6<582n6a19>5<5=9h:01<7;:0c3?87>=3;j<63>9782e5=:90=1=l>4}r5e>5<4s42;60:h85261195d7<5?:?6;<431?7f927=<;4>a09>14e=9h:018?k:0c3?82c=3;j=63;d982e4=:;8;1=l?4=231>4g6349:87?n1:?050<6i816?=851`a8966028kh70=<0;3b5>;4;;0:nk5235095d7<5:>86;<171?7em2788;4>bg9>711=9kl01>;<:0`f?852<3;ii63<5482f`=:;4db349=<7?me:?024<6jl16?;<51cg891`428k:708>f;3b5>;1:90:m<5236:95gc<5:=26bd9>72e=9ko01;:7:0c2?802n3;j=6396182e4=:>?;1=l?4=741>4g634?8?7?n1:?654<6i8168l851`3891g028k:70:lb;3b5>;5980:m=5220095d6<5;;n6;<001?7f9279?i4>a09>600=9h;01?;i:0c3?84183;j<63=6082e5=::?31=l?4=34b>4g6348=n7?n1:?12f<6i8169;;51`3890?128k:70;67;3b5>;2lk0:m<525ea95d7<54=4>a19>1=7=9h:018m?:0c2?8ec28k:70mj:0c2?8ea28k;70j?:0c3?8b628k;70;n3;3b5>;2i=0:m<525`g95d7<5;<7``?7f927>oh4>a09>1a6=9h;018j>:0c2?8`328k:70h::0c3?8`128k:70h8:0c2?8`?28k:70hl:0`f?8`c28hn70hj:0`f?8`a28hn70??0;3aa>;68?0:nk5211`95d6<58:n6;<326?7f927:=>4>a09>542=9ko013;ii63>1`82e5=:98h1=l>4=03`>4g634;:h7?n1:?25`<6i816=?l51`28944d28k;70?=d;3b5>;6:l0:m<5212195d6<589=6a19>56d=9h:01<=l:0c3?874l3;j<63>4982e4=:9=31=l?4=06b>4g734;?n7?n0:?215<6i816=8?51`38943528k;70?:3;3b4>;6=10:m=5214c95d6<58<;6;<355?7f927::?4>a09>533=9h:01<89:0c3?871?3;j<63>6982e4=:9?31=l?4=04`>4g734;=h7?n0:?22`<6i916=;h51`28941728k;70?87;3b5>;6?k0:m<5219495d7<58226;<3;a?7f927:4k4>a09~w2b=839p1:k51e48Z2b<5hh1=4h4}rca>5;3l10:mn5230395de<5:;96ab9>751=9k=01>=?:0c`?854:3;j>63<4282ef=:;=?1=oh4=265>4g5349?;7?n2:?016<6jo16?8:51cd8963228hm70=:e;3ab>;4=o0:nk5237295g`<5:<:6a09>72>=9kl01>96:0`e?850i3;ij63<7c82fc=:;>i1=oh4=742>4gd34<=>7?nc:?7e3<6ij168l951`a8977f28k:70<>b;3b5>;59m0:n:5220g95de<5;9?6ab9>66c=9h;01?=i:0c2?84383;j=63=4082e4=::<<1=lm4=37e>4g6348=n7?nc:?12f<6ij169;:51c58900228kh70;66;3bg>;2110:m<525e`95de<5hh4>bg9>1=6=9h;0186>:0c2?83ek3;j=63:be82e4=:=kl1=o94=4a3>4gd34km64g634im6;<3f5?7f927:i?4>a09>5`5=9h;014g634?i=7?n1:?6f7<6i8169nm51`a890ec28kh70;le;3bg>;2l90:mn525e395de<5o?1=l?4=g495de<5oi1=oh4=gf95g`<5oo1=oh4=gd95g`<58:;64>ab9>542=9kl013;ij63>1`82e4=:98h1=l?4=03`>4gd34;:i7?nc:?263<6i916=?l51`38944d28k:70?<4;3b4>;6;?0:m<5212f95d7<58>:6;<376?7f927:8>4>a09>512=9h;01<:::0c2?87303;jo63>4882ef=:9<81=l?4=070>4g634;>m7?n1:?227<6ij16=;;51`38940?28kh70?99;3bg>;6>j0:m<5217f95d7<58=<6ab9>52e=9h;01<9k:0c2?870m3;j=63>7g82e4=:9181=l?4=0:0>4g634;397?m7:?2<3<6ij16=5751`a894>b28kh70?60;3b5>;61:0:m<5218695d7<583>6;<3:2?7f92wx;o4?:2y>3f<6l?1U;o52a`82=c=z{hk1<7:<{4b234>o:7?nc:?054<6j>16?<<51c58967328h<70=>5;3a3>;4;90:n:5232095d5<5:>>6a29>705=9h801>;;:0c1?852=3;j>63<5d82e7=:;4g5349==7?n2:?027<6i;16?;h51`28961?28k970=89;3b6>;4?h0:m?5236`95d4<5:=h64>a09>66c=9hi0188<:0c2?83>03;jo63:dc82f2=:=mi1=o94=4fg>4g534?oi7?n2:?6<5<6ij169ok51`389ad=9h:018oi:0c`?83dk3;i;63:ce82f2=:=jo1=o94=g595de<5o21=lm4=ga95d4<5on1=l<4=gg95d4<5ol1=l<4=023>4g534;;:7?n3:?24f<6i916=<<51`a8947428h<70?>4;3b6>;69<0:m?5210495d4<58;j6b69>57d=9hi01<3782ef=:9>i1=lm4=0:7>4g634;357?m7:?2=5<6ij16=4951`38yv102908w097:0f5?[10343o6<7i;|q:`?6=;;q65i4>d49>742=9kk01>?::0`b?854:3;j863<4482e6=:;=<1=l:4=264>4g3349>?7?n3:?011<6i:16?8;51`18963b28k870=:f;3b7>;4>90:m>5237395d5<5:<96a29>72g=9h901>9m:0c0?850k3;j?63=3g82ef=:=mh1=oo4=4f`>4df34?oh7?n3:?6``<6i:16m<4>a19>e4<6i816hn4>a19>5`7=9hi018l?:0c`?8`028h<70h7:0`4?8`d28k870hk:0c0?8`b28k870hi:0c0?87783;j?63>0782e1=:9881=o94=030>4df34;:87?n3:?250<6i:16=<851`18947c28h<70?>e;3ae>;6:m0:n:5213g95g1<58>:64>ab9~w23=839p1:851e48Z23<50i1=4h4}r;`>5<470=;5;3b0>;4a59>70c=9h>01>;i:0c7?85183;j863<6082e1=:;?81=l:4=25;>4g3349<57?n4:?03d<6i=16?:l51`68961d28k?70<>a;3bg>;5=m0:m<5224g95d6<5;?m6a09>1ad=9kh018jl:0`a?83cl3;j863:dd82e1=:=ki1=lm4=0g1>4gd34l<64df34lh64g334ln64g334;;<7?n4:?243<6i<16=<<51cc8947428hi70?>4;3b0>;69<0:m95210495d2<58;o6i4>b`9>57c=9kk01<:=:0c`?87?:3;jo63>9582ef=z{>91<7=t=6695a09014l518d8yv?e2908;49=0:nn5230795ge<5:996a79>711=9h<01>;<:0c6?852<3;j963<5482e0=:;4g2349=<7?n5:?024<6i<16?;<51`78961?28k>70=89;3b1>;4?h0:m85236`95d3<5:=h6a19>1ad=9ki018jl:0``?83cl3;j963:dd82e0=:9l91=lm4=4`2>4gd34l<64de34lh64g234ln64g234;;<7?n5:?243<6i?16=<<51c`8947428hh70?>4;3b1>;69<0:m85210495d3<58;o6i4>bc9>57c=9kh01<:<:0c`?870m3;jo63>9482ef=z{>;1<7=t=6095a0;014o518d8yv?f2908;49=0:ni5230795gb<5:9962788;4>a69>711=9h=01>;<:0c5?852<3;j:63<5482e3=:;4g1349=<7?n6:?024<6i?16?;<51`48961?28k=70=89;3b2>;4?h0:m;5236`95d0<5:=h6a19>1ad=9kn018jl:0`g?83cl3;j:63:dd82e3=:9l>1=lm4=4`1>4gd34l<64dd34lh64g134ln64g134;;<7?n6:?243<6i>16=<<51ca8947428ho70?>4;3b2>;69<0:m;5210495d0<58;o6i4>bb9>57c=9ki01<:;:0c`?870n3;jo63>9782ef=z{ml1<7:t=d295a0:1823~;b93;o963;8b8`0>;3;<0h863;218`0>;3:k0h863;4k90h863;4l=0h863;3980h863<9c8`0>;4mj0h863;048`0>;4010h863<958`0>;4i80h863;4n10h863;408`0>;3;2=10h86s|e383>d}:m;0:h85244:9g1=:<>>1o9524929g1=:<821o95239g9g1=:;h=1o95242`9g1=:<==1o9524739g1=z{2T>j?525g695<`535y>1c2=9m?019j;:0c2?856<3;ii63<1482f`=:;=?1=l94=270>4g0349>87?n7:?010<6i>16?8k51`58963a28k<70=90;3b3>;4>80:m:5237095d1<5=o?6a69>72?=9h=01>9n:0c4?850j3;j;63<7b82e2=::>?1=l>4=33a>4gd34?o57?n0:?6`g<6jl169im51cg890bc28k<70;ke;3b3>;2?o0:m<5259295g1<5<2:6a19>e3<6i8169l>51`289c1=9kn01k651cf89ce=9h=01kj51`589cc=9h=01kh51`58946728k<70?>2;3a`>;69:0:nh5210695d1<58;>6be9>54c=9ko01<4482ef=:9191=lm4}rg0>5<3s4o?61o95232a9g1=:;=k1o95234:9g1=:;?>1o9523639g1=:;81o95236g9g1=:>=k1o95262f9g1=:><=1o9526769g1=:=:?1o9522e39g1=::m21o95253:9g1=::ho1o9522ed9g1=::k?1o9522b19g1=:=1o9521ba9g1=:<0>1o9524`c9g1=:::1o9521d59g1=:==21o95rsd494?5|5l<1=i;4=20:>f2<5?=96n:4}r77=?6=;r7>8l4>d79]11?<5<>i6<7i;|q60g<72<:p18:m:0f6?807:3;j<6390282ef=:>9?1=o94=43b>4g7349:h7?n0:?7=d<6i91684m51`28967628hj70;5ml0:ni522g595d6<5;l364>bd9>77c=9h:01>=6:0c3?85283;j<63<5382e5=:4=5d3>4g734<:o7?n0:?55a<6jh16:;1;00:mn5262`95gg<5??86b69>164=9h:018>i:0c3?83aj3;j<63=7482e4=:;;=1=l>4=204>4g634>j:7?md:?7gd<6i916:<<51`a8934c28kh70<98;3b5>;5>00:mn5227`95g1<5h44>a09>1`b=9h:0189i:0c`?83?83;im63:8082f2=:>>:1=l?4=c195d7<5k>1=l?4=bf95de<5jl1=o94=e295de<5m;1=lm4=4c3>4g634?j?7?nc:?6e1<6j>169i>51cf897<6i916===51`28946228k;70?<9;3bg>;6;k0:mn5215:95g1<58?;6b`9>50c=9kk01<8?:0``?871:3;ih63>6982f2=:9?i1=o94=04f>4gd34;<<7?nc:?233<6i916=5m51`28yv4?m3:1?v3=8g82`3=Y:1o01?7>:0;e?xu5180;6iu228395a3<5;;h6:>4>ab9>1=7=9kk018lj:0c`?83dk3;im63:ce82fd=:n<0:mn5210`95de<588h6ab9~w0e42908w0;l4;3g2>X2k:169n;518d8yv3d=3:15v3:c482`0=:;831=l>4=23:>4g6348751`28915>28k:70:;3;o0:m<5rs4:;>5<4s4?357?k6:\6<==:=1k1=4h4}r7;e?6==r7>4l4>d49>0g0=9h;019lj:0c3?82em3;j=63:a182ef=z{2T>i<525d195<`55z?6a6<6l<168km51`38906328k;70;?4;3b5>;5?<0:mn5rsd594?2|5l21=i84^d589`?=90l01ho518d8yvc>290:>v3j9;3g1>;3;:0:n:5243495g1<5=8<644>b69>05e=9kk019>k:0`b?827m3;im63;0g82fd=:;l<1=oo4=2g4>4df349n47?ma:?0a<<6jh168=<51`a8916428kh70::f;3bg>;2;m0:mn5rsdc94?4|5lk1=i;4=2d1>f253z?62<<6l?1U9;64=44b>4?a3ty>:l4?:ey>13g=9m?019>l:0`a?827l3;in63;0d82fg=:<9l1=ol4=2g5>4de349n;7?mb:?0a=<6jk16?h751c`896>d28k;70=7c;3b5>;41;0:m=5238095d753z?6<3<6l?1U95;4=4:4>4?a3ty>4:4?:2y>1=1=9m?01;2>m0:5k5rs44g>5<4s4?=h7?k5:?0=<<6i916?4751`38yv7>13:1?v3>9`82`3=Y90301<7m:0;e?xu61k0;6>u218`95a3<5:l;63;o9634g7349jn7?n1:p1``=839p18h?:0f5?[3bn27>j<4>9g9~w0`6290>w0;i1;3g1>;3<<0:m=5245795d7<5=>i63d<6l?1U;452a882=c=z{h31<7;|q;0?6=;r7397?k6:\;0>;e13;2j6s|b883>7}:j00:h85221d95d74b13W2=70ln:0;e?xuei3:1>v3ma;3g1>;570<=6;3b5>{t0h0;6>u28c82`3=Y0h16nn4>9g9~wge=838p1om51e78975628k:7p}7c;297~;?l3;o:6P7c:?a`?7>n2wxni4?:3y>fa<6l<16>?751`38yv>b2908w06i:0f5?[>b34hn6<7i;|qaa?6=:r7ii7?k5:?10<<6i81v?6l:18084?l3;o:6P=8b9>g2<61o1vn950;7x9f1=9m?01?hm:0c3?84aj3;j=63k9;3b4>;c13;j=6s|5d494?5|5;2m?0:h85rs5:7>5<5s4?n:7?6f:?7<1<6l<1v96<:18183b>3oo70:73;3g1>{t==i1<7=t=46g>4b13W??o63:4b82`0=z{=?;6=4={<77g?7>n27?9=4>d49~w12a2909w0;;c;gg?823n3;o96s|59`94?5|5<2h6;20k0:h85rs54b>5<5s4?3n7?6f:?72d<6l<1v98m:18183?j3oo70:9b;3g1>{t=l>1<7=t=4g6>4b13W?n863:e582`0=z{===6=4={<7f0?7>n27?;;4>d49~w1102909w0;j4;gg?820?3;o96s|56094?5|5<=86;2?;0:h85rs251>5<5s4?<>7?6f:?037<6l<1ve`9>5`g=9m?0q~53z?2b7<6l?1U=k?4=0d2>4b23ty95c7=90l01?>n:0f6?xu6n:0;6>u21g695a0{t:8?1<74?a348:97?k5:p5c3=839p1d49~w7462909w0?i5;3:b>;5:80:h85rs0d4>5<4s4;m47?k6:\2b2=:9o=1=i;4}r01g?6=:r7:j:4>9g9>67e=9m?0q~?i9;297~;6nh0:h;5Q1g;894`>28n>7p}=3983>7}:9o31=4h4=31;>4b23ty:jo4?:2y>5ce=9m<0R9:50;0x94`e283m70<;4;3g1>{t9on1<7=t=0df>4b13W;mh63>fe82`0=z{;>m6=4={<3e`?7>n2798k4>d49~w4`a2908w0X6no16=kh51e78yv4203:1>v3>fg82=c=::<21=i;4}r035?6=;r79d79]657<5;::6=?518d8970428n>7p}:5883>6}:=b28n=7S;7d:?62T>h9525e695a352z?6`1<61o169i851e78yv3b03:1?v3:e882`3=Y=l2018k7:0f6?xu2mh0;6?u25d:95<`<5;20:0:h85rs541>5<5s4?3?7?6f:?727<6l<1v8=9:180834?3;o:6P:379>160=9m?0q~;<8;296~;2;?0:5k5252:95a353z?63d<6l?1U9:74=45:>4b23ty>;o4?:3y>12?=90l0189m:0f6?xu2j<0;6>u25c495a070;m5;3g1>{t=k=1<74?a34?i;7?k5:p126=839p189>:0f5?[30827>;=4>d49~w30e2909w0;80;3:b>;1>k0:h85rs0ge>5<4s4;m<7?k6:\2ac=:9ll1=i;4}r;f>5<5s4;nj7?6f:?:a?7c=2wx=hm50;1x94cc28n=7S?jc:?2af<6l<1vlm50;0x94cd283m70ol:0f6?xud=3:1?v3l6;3g2>Xd=27h97?k5:pg=<72;q6o84>9g9>g=<6l<1vi:50;1x9a3=9m<0Ri:4=e695a34?a34n=6;6;2;o0:h85rs462>5<5s4?8j7?6f:?604<6l<1v8:j:180833n3;o:6P:4d9>11c=9m?0q~;:0;296~;253z?6=f<6l?1U94l4=4;a>4b23ty>5i4?:3y>10;6>u25`:95a0{t=h31<74?a34?j57?k5:p1f0=839p18m8:0f5?[3d>27>o;4>d49~w0e?2909w0;l6;3:b>;2k10:h85rs5:a>5<3s4>387?6f:?7<7<61o1685k51`3891>d28n>7p};8383>1}:<181=i;4=5::>4g734>3m7?n0:?0a1<6i91v96::18182?;3;2j63;8482`0=z{=2o6=4={<6;1?7>n27?4i4>d49~w1>12909w0:7e;3b4>;30?0:h85rs5:f>5<5s4>3i7?k5:?7022=:1h0199;:3:b?82?8383n63;81815l4=3g;>7>f34<;47<7b:?54=<50h169?>529`890472;2j70==2;0;f>;4:;094l5248d96=d<5=3m6?6n;<6ge?4?j27?hl4=8`9>74g=9h;01>?7:3:a?84a9383n63=f0815l4=3dg>7>f349;m7<7b:?04d<50h16?>:529`896532;2j70=;4;j094l5235c96=d<5:>j6?6n;<16732=:1h01>8;:3:b?8509383n63<70815l4=5`:>7>f34>h<7<7b:?7g5<50h168h6529`891c?2;2j70:i5;0;f>;3n<094l524gd96=d<5=lm6?6n;<732?4?j27><;4=8`9>274=:1h01;<=:3:b?850m383n63<7d81=k1>5l4=76b>7>f34<8h7<7b:?57a<50h16:89529`893302;2j70:<5;0;f>;3;<094l5243296=d<5=8;6?6n;<61f?4?j27?>o4=8`9>232=:1h01;8;:3:b?834=383n63:34815l4=3f2>7>f348o47<7b:?1`=<50h16?o8529`896d12;2j70;=8;0;f>;2:1094l523b296=d<5:i;6?6n;<0ba?4?j279mh4=8`9>6a`=:1h01?ji:3:b?85dj383n631>5l4=2f7>7>f349oj7<7b:?0`c<50h16>o;529`897d22;2j70;5k:094l524c296=d<5=h;6?6n;<0ag?4?j279nn4=8`9>6fg=:1h01?mn:3:b?836;383n63:12815l4=4dg>7>f3485=529`897>42;2j70:>1;0;f>;398094l521b496=d<58i=6?6n;<0;=?4?j279444=8`9>77m:3:b?85bk383n635l4=2d1>7>f34>;97<7b:?740<50h168<6529`8917?2;2j7089a;0;f>;1>h094l5226596=d<5;=<6?6n;<3`g?4?j27:on4=8`9>77?=:1h01><6:3:b?85?0383n63<89815l4=2:f>7>f349287<7b:?0=1<50h16?l?529`896g62;2j70=n7;0;f>;4i>094l523`f96=d<5:ko6?6n;<1e06d=:1h019=m:3:b?8239383n63;40815l4=564>7>f34>?h7<7b:?70a<50h1684:529`891?32;2j70:na;0;f>;3ih094l524bf96=d<5=io6?6n;<422?4?j27==;4=8`9>266=:1h01;=?:3:b?8219383n63;60815l4=54:>7>f34<<>7<7b:?537<50h16=h9529`894c02;2j70;;8;0;f>;2<1094l5254:96=d<5;30j0h?63;8`82fc=:<1k1=l<4=5:b>4g434>3m7?n4:?7f28k<70::8;a0?820<3i870:70;a0?84b03i8708?8;a0?807=3;ij6390482e7=:>9?1=l=4=726>4g334<;97?n5:?540<6i?16:=;51`58936128hm708?6;3b6>;18?0:m>5261495d2<5?:=627=<;4>a69>176=k:1684h5c29>0ag=k:16?<65c29>742=9h?01>?;:0c5?856<3;j;63<1482e0=:;8?1=l84=236>4g0348m=7m<;<0fa?7en279ih4>a39>6`c=9h901?kj:0c7?84bm3;j963=ed82e3=::lo1=l94=3ge>4da348nj7?n2:?1ac<6i:16>hh51`6897ca28k>70;5mo0:m:522gf9g6=::o21=l=4=3d;>4g3348m47?n5:?1b=<6i?16>k651`5897`>28k870;5n00:m8522g;95d0<5;l2670=?3;3b2>;48:0:m:5231695d4<5::?6a49>752=9h<01>>;:0c4?854<3i870=<2;3bb>;4;;0:n=5232095g7<5:996b59>764=9k?01>==:0`5?854:3;i463<3382f<=:;:i1o>5235c9g6=:;=?1=lk4=266>4ga349?97?m0:?000<6j816?9;51c08962228h870=;5;3a0>;4<<0:n85235795g0<5:>>6b19>710=9k;01>:9:0`1?853>3;i?63<4782f1=:;=<1=o;4=265>4d1349?:7?m8:?003<6j016?9951c28962028h:70=;7;3a6>;4<>0:n>5235595g2<5:><62788:4>b99>711=9k301>;7:b18963428ki70=:3;3b`>;4=:0:mh5234195d`<5:?864>b39>705=9k901>;<:0`7?852;3;i963<5282f3=:;<91=o64=270>4d>349>87?nb:?011<6im16?8:51`g8963328km70=:4;3a4>;4==0:n<5234695g4<5:??6b49>702=9k<01>;;:0`;?852<3;i563<5482eg=:;4gb349>97?nf:?010<6j916?8;51c38963228h970=:5;3a7>;4=<0:n95234795g3<5:?>6b89>732=k:16?8k51``8963b28ko70=:e;3ba>;4=l0:mk5234g95g6<5:?n6;<16a?7e:2789h4>b29>70c=9k>01>;j:0`6?852m3;i:63<5d82f==:;4ge349>j7?nd:?01c<6il16?8h51`d8963a28h;70=:f;3a5>;4=o0:n?5234d95g5<5:?m6b79>70`=9k201>;i:0`:?85183;jn63<6182ea=:;?:1=lk4=243>4ga349=<7?m0:?025<6j816?;>51c08960728h870=90;3a0>;4>90:n85237295g0<5:<;6ac9>737=9hn01>8>:0cf?85193;jj63<6082f5=:;?;1=o?4=242>4d5349==7?m3:?024<6j=16?;?51c78960628h=70=91;3a<>;4>80:n45237095dd<5:<96ag9>734=9k:01>8=:0`2?851:3;i>63<6382f6=:;?81=o:4=241>4d2349=>7?m6:?027<6j116?;<51c;896162j9019k7:b1891`22j9019h<:0c0?82a;3;j863;f282e0=:4g034<9>7m<;<42`?7f:27==i4>a29>24b=9h>01;?k:0c6?806l3;j:6391e82e2=:>8o1=l<4=73f>4g434<:i7?n4:?55`<6i<16:f;3b0>;19o0:m85260d95d0<5?;m6=4>a49>276=9h<01;;4?10:mh5236:95d`<5:=36b39>72>=9k901>97:0`7?85003;i963<7982f3=:;>21=o64=25;>4d>349<57?nd:?03<<6il16?:751`d8961>28h;70=89;3a5>;4?00:n?5236;95g5<5:=26b79>72?=9k201>96:0`:?850i3;jh63<7`82e`=:;>k1=lh4=25b>4d7349;4?h0:n;5236c95g><5:=j6ad9>72d=9hl01>9m:0`3?850j3;i=63<7c82f7=:;>h1=o=4=25a>4d3349;4?j0:mh5236a95d`<5:=h6b39>72e=9k901>9l:0`7?850k3;i963<7b82f3=:;>i1=o64=25`>4d>34a59>21>=9h?01;:7:0c5?80303;j;6393e8`7>;1=>0h?63;348`7>;3:90h?63;2c8`7>;1>=0h?63:348`7>;5l80h?63=d98`7>;4j?0h?63:298`7>;4k90h?63=ad8`7>;5lo0h?63;4l=0h?63;5j<0h?63=c28`7>;3j90h?63=bb8`7>;5kh0h?63:128`7>;2nm0h?63=7e8`7>;50:0h?63;108`7>;6k?0h?63=888`7>;41k0h?63;4n;0h?63;048`7>;3910h?6396`8`7>;6kj0h?63<288`7>;4010h?63<8d8`7>;41=0h?63;4i>0h?63;4n10h?63;3c8`7>;3<80h?63;468`7>;3;3ih0h?63;a782e1=:4g134>j:7?n7:?7e2<6i=168l951`7891g028k=70:n7;3b3>;3km0h?639178`7>;1;90h?63=5782e6=::<<1=l:4=375>4g2348>:7?n6:?113<6i>169il51`5890bd28k<70;kd;3ba>;2lm0:mk525ef95g6<5;<7g`?7e:27>hi4>b29>1ab=9k>018jk:0`6?83cl3;i:63:de82f==:=mn1=o74=4ff>4gb34?oi7?nf:?6``<6j9169ik51c3890bb28h970;ke;3a7>;2ll0:n9525eg95g3<5hh4>b89>037=k:168;75c29>224=k:169965c29>10>=k:169i>51`7890b728k=70;k0;3b3>;2l80:m8525e395d0<54g234l<64g034l364g134l364gb34lh64d734lh6;4d534lh64d334lh64d134lh64d>34lo64ga34lo64d634lo64d434lo64d234lo64d?34lo64gb34ln64d734ln6;4d534ln64d334ln64d134ln64d>34lm64ga34lm64d634lm64d434lm64d234lm64d?34lm6ag9>556=9k:01<>?:0`2?87783;i>63>0182f6=:99:1=o:4=023>4d234;;<7?m6:?245<6j116==>51c;8946128km70??6;3a4>;68?0:n<5211495g4<58:=6b49>550=9k<01<>9:0`;?877>3;i563>1382e1=:9881=l;4=031>4g134;:>7?n7:?256<6i?16=<=51`58947328ko70?>4;3ba>;69=0:mk5210695g6<58;?6;<320?7e:27:=94>b29>542=9k>011582f==:98>1=o74=036>4gc34;:97?ne:?250<6io16=<;51c28947228h:70?>5;3a6>;69<0:n>5210795g2<58;>627:=84>b99>543=9k3013;ji63>1782ec=:98<1=o>4=035>4d634;::7?m2:?253<6j:16=<851c68947128h>70?>6;3a2>;69?0:n55210495g?<58;o6a79>54b=9h=012e82e6=:9;n1=l:4=00g>4g234;9h7?n6:?26a<6i>16=?k51`18944b28k?70?=e;3b1>;6:l0:m;5213g95d1<58<;6a59>536=9h?01<8?:0c5?87183;j;63>6082e7=:9?;1=l=4=042>4g334;==7?n5:?224<6i?16=;?51`58940528k870?92;3b0>;6>;0:m85217095d0<58<96028n>7p};8983>7}:<1<1=l?4=5:;>4b23ty8mh4?:02x91>>28k:70:7a;3b5>;4il0:h8523c095d6<5:h86a19>7gb=9h:01>m::0c3?85d03;j<634=2fa>4g7349oo7?n0:?0`a<6i916?h:51`38yv5e03:1jv3;8882ef=:<1k1=lm4=2`0>4g6349i87?n1:?0f=<6l<16?om51`3896dc28k:70=l6;3b4>;4k10:m<523e395d7<5:n96;<1gf?7f9278hn4>a09>7ab=9h;01>k;:0c`?xu4k80;6ku249;95g1<5=2j6ab9>7f7=9m?01>m::0c2?85d>3;j=634=2f2>4gd349o>7?nc:?0`g<6ij16?im51`a896bc28kh70=j4;3a3>{t;ji1<7lt=5::>4df34>3m7?ma:?0f6<6j>16?o:51c5896e>28k:70=lc;3g1>;4l90:m=523e`95g1<5:nh6b`9~w6b12902w0:79;3af>;30h0:no523c195gg<5:h?6d49>7ag=9h:01>jk:0`b?85b<3;in6s|49;94?4|5=226f28hh70:ne;3b4>;3il0:m<523g;95a3?>7>52z?7v3;8`82f`=:<=21=i;4}r6;e?6=:r7?4l4>d49>0=>=9h;0q~=65;29a~;30m0:m=5249f95d7<5=?26a19>023=9h;0196>:0c3?82?93;j=63;3282e5=:<9:1=l>4=2:5>4g7349297?k5:?611<6i91698851`28yv22?3:1?v3;5182=c=:<=o1=4h4=57;>4b23ty?8h4?:3y>01c=9m?018;9:0c2?xu3=80;6?u245d95<`<5=?:628n>7p};5383>7}:<<21=4h4=571>4b23ty?9>4?:3y>004=9h:019;<:0f6?xu3==0;6?u244095d7<5=??6i1=l>4=55f>4g734>8=7?n0:?777<6i9168>=51`38917>28n>70:>d;3b4>;3:<0:m=5243495d6<5=8<644>a19>05d=9h:019>l:0c3?827l3;j<63;0d82e5=:<9l1=l>4=2g5>4g7349n;7?n0:?0a=<6i916?h751`2896`a28k;70:?2;3b4>;38:0:m=5239795d6<5:2=6;<66`?7f827?9k4>a19>16b=9h:0q~:=1;2965}:<4g734><<7?n1:?734<6i9168:m51`38911c28k;70:<1;3b5>;3;;0:m<5242195de<5=;n684>a09>070=9h;019<8:0c2?82503;j=63;2882e4=:<9h1=l?4=52`>4g634>;h7?n1:?74`<6i8168=h51`3896c128k:70=j7;3b5>;4m10:m<523d;95d7<5:lm6;<634?7f927?<<4>a19>7=2=9h:01>6;:0c2?822l3;j=63;6682e5=z{=?>6=4={<661?7c=27?994>a19~w14c290:jv3;5782e4=:<>;1=l?4=551>4g634>d;3b5>;39l0:m<5243795de<5=8=654>ab9>07?=9hi019>m:0c`?827k3;jo63;0e82ef=:<9o1=lm4=52e>4gd349n:7?nc:?0a2<6ij16?h651`a896c>28kh70:?0;3bg>;3880:m<5241095d7<5=:86;<1;1?7f927?9k4>a09>031=9h;018=k:0c2?xu3=?0;6?u244495a3<5=??6;|q736<72;q68;o518d8911328n>7p};6b83>7}:4b23ty?;84?:3y>03e=90l0199::0f6?xu3>m0;6?u246695<`<5=7p};6g83>7}:4b23ty?n<4?:5y>026=9hi0199>:0c`?820:3;jo63;b082`0=z{==;6=4={<644?7c=27?:k4>a19~w1162909w0:81;3g1>;3>o0:m<5rs5af>5<>7?m7:?73`<6ij168nk51e7891b328k;70:96;3b4>;3>?0:m<52a782e5=:9>>1=l>4=056>4g734;<47?nc:p024=838p199=:0f6?821n3;jo6s|46d94?4|5===6<7i;<6;4?7c=2wx8:650;0x9110283m70:88;3g1>{t<1;1<74?a34>3=7?k5:p02?=838p196?:0;e?82013;o96s|46c94?4|5==2628k:70:8b;3g1>{t4gd34>168k951e78yv20k3:1>v3;7b82`0=:<>h1=l>4}r64`?6=:r7?;i4>d49>02d=9h;0q~:8e;296~;3?l0:h85246`95de52z?1a4<61o16>h651e78yv4b93:1?lu22d395a3<5;o>6a19>24c=9h:01;?i:0c`?80583;jo6394782e5=:>==1=l>4=76;>4gd34<857?n1:?57d<6i816:>l51`28933528k;70:n6;3a3>;3i>0:n:5260095d7<5?;86;<420?7f827=>n4>a19>27b=9h;01;a09>56g=9h;01<=m:0c2?874k3;j=63>3e82ef=:9=k1=l?4=06a>4g634;?j7?n1:?217<6ij16=8=51`a8943028k:70?:8;3b5>;6=00:m=5214c95de<58?i6a19>536=9hi01<8>:0c`?871:3;i;63>6482ef=:9?<1=l?4=044>4g634;=o7?nc:?22a<6ij16=;k51`38940a28k:70?80;3b5>{t:l81<74?a348n>7?k5:p6`5=838p1?k=:0c3?84b;3;o96s|2d694?4|5;o96;<0f0?7c=2wx:;;50;6x97c228k:70895;3g1>;2i;0:m=525`095d752z?1a0<6l<16>h:51`28yv4dk3:1>ou22d495d6<5;on6a19>6c?=9h:01>><:0c3?857<3;j<63;f282f2=:>8n1=l?4=73f>4g634<:j7?m7:?565<6j>16:9851`38932028k:708;8;3a3>;1;k0:m<522ba95a3<5;n=6b`9>0d1=9kk01;?;:0c2?805k3;j=63=1082ef=::881=lm4=375>4df34h:64g734?o<7?ma:?6`4<6jh16=>j51c58942f28kh70?;b;3bg>;6;<36e?7e?27:9o4>a09>50c=9h;01<;i:0c2?87183;i;63>6082f2=:9?81=oo4}r0g7?6=:hq6>h851`3897cb28k:70;5n10:m<522g;95d7<5::86;<130?7f927?j>4>b`9>24b=9hi01;?j:0c`?806n3;im6392182fd=:>=<1=lm4=764>4gd34i=51e7897bc28k:70:n6;3af>;3i>0:no5260695de<5?8h6b69>600=9kh01?87:0c3?8d528k;70;k0;3af>;2l80:no5212f95gg<58>j6b69>501=9k=01<;6:0c`?872i3;im63>5c82ef=:94gd34;=<7?ma:?224<6jh16=;<51c`8yv4f13:1==u22d495de<5;on6ab9>6c?=9hi01>><:0c`?857<3;jo63=cg82e5=::m<1=l?4=3c:>4b2348oh7?nc:?1f6<6i916>o=51`3897e628k;70;5k10:m=5rs3fb>5<5?r79i;4>b69>6c>=9k=01?h6:0`4?857;3;i;63<0582f2=:4d034<:i7?m7:?55c<6jk16:?>51c`8932128h<708;7;3a3>;1<10:no5262`95g1<5??>6bb9>0d1=9ki01;?;:0`4?805k3;i;63=1082fd=::881=oo4=375>4dd34h?6h<4>bb9>56b=9kh01<:n:0`b?873j3;im63>4g82fd=:9<=1=oo4=07:>4d034;>m7?mb:?21g<6j>16=8k51c58943a28h<70?90;3af>;6>80:no5217095ge5ez?1a3<6jh16>hk51c5897ca28h<70;5n00:nl5231195gg<5::?6ab9>6ab=9k=01?l?:0f6?84d93;j=63=b`82e4=::j21=l?4}r0aa?6=kr79i;4>bc9>6`c=9kk01?ki:0`b?84a03;in63=f882fg=:;991=ol4=227>4de348hj7?nc:?1`3<6j>16>ij51cc897db28n>70{t:k=1<7jt=3g5>4dd348ni7?mb:?1ac<6jk16>k651ca897`>28hh70=?3;3ag>;48=0:nn522bd95g1<5;n=6ab9>6g1=9m?01?m7:0`4?xu5k<0;6ou22d495gb<5;on6be9>6c?=9kn01>><:0`g?857<3;ih63=cg82fd=::m<1=ol4=3fg>4dd348h97?k5:p6`0=838p1?k9:0f6?84b<3;j=6s|61594?5|5d49~w0`b290:hv3:fd82`0=:>981=l?4=720>4d034<;87?nc:?540<6jh16:=851c5891`428hh708>d;3af>;19l0:nl5260d95gb<5?8;6b69>236=9hi01;8>:0`b?801:3;i;63;a782f`=:4db34?o=7?md:?20=<6jh16=9751c58943728h<70?:1;3bg>;6=00:nl5217:95gg<58<267p}90883>7}:>931=i;4=72;>4?a3ty=<=4?:3y>1c`=9h:01;>?:0f6?xu1880;6?u25gd95d7<5?::6=:0c`?807<3;i;6390782fd=:=8k1=l?4=23g>4g634>2m7?n1:?7=a<6i916?<<51cc897cc28k:70;5n>0:m<522g;95gc<5::96;<130?7em278>h4>a09>76?=9h;01>;?:0c2?852:3;j=63;ed82e4=:4=5d0>4dc34<:o7?n1:?55`<6jk16:?>51cf8932028hj708;1;k0:no5264695d6<5?<;6??4>a09>15`=9h;018hm:0c2?855<3;o963;a682f`=:4gd34<9i7?nc:?113<6jm16>;651`a8970f28kh70<9c;3a3>;e;3;jo63m4;3bg>;dm3;i;63:d082f`=::3;j=63>0282e4=:99?1=l?4=01b>4gd34;8o7?nc:?20<<6jh16=8?51c58943428h<70?:8;3bg>;6=k0:no5214d95gg<58<:6ab9>531=9hi01<86:0`b?871l3;i;63>6g82ef=:9><1=l?4=0:`>4g63ty=254=9m?01;>>:0c3?xu49j0;6;kt=720>4df34<;87?ma:?540<6jk16:=851c`8967d28n>70:k5;3bg>;3l10:n:5230695g`<5:;>6b`9>714=9hi01>:<:0`4?853=3;j463<5282e==:;<>1=l64=276>4g?349>i7?n8:?01c<6i116?;>51`:8960628k370=92;3b<>;3n:0:nh5260d95gc<5?8;6a99>72g=9h201>9m:0c;?850k3;j46394982f`=:>4df34<==7?mb:?527<6jk169>=51`a8907628kh70:n6;3ab>;3i>0:nk524b`95de<5;;:6b69>663=9k=01?;9:0`f?84183;jo63=6082ef=::?31=o94=34b>4d0348=n7?ma:?12f<6jh169;;51c5890?028kh70;kb;3ab>;2lj0:nk525ef95d><5m>4>b69>1d2=9kk018mj:0`b?83c83;ij63:d082fc=:n=0:mn52f782f2=:n>0:nh52f982f`=:nj0:m552fe82e==:nl0:m552fg82e==:99:1=l64=02f>4gd34;:>7?me:?256<6jo16=<:51`:8947228k370?>6;3b<>;69j0:n:5210f95gc<58;n6h4>bd9>56?=9k=01<=n:0`4?874j3;i;63>3b82f2=:9=21=ol4=06:>4de34;?m7?mb:?20g<6jk16=8>51cc8943628hj70?:8;3a3>;6>90:ni5217395gb<58<96b69>53>=9kh01<86:0`a?871m3;i;63>6g82f2=:9>:1=o94=0:5>4d034;3j7?nc:p26c=83>hw08?3;3af>;18=0:no5261795ge<5?:=6bb9>24c=9ki01;=j:0f6?803<3;j<6394482e5=:>=<1=ol4=764>4de34751c58935f28h<708:1;3b4>;1=:0:m<5264695d7<5??n6bc9>237=9ki01;8=:0``?80103;j<63;a782e7=:4g734<:=7?n0:?557<6j>16:<=51c58937328hj708=d;3a3>;1:l0:n:5220395ge<5;;96o51cc8945e28hj70?;6;m0:nn5215:95ge<58>26bb9>51`=9kh01<;?:0`a?87293;in63>5382fd=:9<91=oo4=074>4de34;>47?ma:?21<<6jk16=8o51ca8943e28hh70?:e;3af>;6=o0:no5217295gc<58<:6b`9>530=9kk01<88:0`b?87103;io63>6882ff=:9?i1=oo4=04g>4df34;=i7?ma:?22c<6jh16=:>51cc8yv03j3:1?:u261195ge<5?:?6be9>0c5=9h801;?k:0`g?806m3;ih6391g82fc=:>;:1=oh4=767>4g634651`38935>28hj708;1;k0:nn5265`95a3<5??:6;<466?7f927=984>a09>20c=9h;01;87:0c2?82f>3;j?63;a682e6=:>8;1=l?4=737>4de34<947?n0:?56=<6i816>;651c58970>28hj70<9a;3ae>;5>k0:no5227a95gd<5k;1=l?4=c095d7<5k91=o94=c695g1<5bc9>56g=9kh01<=m:0`a?874k3;in63>3e82fa=:9=21=oj4=06:>4dc34;?m7?md:?20g<6jm16=9h51ca8943728hh70?:1;3ag>;6=;0:no5214195gd<58?n69?1=ok4=725>4db34<:h7?me:?55`<6jl16:;1;<40ab9>205=9hi01;;;:0c`?802=3;jo6395982`0=:>4dd34<==7?md:?527<6jm16:<>51`38937528hj708>3;3ae>;1:00:m=5263;95d7<5;;:6a39>63>=9kk01?86:0`a?841i3;in63=6c82ff=::?i1=om4=c395de<5k81=lm4=c195gg<5k>1=oo4=4f3>4g434?o=7?n3:?212<6jj16=8651c`8943>28hh70?:a;3a`>;6=k0:ni5214g95gb<58?m6bg9>534=9h801<8l:0`a?871l3;in63>6d82fg=:9?l1=ol4=053>4de3ty=<>4?:3y>255=9m?01;>>:0c2?xu18=0;6?u261695a3<5?::67}:>9<1=i;4=722>4df3ty>=k4?:3y>142=90l0184b234?:n7?n1:?65f<6i8169;31j0:m<5248f95d7<5=o;6a19>0c6=9h;019h>:0c2?83493;j<63:0c82e5=:=9h1=l?4=5a:>4g73488?7?m7:?171<6jh16>>;51cc890?328k:70;65;3b4>;21?0:n:5258595g1<5<3364g734;?=7?m7:?207<6j>16=9=51c58942328h<70?;5;3a3>{t=8?1<74?a34?:97?k5:p140=838p18?::0c3?836>3;o96s|50594?4|5<;>6;<723?7c=2wx94=50;1x907?28k;70;>8;3b5>;21:0:h85rs43;>5<5s4?:47?k5:?652<6i91v<=i:18083613;j<63:1882e4=:9:l1=i;4}r72=?6=:r7>=44>d49>141=9h;0q~;>a;296~;29h0:h85250595de530y>14d=9hi018?l:0c`?836m3;j=63;9c82e4=:<0i1=lm4=5;g>4gd34>i>7?n0:?7ac<6i8168k>51`a891`628kh70:i8;3b4>;2:00:h85253d95d6<5<9;6??4>ab9>165=9k=018<::0c3?835=3;j=63:1182e5=:<081=l>4=5c7>4g734>h;7?n0:?7g<<6i8168no51`a891ee28h<70;mc;3a3>;2jm0:n:525cg95g1<5mh4>b69>1d`=9k=018l?:0`4?83e93;i;63:b382f2=:9>h1=o94=05`>4d034;16=:h51c5894>528h<70?73;3a3>;60=0:n:5219795gg<582=670;>7;3a3>{t=8i1<74b234?:;7?ma:p177=83;nw0;>d;3bg>;3j;0:m<524g:95d7<5<8m6;<704?7f927>?<4>ab9>164=9k=018=<:0`b?83593;o963:2782e5=:=8:1=l?4=5;1>4g634>j87?n1:?7g2<6i8168n651`2890?328kh70;65;3b5>;2jj0:nl525cf95gg<5o=4>bc9>e1<6i916m94>a09>52d=9kk01<9l:0`b?870l3;im63>7d82fd=:9>l1=oo4=0:g>4g63ty>=i4?:3y>14b=9m?018?8:0`a?xu31<0;69:t=43f>4gd34>2n7?nc:?7=f<6j>1684j51c5891b328kh70:k5;3a3>;3l?0:n:524e595gg<5=n36a39>0g0=9h:019l8:0c3?82b93;j<63;e082e4=:4d034>m=7?m7:?7bf<6i9168kj51`28905628h<70;<2;3ae>;2;:0:no5251a95d6<5<:h6;<6b0?7fk27?o44>ab9>0fg=9k=019mm:0`b?83>=3;jo63:dc82e7=:=mi1=l<4=4``>4de34?ih7?mb:?6f`<6jk169oh51ca890e728hh70o::0c2?8g128kh70:65;3g1>;2n<4>b`9>1g4=9kk0163>7582e4=:9>?1=l?4=055>4gd34;<;7?m7:?23=<6j>16=:l51c`8941d28hi70?8d;3af>;6?l0:no5216d95gd<58296b`9>5=3=9kh01<69:0`a?87>;3;i;63>9582f2=:90?1=o94=0;5>4d034;2;7?m7:p14c=838p18?j:0f6?836?3;io6s|33394?4|5:;h6<7i;<116?7c=2wx?e;3g1>{t;8n1<7f5<5:;o67p}<2183>7}:;8o1=l?4=203>4b23ty8776=9h:01>9g9>0d6=9h;0197i:0f6?xu31?0;6hu248495a3<5=3j6b`9>0==:0c;?854i3;j<63<4782e==:;==1=l64=24a>4g734?==7?n0:?243<6i116=?<51`2894>>28hj7p};9683>7}:4=5;4>4b23ty?m=4?:3y>0d6=9m?0197i:0;e?xu3110;6?u248595d6<5=33628n>7p};9`83>7}:<0k1=i;4=5;:>4g73ty?mo4?:cy>0l3;in63;eg82f2=:4df34?9j7?nc:?675<6ij169?851`3891ge28n>70:l8;3b5>{t<9<1<7??{<6:f?7ej27?5n4>bb9>04d034>;o7?m7:?74a<6j>168=k51c58916a28h<70=j6;3a3>;4m>0:n:523d:95g1<5:o2628k:7p};9b83>7}:<0i1=i;4=5;:>4gd3ty?5i4?:3y>0u24bg95<`<5=ni6;<6ge?7c=2wx8nh50;0x91be28k;70:lf;3g1>{t4b234>om7?6f:p0a6=838p19mi:0c3?82c83;o96s|4e394?4|5=im6;<6g5?7c=2wx=:<50;1x91b528k;70:k2;3b5>;6?;0:h85rs5f1>5<5s4>o>7?k5:?7`4<6i91v<7=:18082c;3;j<63;d282e4=:9081=i;4}r6g7?6=:r7?h>4>d49>0a7=9h;0q~:k4;296~;3l=0:h8524e395deo97>52z?7`0<6l<168i?51c58yv2c>3:1>v3;d782`0=:d49>0a7=9kh0q~:k8;296~;3l10:h8524e395ge53z?04a<61o16?7p}<1783>7}:;891=4h4=235>4b23ty8=>4?:2y>745=9m?01<<6:0c3?87513;j=6s|31g94?4|5:;i670=>8;3:b>{t;831<74g7349:57?k5:p74g=838p1>?n:0f6?8560383m6s|31d94?4|5::n650;0x966b28k:70=>0;3g1>{t;8;1<74b2349:<7?n0:p744=838p1>?=:0f6?85683;j=6s|35`94?7?s49:87?n3:?050<6i:16?><51`;8962128k270=;b;3g1>;2lk0:m>525ea95d5<5o?1=o94=g595g`<5o21=oh4=02g>4g634;:>7?mf:?256<6i:16=d;3ab>;69l0:m>5213c95d7<588i6i4>bg9>57c=9kl01<=::0c2?874>3;i;6s|4dc94?7ds49:87?n4:?050<6i=16?9951`;891cf28n>70:je;3bg>;3mo0:no524g295ge<5=l:6hn4>a59>b0<6jh16j:4>a39>b=<6i;16==851`;8946c28kh70?>2;3b6>;69:0:m95210c95gg<58;i6a59>57g=9hi01<2e82e7=:9;o1=l<4=016>4gd34;8:7?ma:p742=838p1>?;:0f6?856>3;j<6s|30794?4|5:;>6k>50;1x97c>283m70;5n80:h85rs3g:>5<6kr79i44>d49>6`b=9hi01?kj:0`f?84bn3;ii63<4482e<=:;<91=l74=277>4g>349>97?n9:?01`<6i016?8h51`;8960728k270=91;3b=>;4>;0:m45236:95d?<5:=26a89>72e=9h3018jk:0c:?83cm3;j563ic;3b=>;al3;j563ie;3b=>;an3;j563>0182e<=:98>1=l74=036>4g>34;::7?n9:p6`g=838p1?h=:0c3?84bi3;o96s|2g094?4|5;l96n2wx>hl50;0x97cf28k;70{t:li1<74g6348no7?k5:p6`b=838p1?kk:0f6?84bk3;j<6s|2dg94?4|5;on6hh50;0x97ca28n>70{t:oi1<7=t=3d0>4?a349;o7?n1:?1ba<6l<1v?h<:1826~;5n:0:h8522g595de<5;l36k4>a19>764=9hk01>:9:0cb?853?3;jm63<6g82e4=:=?;1=l?4=025>4gf34;;m7?n1:?24g<6i816==m51`38944128k:70?<2;3b5>;6;:0:m<5212695d752z?1bd<61o16>kl51e78yv4ai3:1?v3=f`82`0=:kk0:m=52cc82e4=z{;l?6=4={<13g?7f8279j94>d49~w66d2909w0=?c;3g1>;5nm0:5k5rs3d6>5<5s48m87?n0:?1b0<6l<1v?h9:18184a<3;j=63=f782`0=z{;l<6=4={<0e3?7c=279j;4>a19~w7`b290=w0;5n00:m?522gg95a3<5::96bg9~w7`?2909w0;5n?0:m<5rs3d:>5<5s48m57?k5:?1b3<6ij1v>>6:18084am3;2j63<0c82e4=:;9k1=i;4}r139g9>75>=9m?0q~=?5;297~;48<0:h85224a95d6<5;?h6;|q1bc<72;q6?=l51`2897`a28n>7p}<0c83>7}:;9h1=i;4=22b>4?a3ty8<=4?:3y>6c`=9h:01>>?:0f6?xu4880;6?u22gd95d7<5:::67}:;991=i;4=222>4g63ty8<94?:3y>752=9m?01>>>:0c`?xu1;80;6<6t=225>4df349;;7?mb:?574<6l<16>=951`38976?28k;70;58o0:m=5223795d7<5;8=644>a19>666=9h;01?=>:0c3?84303;j=63=4882e5=::=h1=l?4=36`>4g7348>?7?n1:?111<6i816>8k51`38930b28k;7089e;3b5>;cj3;j=63kc;3b5>{t;9<1<74b2349;47?n0:p751=838p1>>8:0f6?85703;j=6s|32194?4|5:8j6<7i;<100?7c=2wx??o50;`x964f28n>70==f;3b5>;4;90:nl5232095dd<5:>=6a19>137=9hi01<>9:0ca?875;3;j<63>8882fg=z{:996=4={<105?7>n278??4>d49~w6562908w0=<1;3g1>;6:80:m=5213395d752z?071<61o16??l51e78yv55k3:1>v3<2c82e5=:;;i1=i;4}r11`?6=:r78>o4>a09>77b=9m?0q~==e;296~;4:l0:h85233f95d652z?06c<6l<16??j51`38yv5483:1>v3<3182`0=:;;n1=lm4}r101?6=ir78??4>ae9>763=9m?01>=n:0c2?853>3;jh63<4682ea=:;?n1=l>4=442>4d034;;:7?nd:?261<6i916=5751ca8yv`6290;44b234;;:7?ne:?260<6i91v>=m:181854=3;2j63<3b82`0=z{:9=6=4={<10g?7>n278?;4>d49~w6502909w0=<6;3b4>;4;>0:h85rs21;>5<5s498:7?n1:?07=<6l<1v>=6:18185413;o963<3982e5=z{:9j6=4={<10e?7c=278?54>a09~w62>2909w0=;45<0s498h7?k5:?007<6j>16?9=51cc89c2=9k=01k851cc8946b28h<70?>c;3ae>{t;=21<74?a349?47?k5:p712=839p1>:;:0f6?8`e28k;70hm:0c2?xu4;l0;6?u235c95<`<5:9n6k51`28965a28n>7p}<4183>7}:;:o1=l?4=263>4b23ty:717=9h:01>:>:0c2?877:3;o96s|35394?4|5:>:6;1:=0:h852f582fd=:n?0:no5211g95gg<58;h67}:;=91=i;4=263>4gd3ty8944?:0;x962228kj70=:3;3be>;4==0:ml5234795dg<5:?26a`9>736=9hk01>8>:0cb?851:3;jm63<7982ed=:;>31=lo4=25b>4gf349;69=0:ml5210795dg<58;=6:::0ca?851=3;o963<6c82e4=:;?i1=l?4=24g>4g6349=i7?n1:?02c<6ij169il51`7890bd28k>70;kd;3bf>;2ll0:mo525df95d7<5o>1=ol4=g795gd<5o<1=om4=g595d5<5o21=l=4=ga95dd<5on1=ll4=gg95dd<5ol1=ll4=023>4ge34;8>7?nc:?276<6ij16=>:51`a8945228h<70?<6;3af>{t<3:1=nu235795db<5:>=6ab9>73e=9hi01>8k:0c`?851m3;jo63<6g82f2=:=mh1=l84=4f`>4g134?oh7?nd:?6``<6im1687?k5:?e0?7ek27m97?mc:?e2?7el27m;7?n4:?eae9>564=9k=01<=<:0`4?874<3;i;63>3482fd=:9:<1=om4}r171?6=:r78884>d49>71>=9h:0q~=;6;296~;452z?002<6l<16?9651`a8yv52?3:1>v3<4c82=c=:;<21=i;4}r162?6=:r789<4>9g9>700=9m?0q~=:1;297~;4=80:h85234f95d6<5:?o6;|q00f<72;q6?86518d8962d28n>7p}<4e83>7}:;=i1=l>4=26g>4b23ty88h4?:3y>71e=9h;01>:j:0f6?xu6:10;6>u235d95d6<5:>m6;<3170=;e;3b4>{t;<:1<74b2349?i7?n1:p704=838p1>;=:0f6?852>3;j<6s|34194?4|5:?8670=:6;3bg>{t;4b2349>:7?m7:p735=838p1>;6:0;e?851<3;o96s|34c94?4|5:{t;4g6349>o7?k5:p70b=838p1>;k:0f6?852k3;j<6s|34g94?4|5:?n670=:c;3bg>{t;?:1<74b2349>o7?m7:p737=838p1>8>:0f6?852k3;im6s|37094?4|5:<9650;0x9602283m70=81;3g1>{t;?<1<74?a349=:7?k5:p731=838p1>89:0c3?851?3;o96s|37:94?4|5:<=6;<1573?=9h:01>86:0c2?8`528n>7p}<6883>7}:;?31=i;4=24;>4g73ty:?=4?:2y>73g=9h:01>8n:0c2?87483;o96s|37c94?4|5:70=98;3bg>{t;?i1<74b2349=47?m7:p73b=838p1>8k:0f6?85103;im6s|37g94?4|5:70=98;3ag>{t4?a34>i57?k5:p0g5=838p19l6:0;e?82e;3;o96s|4c094?4|5=h26n=4=5`1>4b23ty?n94?:3y>0g5=9h:019l;:0f6?xu3j<0;6?u24c195d7<5=h>67}:4b23ty?n:4?:3y>0g1=9m?019l::0c2?xu3jo0;6?u24cc95<`<5=i;6518d891dd28n>7p};bc83>7}:524c`95a3ih7>52z?7ff<6i9168oj51e78yv2em3:1>v3;bb82e4=:a19>0gd=9h;018>>:0c3?83793;j=63:0682`0=:==?1=l>4=466>4g63ty?i:4?:2y>0ae=90l019k6:0c2?82b03;o96s|4ea94?0|5=nh6a09>0`0=9hi01<9;:0c`?870=3;jo6s|4ef94?4|5=o2628n>70:j8;3:b>{t4g734>oi7?k5:p0a`=838p19jk:0c2?82cn3;o96s|4d294?4|5=o;670:kf;3b5>{t91k1<7=t=5g1>4g734>n>7?n1:?24>a09>5`6=9m?0q~:j3;296~;3m:0:h8524ed95g1n87>52z?7a1<6l<168ih51cc8yv2b=3:1>v3;e482`0=:d49>0a`=9ki0q~:i4;297~;3mh0:5k524g495d7<5=l>67p};f383>6}:4g7347?n1:p0`d=838p19h9:0c3?82bj3;o96s|4g494?4|5=l=6n2wx8hm50;0x91ce28k;70:jc;3g1>{t4g634>nh7?k5:p0`c=838p19kj:0f6?82bl3;j<6s|4dd94?4|5=om650;0x91`728n>70:jd;3bg>{t4b234>nh7?m7:p0cc=838p19h8:0;e?82an3;o96s|4g;94?4|5=lm6<7i;<6e=?7c=2wx8k650;0x91`a2j9019h7:0f6?xu3nh0;6?u24g;95d6<5=lj67p};fb83>7}:4g73ty><=4?:3y>0cb=9h;018>?:0f6?xu3nm0;6?u24gf95a3<5=li6;|q640<72;q69=>518d8906128n>7p}:0383>7}:=9<1=4h4=421>4b23ty><<4?:3y>150=k:169=?51e78yv37;3:1>v3:0382e5=:=991=i;4}r730?6=:r7>a09>152=9m?0q~8=1;297~;19>0:5k5263195d7<5?896d;3ab>;19l0:nk5260d95d5<5?8;6m=4>b69>1d5=9kk018o;:0`a?83c83;j863:d082e1=z{?;36=4={<417?7f827==54>d49~w3442909w08=3;3g1>;1:;0:5k5rs73:>5<5s4<:47?n0:?55<<6l<1v;?n:18180603;j=6391`82`0=z{a09>1f`=9m?0q~8>b;296~;19k0:h85260c95d652z?55f<6l<16:v391e82`0=:>8k1=lm4}r42a?6=:r7==h4>d49>24g=9k=0q~8>f;296~;19o0:h85260c95gg52z?565<6l<16:l1=4h4=25f>4b23ty8;k4?:0cx961a28n>70=88;3bf>;4?00:mo5236c95dd<5:=i6ab9>55d=9hi01<>l:0c`?877l3;i;63>0d82fg=:9881=l=4=030>4g234;:87?nb:?250<6ik16=<851``8947f28hi70?>b;3af>;69j0:nn5210f95d5<58;n6>4>a09>572=9h;01<<::0c2?875>3;jo6s|36194?4|5:=n6<7i;<147?7c=2wx?::50;0x961428k;70=84;3g1>{t;>?1<74g6349<97?k5:p546=839p1>99:0c3?850>3;j=63>1182`0=z{:==6=4={<142?7c=278;84>a19~w47?2908w0=87;3b4>;4?>0:m<5210:95a352z?032<6l<16?:;51`38yv5003:1>v3<7982`0=:;>?1=lm4}r14=?6=:r78;44>d49>723=9k=0q~=8a;296~;4?h0:h85236795gg52z?03g<6l<16?:;51c`8yv50k3:1>v3<7b82`0=:;>?1=om4}r47=?6=:r7=?h4>9g9>21g=9m?0q~852z?57c<6i916:9>51e78yv0393:1>v393g82e4=:>=;1=i;4}r476?6=:r7=8?4>d49>217=9h:0q~:n5;297~;1<:0:m=5265195d7<5=k>67}:>=>1=i;4=762>4gd3ty=884?:3y>213=9m?01;:>:0`4?xu1:67}:>=21=i;4=762>4dd3ty=?n4?:3y>267=90l01;=k:0f6?xu1;;0;6?u262f95<`<5?996<51`28935428n>7p}93583>7}:>:81=l?4=717>4b23ty:?54?:2y>263=9h:01;=::0c2?87403;o96s|62794?4|5?9>6;65<5s4<8:7?k5:?571<6i81v;=8:181804?3;o96393582ef=z{?936=4={<40b69~w0`?290:ov393882fg=:>:k1=ol4=776>4d034?m47?k5:?557<6jk16:<=51c`8934c28hj708=e;3ae>;e93;i;63m2;3a3>;dn3;in63k0;3af>;c93;in63>3882ff=:9:k1=om4=01a>4dd34;8o7?mc:?217<6jj16=8=51ca8943?28hh70?95;3af>;6>?0:no5217595gd<58bb9>53`=9ki01<9?:0``?xu1;00;6?u262;95a3<5?9?6o51e78935328hi7p}93c83>7}:>:h1=i;4=717>4dd3ty=9;4?:3y>21d=90l01;;8:0f6?xu1h67p}94d83>7}:>=i1=l?4=76f>4b23tyi<7>53z?50c<6i916:9h51`389g6=9m?0q~8;f;296~;153z?515<6i916:8>51`38970028n>7p}95183>7}:><:1=i;4=76f>4g63ty=9<4?:3y>207=9m?01;:j:0c`?xu1=;0;6?u264095a3<5?>n67}:><>1=i;4=76f>4de3ty=984?:3y>203=9m?01;:j:0``?xu3;=0;6?u243f95<`<5=9>6;518d8914b28n>7p};2g83>7}:<;o1=l>4=50e>4b23ty??=4?:3y>07c=9h;019=?:0f6?xu3;80;6?u242395a3<5=9;6<51e78915728k:7p};1283>1}:<:91=oo4=522>4gd34>:?7?k5:?0<3<6ij1v9=<:181824;3;o963;3182ef=z{=;m6=4={<62=?7>n27?>=4>d49~w17f2909w0:=0;3:b>;39h0:h85rs53a>5<5s4>:m7?n0:?75g<6l<1v9?l:181826i3;j=63;1b82`0=z{=;o6=4={<62`?7c=27?=n4>a19~w17b2909w0:>e;3g1>;39j0:m<5rs50b>5<4s4>9=7?6f:?76f<6i8168?l51e78yv25:3:1>v3;2b82e5=:<;81=i;4}r61g?6=:r7?>n4>d49>07d=90l0q~:=3;296~;3:;0:m=5243195a3987>52z?767<6i8168?:51e78yv25=3:1>v3;2482`0=:<;>1=l>4}r1f5?6==r7?>;4>b`9>05e=9ki019>k:0``?85b93;o963;0382f2=z{=8=6=4={<612?7c=27?>94>a09~w6>>290>w0:=7;3ae>;38l0:nn523d595ge<5=:86;4m>0:ni5241195gg<5:2m60}:<;21=oo4=52e>4dd349n47?mc:?0e7<6l<169>j51c58yv5f03:19v3;2982fg=:<9l1=oj4=2g;>4dc349j47?k5:?67a<6jh1v9<7:18182503;o963;2582f2=z{=9=6=4:{<61=?7ei278i;4>bb9>7`?=9ki019=9:0f6?822n3;i;6s|42a94?3|5=826be9>06e=9m?019;i:0`b?xu3:00;6?u243;95a3<5=8?67p}95883>7}:>?>1=4h4=77:>4b23ty=9l4?:3y>20?=9h:01;;n:0f6?xu1=k0;6?u264;95d7<5??i6{t>4b234<>n7?n0:p603=839p1;;k:0c3?802l3;j=63=5482`0=z{??o6=4={<46`?7c=27=9o4>a09~w33b2909w08:e;3g1>;1=k0:mn5rs77e>5<5s4<>j7?k5:?51g<6j>1v;8?:18180183;o96395c82fd=z{?<:6=4={<455?7c=27=9o4>bc9~w3052909w0892;3g1>;1=k0:nn5rs417>5<5s4?957?6f:?670<6l<1v8>o4>d49~w04d2909w0;=a;3b5>;2:j0:h85rs05b>5<4s4?9h7?n0:?66a<6i816=:o51e78yv35l3:1>v3:2e82`0=:=;i1=l>4}r7af?6=;r7>>h4>a19>17c=9h;018lm:0f6?xu2:l0;6?u253g95a3<5<8h6;|q66c<72;q69?h51e78904d28kh7p}:3183>7}:=::1=i;4=40`>4d03ty>?<4?:3y>167=9m?018=51e78904d28hh7p}=d183>6}::ji1=4h4=3f1>4g6348o=7?k5:p6fb=838p1?j=:0c3?84dl3;o96s|2e094?4|5;n96n2wx>nk50;0x97ec28k;70{t:jl1<74g6348hj7?k5:p6a1=839p1?j<:0;e?84c13;j=63=d982`0=z{;n?6=4={<0g=?7f8279h94>d49~w7b>2909w0;5l10:5k5rs3f6>5<5s48o87?n0:?1`0<6l<1v?j9:18184c<3;j=63=d782`0=z{:h>6=4<{<1ba?7>n278n:4>a09>7g0=9m?0q~=nf;296~;4j>0:m=523`d95a352z?0f2<6l<16?o8518d8yv5e83:1>v3a09>7g7=9m?0q~=jd;29f~;4j;0:m<523c195gd<5:hn6a09>7a7=9k=01>jn:0c2?85cj3;im634=2d5>4g63ty8j>4?:8y>7g4=9hi01>l;:0`a?85em3;j=634d0349om7?nc:?0`f<6jh16?k=51e78yv5e:3:1>v34}r1a7?6=:r78n>4>d49>7g7=9h;0q~=m4;296~;4j=0:h8523c395de52z?664<61o169?651e78yv35:3:1>v3:2982=c=:=;81=i;4}r717?6=:r7>>?4>a19>175=9m?0q~;=4;296~;2:;0:m<5253695a352z?660<6l<169?:51`28yv35>3:1>v3:2782`0=:=;>1=l?4}r1ab?6=:r78n54>9g9>7f6=9m?0q~=m9;296~;4k90:5k523c;95a352z?0f<<6i916?oo51e78yv5ej3:1>v3d49>7gd=9h:0q~=md;296~;4jm0:h8523c`95d752z?0f`<6l<16?ol51`a8yv4fl3:1?v3=a882=c=::hl1=l?4=3cf>4b23ty9ml4?:3y>6d`=9h:01?on:0f6?xu5io0;6?u22`d95a3<5;kn6<7i;|q1eg<72;q6>lo51`2897ge28n>7p}=ab83>7}::hk1=l?4=3c`>4b23ty9hh4?:2y>6ag=90l01?k?:0c2?84cn3;o96s|2e`94?4|5;o;6h>50;0x97c728n>70{t:mi1<74g7348oo7?k5:p6ab=838p1?jm:0c2?84cl3;o96s|3bc94?4|5:i:6<7i;<1`f?7c=2wx?n<50;0x96ee283m70=l2;3g1>{t;j91<74g7349h?7?k5:p7f2=838p1>m=:0c2?85d<3;o96s|3b794?4|5:i>670=l4;3b5>{t;j=1<74b2349h87?nc:p7f>=838p1>m7:0f6?85d<3;i;6s|3b;94?4|5:i26;4l=0:h85rs2ag>5<5s49o97?n0:?0ga<6l<1v>j::18185c=3;o963d49~w6ea2909w0=ld;3b5>;4ko0:h85rs2f3>5<5s49o<7?k5:?0gc<6i91v>j>:18185c93;o963ab9~w6bb2908w0=k6;3:b>;4m90:m<523ed95a352z?0a5<6i916?i951e78yv5b83:1>v3a19>7a>=9m?0q~=k9;296~;4l>0:m<523e;95a352z?0`d<6l<16?i751`28yv5cj3:1>v3d49>7a?=9hi0q~=kd;296~;4lm0:h8523e;95g153z?1f5<61o16>o851`3897d228n>7p}=b083>7}::k<1=l>4=3`2>4b23ty9n;4?:3y>6g0=9m?01?l::0;e?xu5j;0;6?u22c395d6<5;h96o?51`3897d428n>7p}=c383>6}::ko1=4h4=3a7>4g6348h?7?k5:p6g`=838p1?m;:0c3?84en3;o96s|2b694?4|5;i?6n2wx>n>50;0x97da28k;70{t:j;1<74g6348h=7?k5:p0d`=838p19om:0;e?82e83;o96s|4`a94?4|5=h;6<7i;<6bg?7c=2wx8lj50;0x91gd28k;70:nd;3g1>{t4g634>ji7?k5:p6gd=839p1?l8:0;e?84el3;j=63=bb82`0=z{;h36=4={<0a`?7f8279n54>d49~w7dc2909w0;5jj0:5k5rs3`:>5<5s48i47?n0:?1f<<6l<1v?ln:18184e03;j=63=b`82`0=z{;i26=4<{<0`1?7>n279oo4>a09>6fg=9m?0q~52z?1gg<6l<16>no518d8yv4d?3:1>v3=c782e5=::j=1=i;4}r0`a09>6f>=9m?0q~;>2;296~;28>0:5k5250195a352z?656<61o169=651e78yv3713:1>v3:0982e5=:=931=i;4}r73e?6=:r7><54>a09>15g=9m?0q~;?b;296~;28k0:h85251c95d652z?64f<6l<169=o51`38yv44k3:1?v3:0e82e5=:=9n1=l?4=31`>4b23ty>15b=9m?018>n:0c`?xu5900;6>u251g95d6<5<:n6;<02=?7c=2wx9=k50;0x906b28n>70;?a;3a3>{t=9l1<74b234?;m7?ma:p146=838p18??:0f6?837i3;in6s|50394?4|5<;:6{t=o31<74?a34?m57?k5:p1cg=838p18h6:0c3?83ai3;o96s|5g`94?4|5;<7ef?7c=2wx>:m50;0x971?283m70<8d;3g1>{t:>21<7;t=35;>4b23483=7?n0:?1<4<6i816>:>51`2894bd28k;7p}=7883>7}::>n1=4h4=35:>4b23ty9;l4?:3y>62?=9h:01?9n:0f6?xu5?k0;6?u226;95d7<5;=i6:k518d897>428n>7p}=7d83>0}::>o1=i;4=3:4>4g73483;7?n1:?135<6i816=im51`38yv40n3:1>v3=8282=c=::>l1=i;4}r0;4?6=:r79;k4>a19>6=6=9m?0q~<71;296~;5?o0:m<5229395a3:<7>53z?743<61o168<<51`38917628n>7p};0683>7}:<881=l>4=524>4b23ty?=?4?:3y>044=9m?019?>:0;e?xu3810;6?u241595d6<5=:3628n>7p}6}:<9k1=l>4=52b>4g6349n97?k5:p05g=838p19>n:0f6?82713;j<6s|41`94?4|5=:i670:?9;3bg>{t<9n1<74b234>;57?m7:p05c=838p19>j:0f6?82713;im6s|41d94?4|5=:m6{t9j;1<7:t=0a2>4b234;hm7?n0:?2gd<6i816=im51`a8yv7d:3:1>v3>c782=c=:9j81=i;4}r3`7?6=:r7:o?4>a19>5f5=9m?0q~?l4;296~;6k;0:m<521b695a352z?1<1<61o16>5751e78yv4?<3:1?v3=8582`0=::>:1=lm4=0f`>4d03ty9484?:3y>6=?=90l01?6::0f6?xu50?0;6?u229795d6<5;2=65;51`3897>028n>7p}<9`83>7}:;0<1=4h4=2;a>4b23ty85;4?:4y>7<0=9m?01>7i:0c3?85>n3;j=63:5482e5=:=<<1=lm4}r1:3?6=:r785o4>9g9>7<1=9m?0q~=68;296~;41>0:m=5238:95a352z?0=2<6i816?4751e78yv5bj3:1>v39g9>7`g=9m?0q~=j2;296~;4mj0:5k523d095a352z?0a7<6i916?h=51e78yv5b<3:1>v31=i;4}r1f2?6=:r78i;4>d49>7`g=9h:0q~=j7;296~;4m>0:h8523dc95d752z?0a=<6l<16?ho51`a8yv5b13:1>v39g9>7c4=9m?0q~=je;296~;4n;0:5k523dg95a352z?0a`<6i916?hh51e78yv5a83:1>v39g9>053=9m?0q~=ia;296~;38<0:5k523gc95a352z?0bd<6i916?kl51e78yv5ak3:1>v3a19>7cb=9h;018=l:0f6?xu4nm0;6?u23gf95a3<5:lh6{t;oo1<74b2349mo7?n1:p7c`=838p1>hi:0f6?85ak3;jo6s|41294?4|5=:;670=ic;3ae>{t<981<74b2349mo7?mb:p055=838p19><:0f6?85ak3;io6s|40594?4|5=;86<7i;<624;3g1>{t<8?1<74g734>:97?k5:p040=838p19?;:0c2?826>3;o96s|4b394?5|5=;=6d49~w30>2909w0895;3:b>;1>h0:h85rs745>5<5s4<=m7?6f:?523<6l<1v;88:181801>3;j<6396682`0=z{?<36=4={<452?7f927=:54>d49~w7112909w0<9f;3:b>;5?>0:h85rs34e>5<5s48=j7?k5:?2`f<6jh1v?9::181840<3;2j63=7482`0=z{;=?6=4<{<040?7c=27>mn4>a19>1de=9h;0q~<81;296~;5?>0:5k5226395a352z?132d49~w7152909w0<81;3b4>;5?;0:h85rs350>5<5s48<=7?n1:?136<6l<1v;>n:186840=3;im6390`82`0=:=h:1=oo4=4c0>4de34?j87?mc:p5fd=838p1{t9j31<74g734;h57?k5:p5fg=838p1283m70==5;3g1>{t;;<1<74g73499:7?k5:p771=838p1><::0c2?855?3;o96s|39594?4|5:2;6<7i;<1;50;0x96>728n>70;{t;1;1<74?a3493=7?k5:p7=4=838p1>6>:0c3?85?:3;o96s|39194?4|5:2:6;<1;7?7c=2wx?5:50;0x96>328n>70=73;3b4>{t;1?1<74b23493?7?n1:p7=0=838p1>69:0f6?85?;3;jo6s|39f94?4|5:226<7i;<1;a?7c=2wx?5o50;0x96>b283m70=7a;3g1>{t;1h1<74g73493n7?k5:p7=e=838p1>6n:0c2?85?k3;o96s|38194?4|5:2m6<7i;<1:0?7c=2wx?4>50;0x96?3283m70=60;3g1>{t;0;1<74g73492=7?k5:p7<4=838p1>7?:0c2?85>:3;o96s|3`294?4|5:3h6<7i;<1b5?7c=2wx?4m50;1x96?d28n>70;:4;3b5>;2=<0:m<5rs2;g>5<5s49j=7?6f:?0=a<6l<1v>7j:18185>l3;j<63<9d82`0=z{:3m6=4={<1:`?7f92785k4>d49~w6g12909w0=n2;3:b>;4i>0:h85rs2c0>5<5s49j;7?6f:?0e6<6l<1v>o;:18185f;3;j<636=4={<1b7?7f9278m84>d49~w6gd2909w0=n8;3:b>;4im0:h85rs2c:>5<5s49jh7?6f:?0e<<6l<1v>on:18185f13;j<63d49~w6`02909w0=i3;3:b>;4n10:h85rs2d7>5<5s49m47?6f:?0b1<6l<1v>h::18185a<3;j<63d49~w15f2909w0:<6;3:b>;3;k0:h85rs514>5<5s4>8n7?6f:?772<6l<1v9=7:181824?3;j<63;3982`0=z{=926=4={<603?7f927??44>d49~w1272909w0:;3<80:h85rs51g>5<5s4>?=7?6f:?77a<6l<1v9=j:181824l3;j<63;3d82`0=z{=9m6=4={<60`?7f927??k4>d49~w1212909w0:;2;3:b>;3<>0:h85rs560>5<5s4>?;7?6f:?706<6l<1v9:;:181823;3;j<63;4582`0=z{=>>6=4={<677?7f927?884>d49~w12d2909w0:;8;3:b>;35<5s4>?h7?6f:?70<<6l<1v9:n:18182313;j<63;4`82`0=z{=>i6=4={<67=?7f927?8o4>d49~w1?42909w0:7f;3:b>;31=0:h85rs5:e>5<5s4>3j7?k5:?67g<6i81v97?:18182><3;2j63;9182`0=z{=3:6=4={<6:4?7f827?5<4>d49~w1?52909w0:60;3b5>;31;0:h85rs5c:>5<5s4>j=7?6f:?7ed<6l<1v9o>:18182f93;o963:4782e4=z{=k36=4={<6b1?7>n27?m54>d49~w1g52909w0:na;3:b>;3i;0:h85rs5c0>5<5s4>j>7?n0:?7e6<6l<1v9o;:18182f:3;j=63;a582`0=z{=k=6=4={<6b2?7c=27?m54>a19~w1g02909w0:n7;3g1>;3i10:m<5rs5a`>5<5s4>h=7?6f:?7ga<6l<1v9m=:18182dl3;2j63;c382`0=z{=i86=4={<6`6?7f827?o>4>d49~w1e32909w0:l2;3b5>;3k=0:h85rs4cg>5<4s4>h97?n0:?7g0<6i8169lj51e78yv2d=3:1>v3;c482`0=:1=l>4}r3;5?6=;r7?o;4>a19>0f0=9h;01<6>:0f6?xu3k?0;6?u24b495a3<5=i?6;|q7g2<72;q68n951e7891e328kh7p};c983>7}:4d03ty?o44?:3y>0f?=9m?019m;:0`b?xu3kh0;6?u24bc95a3<5=i?67}:>9k1=4h4=735>4b23ty=240=90l01;>m:0f6?xu18j0;6?u261`95d6<5?:h67p}>5483>6}:>9o1=l>4=72f>4g634;>97?k5:p25c=838p1;>j:0f6?807l3;j<6s|17`94?5|5?:m6d49~w36a2909w08?f;3g1>;18m0:m<5rs733>5<5s4<:<7?k5:?54a<6ij1v;?>:18180693;o96390e82f2=z{?;96=4={<426?7c=27=b`9~w3742909w08>3;3g1>;18m0:no5rs737>5<5s4<:87?k5:?54a<6jj1v;6=4={<404?7>n27=>84>d49~w3412909w08=5;3b4>;1:?0:h85rs704>5<5s4<997?n1:?562<6l<1v;<7:18180503;o96392682e5=z{?826=4={<41=?7c=27=>:4>a09~wfe=839p1;{t>;k1<74b234<9;7?nc:p532=839p1;6582`0=z{?8i6=4={<41f?7c=27=>:4>b69~w34d2909w08=c;3g1>;1:>0:nl5rs70g>5<5s4<9h7?k5:?562<6jk1v;n279<44>d49~w7632909w0;58=0:h85rs326>5<5s48;87?n0:?140<6l<1v?>9:181847<3;j=63=0782`0=z{;:<6=4={<033?7c=279<;4>a19~w76?2909w0;58?0:m<5rs337>5<5s48;m7?6f:?151<6l<1v??<:18184683;2j63=1282`0=z{;;;6=4<{<024?7c=27:9;4>a19>500=9h;0q~52z?14g<6i916>=m51e78yv47l3:1>v3=0c82e4=::9n1=i;4}r03a?6=:r79

d49>65b=9h:0q~52z?154<6l<16><=51`28yv46:3:1>v3=1382`0=::891=l?4}r014?6=:r79=84>9g9>676=9m?0q~<>f;296~;5900:5k5220d95a352z?165<61o16><851e78yv46?3:1>v3=1782e5=::8=1=i;4}r02a09>64>=9m?0q~<;a;297~;5910:m=5220:95d7<5;>j67}::8h1=i;4=33e>4g63ty9=n4?:3y>64e=9m?01??i:0c`?xu59m0;6?u220f95a3<5;;m67}::;;1=4h4=30a>4b23ty9>l4?:3y>671=90l01?0;6>u223595a3<5;9i6?<50;0x974e283m70<=2;3g1>{t:;91<74g73489?7?k5:p672=838p1?<=:0c2?845<3;o96s|23794?4|5;8>6?850;0x974128n>70<=4;3b5>{t:;21<74b23489m7?n0:p67?=838p1?<6:0f6?845i3;j=6s|22594?4|5;8h6<7i;<003?7c=2wx>>850;0x9755283m70<<6;3g1>{t::81<7=t=311>4b234;?<7?n0:?205<6i81v?h4>d49~w74a2909w0<=d;3b5>;5:o0:h85rs313>5<5s488<7?k5:?16c<6i91v?=>:18184493;o963=2g82e4=z{;986=4={<007?7c=279?;4>a19~w7532909w0<<4;3g1>;5;?0:m<5rs316>5<5s48897?k5:?173<6ij1v?:<:18184403;2j63=4282`0=z{;>96=4={<00g?7>n2798?4>d49~w75>2909w0<;3;3:b>;5;00:h85rs31b>5<5s48857?n0:?17d<6l<1v?=m:18184413;j=63=3c82`0=z{;9o6=4={<00`?7c=2798?4>a19~w75b2909w0<;5<;0:m<5rs31e>5<5s488j7?k5:?107<6ij1v?:?:18184383;o963=4382f2=z{;>:6=4={<075?7c=2798?4>b`9~w72b2909w0<;4;3:b>;55<5s48?m7?6f:?10a<6l<1v?:::181843m3;2j63=4482`0=z{;>=6=4={<071?7f82798;4>d49~w7202909w0<;5;3b5>;5<>0:h85rs36;>5<5s48?47?k5:?102<6i91v?:6:18184313;o963=4682e4=z{;>i6=4={<07f?7c=2798i4>a19~w72d2909w0<;c;3g1>;55<5s48?j7?6f:?112<6l<1v?;9:181842=3;2j63=5782`0=z{;?;6=4={<063?7>n2799=4>d49~w7362909w0<:0;3b4>;5=80:h85rs371>5<5s48><7?n1:?117<6l<1v?;<:181842;3;o963=5382e5=z{;??6=4={<060?7c=2799?4>a09~w7052909w0<:8;3:b>;5>;0:h85rs37:>5<5s48=>7?6f:?11<<6l<1v?;n:18184213;j<63=5`82`0=z{;?i6=4={<06=?7f92799o4>d49~w73d2909w0<:c;3g1>;5=k0:m=5rs37g>5<5s48>h7?k5:?11g<6i81v?;j:181842m3;o963=5c82ef=z{;?m6=4={<06b?7c=2799o4>b69~w7072909w0<90;3g1>;5=k0:nl5rs342>5<5s48==7?k5:?11g<6jk1v?8j:181841;3;2j63=6d82`0=z{;n279:i4>d49~w7032909w0<9e;3:b>;5>=0:h85rs346>5<5s48=87?n0:?120<6l<1v?89:181841<3;j=63=6782`0=z{mk1<7=t=345>4g7348=:7?n1:?ge?7c=2wx>;650;0x970?28n>70<9d;3b4>{t:?31<74b2348=h7?n1:p63g=838p1?8n:0f6?841l3;jo6s|27`94?4|5;;m50;0x970d28n>70<9d;3ae>{t=?=1<74?a34?=;7?k5:p130=838p188=:0;e?831>3;o96s|57094?5|5<<96a09~w03d2909w0;97;3:b>;2=j0:h85rs47g>5<5s4?>o7?n0:?61a<6l<1v8;j:181832k3;j=63:5d82`0=z{9h4>a19~w4>?2908w0;90;3b4>;2>90:m<5219:95a352z?625<6l<1698k51`38yv3193:1>v3:6082`0=:=:>4>d49>130=9h:0q~;94;296~;2>=0:h85257495d752z?620<6l<169;851`a8yv3>i3:1>v3:8g82=c=:=0k1=i;4}r7:=?6=:r7>5>4>9g9>152z?6=5<6i91694?51e78yv3>:3:1>v3:9182e4=:=081=i;4}r45b?6=;r7>5?4>a19>1<4=9h;01;8i:0f6?xu21=0;6?u258695a3<5<32628k:7p}:9783>7}:=0<1=i;4=4;:>4gd3ty>5:4?:3y>1<1=9m?01876:0`4?xu2110;6?u258:95a3<5<3267p}:dg83>7}:=mk1=4h4=4fe>4b23ty>hl4?:2y>1ag=9m?01<=>:0c3?87493;j=6s|5e594?4|5{t=m31<74g634?o57?k5:p1ad=838p18jm:0f6?83cn3;j<6s|5ea94?4|570;kf;3bg>{t=mo1<74b234?oj7?m7:p1`c=838p18kn:0;e?83bm3;o96s|5d`94?4|5{t=ln1<74g634?nh7?k5:p036=838p19;n:0;e?82193;o96s|44d94?4|5=?n6<7i;<66b?7c=2wx88l50;0x9106283m70::b;3g1>{t<4g734>>o7?k5:p00b=838p19;m:0c2?822l3;o96s|47:94?4|5=<96<7i;<65=?7c=2wx8;=50;0x910>283m70:93;3g1>{t1<74g734>=87?k5:p033=838p198<:0c2?821=3;o96s|47494?4|5=<=670:95;3b5>{t=:o1<74?a34?8i7?k5:p16b=838p18=l:0;e?834l3;o96s|52;94?4|5<9n6<7i;<70=?7c=2wx9>o50;0x905>28k;70;{t=:h1<74g634?8n7?k5:p1=4=838p189m:0;e?83?:3;o96s|56a94?4|5<296<7i;<74g?7c=2wx9:j50;0x901d28k;70;8d;3g1>{t=>o1<74g634?628n>70;8e;3bg>{t=j81<74?a34?h>7?k5:p1f7=838p18lm:0;e?83d93;o96s|5c:94?4|5{t=kk1<74g634?im7?k5:p1d7=839p18ln:0c3?83ei3;j=63:a082`0=z{o<4>a19~w0dc2909w0;md;3g1>;2k80:m<5rs4`f>5<5s4?ii7?k5:?6g4<6ij1v8li:18183en3;o963:c082f2=z{o<4>b`9~w3162909w089b;3:b>;1?;0:h85rs753>5<5s4<=j7?6f:?535<6l<1v;8l:181800:3;2j6396b82`0=z{?d49~w30b2909w089c;3b5>;1>l0:h85rs`:94?4|50o1=4h4=`:95a34?a34k<67?k5:?236<6i916=:=51`38yv?a2909w0o7:0;e?8?a28n>7p}n0;296~;>n3;j<63n0;3g1>{ti80;6?u29g82e4=:i80:h85rs`194?4|5h91=i;4=`595d64b234k<6;|qb1?6=:r7j97?k5:?b3?7fk2wxm;4?:3y>e3<6l<16m:4>b69~wg0=838p1lm518d89g0=9m?0q~l::1818d7283m70l::0f6?xufl3:1>v3m6;3:b>;fl3;o96s|ad83>7}:im0:m=52ad82`0=z{hl1<75<5s4h:64g73tyi>7>52z?a6?7c=27i97?n1:pf6<72;q6n>4>d49>f0<6ij1vo:50;0x9g2=9m?01o;51c58yvb42909w0m7:0;e?8b428n>7p}k2;296~;dk3;2j63k2;3g1>{tk00;6?u2d282=c=:k00:h85rsbc94?4|5j31=l>4=bc95a34g634ii6g`<6l<16h?4>a09~wf`=838p1nh51e789a4=9hi0q~j?:1818b728n>70j=:0`4?xuc93:1>v3k1;3g1>;c:3;im6s|dd83>7}:l?0:5k52dd82`0=z{mn1<75<5s4nn6<7i;4b23tyo47>52z?g3?7f827o47?k5:p`<<72;q6h:4>a09>`<<6l<1vil50;0x9ad=9m?01ij51`28yvbd2909w0jl:0f6?8bc28k:7p}>e783>6}:9mh1=4h4=g395<`<58o<6518d894c228n>7p}>de83>7}:9l=1=4h4=0fg>4b23ty:hn4?:3y>5`1=k:16=im51e78yv7cm3:1>v3>de82e5=:9mo1=i;4}r3gb?6=:r7:hi4>a09>5a`=9m?0q~?j1;296~;6m80:h8521d795d67>52z?2a7<6l<16=h;51`38yv7b;3:1>v3>e282`0=:9l?1=lm4}r3f0?6=:r7:i94>d49>5`3=9k=0q~;;7;297~;2<80:5k5248795<`<5<>367p}:4283>7}:==81=l>4=460>4b23ty>894?:3y>114=9h;018:;:0f6?xu2<<0;6?u255795a3<5<>?66}:=<:1=4h4=2;6>4?a34?>47?k5:p107=838p18;7:0;e?83293;o96s|54094?4|5{t=<>1<74b234?>?7?n0:p103=838p18;::0f6?832;3;j=6s|54494?4|5{t=h?1<74?a34?j97?k5:p1m3;o96s|58d94?4|5<3n650;0x90?b28k:70;n0;3g1>{t=h81<74b234?j97?n0:p1d5=838p18o<:0f6?83f=3;j=6s|5`694?4|5283m70;m4;3g1>{t=k91<74?a34?i?7?k5:p1dg=838p18l;:0;e?83fi3;o96s|5``94?4|5{t=ho1<74b234?i?7?n0:p1d`=838p18oi:0f6?83e;3;j=6s|5c294?4|570;m3;3a3>{t=k81<74b234?i?7?ma:p1a5=838p18m7:0;e?83c;3;o96s|5e094?4|5{t=jk1<74g734?hm7?k5:p1fd=838p18m6:0c2?83dj3;o96s|5ba94?4|570;lb;3b5>{t=jo1<74b234?hn7?nc:p1a6=838p18j?:0f6?83c:3;j<6s|5e394?4|552z?7>4?a3491=i;4}r294?4|5:0:5k520;3g1>{t93:1>v3?:0c3?87=9m?0q~<50;0x95<6i816>7?k5:pb<<72;q6j?4>9g9>b<<6l<1vko50;1x9c5=9h:01k=51`389cg=9m?0q~h<:1818`428n>70h6:0c3?xua<3:1>v3i4;3g1>;a13;j=6s|f483>7}:n<0:h852f882ef=z{o<1<75<5s4l<64df3tym47>52z?e7p}ib;296~;aj3;o963>0082e5=z{oi1<7;|qe`?6=:r7mh7?k5:?244<6ij1vkk50;0x9cc=9m?01<>>:0`4?xuan3:1>v3if;3g1>;6880:nl5rs023>5<5s4;;<7?k5:?244<6jk1v<><:181877:3;2j63>0282`0=z{8:<6=4={<330?7>n27:<:4>d49~w4632908w0??4;3g1>;6800:m=5211;95d752z?240<6l<16==951`28yv77>3:1>v3>0782`0=:99=1=l?4}r33b?6=:r7:<54>9g9>55`=9m?0q~??8;297~;6810:h85210395d6<58;:6;|q24<<72;q6==751e78946a28k;7p}>0`83>7}:99k1=i;4=02e>4g63ty:55d=9m?01<>i:0c`?xu68j0;6?u211a95a3<58:m60d83>7}:99o1=i;4=02e>4de3ty:=:4?:3y>546=90l011283>7}:9891=i;4=034>4gd3ty:=94?:3y>542=9m?011g83>7}:9821=4h4=03e>4b23ty:>=4?:2y>54?=9h:0170?>f;3b5>{t98h1<74b234;:j7?nc:p54e=838p170?>f;3af>{t9;=1<74?a34;9;7?k5:p577=838p1<<>:0f6?875?3;j<6s|13094?4|5889670?=7;3bg>{t9;>1<74b234;9;7?m7:p573=838p1<<::0f6?875?3;im6s|13494?4|588=6{t9;31<74b234;9j7?n0:p57g=838p1<70?=f;3a3>{t9;n1<74b234;9j7?ma:p57c=838p1<?50;0x945628n>70?<7;3b4>{t9:81<74b234;8;7?n1:p565=838p1<=<:0f6?874?3;jo6s|12694?4|589?6;50;0x945228n>70?<7;3ae>{t9:<1<74b234;8;7?mb:p56c=838p1<=7:0;e?874m3;o96s|12;94?4|58926o50;0x945f28n>70?{t9:h1<74b234;8i7?nc:p56e=838p1<=l:0f6?874m3;i;6s|12f94?4|589o6{t9=:1<74b234;?:7?n0:p517=838p1<:>:0f6?873>3;j=6s|15094?4|58>9670?;6;3a3>{t9=>1<74b234;?:7?ma:p513=838p1<:::0f6?873>3;in6s|15a94?4|58><6<7i;<37g?7c=2wx=9950;1x942028n>70?;e;3b4>;65<5s4;?47?k5:?20f<6i91v<:6:18187313;o963>4b82e4=z{8>j6=4={<37e?7c=27:8n4>ab9~w42e2909w0?;b;3g1>;65<5s4;?h7?6f:?211<6l<1v<:j:181873m3;o963>5582e5=z{8>m6=4={<37b?7c=27:994>a09~w4372909w0?:0;3g1>;6==0:mn5rs072>5<5s4;>=7?k5:?211<6j>1v<;=:181872:3;o963>5582fd=z{8?86=4={<367?7c=27:994>bc9~w43d2909w0?:5;3:b>;6=j0:h85rs075>5<5s4;>:7?k5:?21f<6i91v<;8:181872?3;o963>5b82e4=z{8?36=4={<36ab9~w43>2909w0?:9;3g1>;6=j0:n:5rs07b>5<5s4;>m7?k5:?21f<6jh1v<;m:181872j3;o963>5b82fg=z{8<86=4={<36`?7>n27::>4>d49~w43b2909w0?:e;3g1>;6>:0:m=5rs07e>5<5s4;>j7?k5:?226<6i81v<8?:18187183;o963>6282ef=z{8<:6=4={<355?7c=27::>4>b69~w4052909w0?92;3g1>;6>:0:nl5rs04b>5<5s4;=87?6f:?22d<6l<1v<8::181871=3;o963>6`82e5=z{8<=6=4={<352?7c=27::l4>a09~w4002909w0?97;3g1>;6>h0:mn5rs04;>5<5s4;=47?k5:?22d<6j>1v<86:18187113;o963>6`82fd=z{8=:6=4={<35f?7>n27:;<4>d49~w40d2909w0?9c;3g1>;6?80:m=5rs04g>5<5s4;=h7?k5:?234<6i81v<8j:181871m3;o963>7082ef=z{8b69~w4172909w0?80;3g1>;6?80:nl5rs05:>5<5s4;<>7?6f:?23<<6l<1v<9<:181870;3;o963>7882e5=z{8=?6=4={<340?7c=27:;44>a09~w4122909w0?85;3g1>;6?00:mn5rs055>5<5s4;<:7?k5:?23<<6j>1v<98:181870?3;o963>7882fd=z{8=36=4={<34bc9~w4>72909w0?8a;3:b>;6090:h85rs05a>5<5s4;8182e4=z{8=o6=4={<34`?7c=27:4=4>ab9~w41b2909w0?8e;3g1>;6090:n:5rs05e>5<5s4;8682`0=z{8296=4={<3;6?7c=27:4:4>a19~w4>42909w0?73;3g1>;60>0:m<5rs0:7>5<5s4;387?k5:?2<2<6ij1v<6::18187?=3;o963>8682f2=z{82=6=4={<3;2?7c=27:4:4>b`9~w4>>2909w0?78;3:b>;6000:h85rs0;2>5<5s4;3m7?6f:?2=4<6l<1v<6m:18187?j3;o963>9082e5=z{82h6=4={<3;g?7c=27:5<4>a09~w4>c2909w0?7d;3g1>;6180:mn5rs0:f>5<5s4;3i7?k5:?2=4<6j>1v<6i:18187?n3;o963>9082fd=z{83;6=4={<3:4?7c=27:5<4>bc9~w4??2909w0?62;3:b>;6110:h85rs0;0>5<5s4;2?7?k5:?2==<6i91v<7;:18187><3;o963>9982e4=z{83>6=4={<3:1?7c=27:554>ab9~w4?12909w0?66;3g1>;6110:n:5rs0;4>5<5s4;2;7?k5:?2==<6jh1vqc987e83>4}zf>=51zm321a290:wp`879294?7|ug=<4<4?:0y~j21?:3:1=vsa76:0>5<6std<;5:50;3xyk100<0;62i6=4>{|l43=e=83;pqc988e83>4}zf>=3i7>51zm32>a290:wp`878294?7|ug=<5<4?:0y~j21>:3:1=vsa76;0>5<6std<;4:50;3xyk101<0;63i6=4>{|l434}zf>=2i7>51zm32?a290:wp`87`294?7|ug=5<6std<;l:50;3xyk10i<0;6ki6=4>{|l43de=83;pqc98ae83>4}zf>=ji7>51zm32ga290:wp`87c294?7|ug=5<6std<;o:50;3xyk10j<0;6hi6=4>{|l43ge=83;pqc98be83>4}zf>=ii7>51zm32da290:wp`87b294?7|ug=5<6std<;n:50;3xyk10k<0;6ii6=4>{|l43fe=83;pqc98ce83>4}zf>=hi7>51zm32ea290:wp`87e294?7|ug=5<6std<;i:50;3xyk10l<0;6ni6=4>{|l43ae=83;pqc98de83>4}zf>=oi7>51zm32ba290:wp`87d294?7|ug=5<6std<;h:50;3xyk10m<0;6oi6=4>{|l43`e=83;pqc98ee83>4}zf>=ni7>51zm32ca290:wp`87g294?7|ug=5<6std<;k:50;3xyk10n<0;6li6=4>{|l43ce=83;pqc98fe83>4}zf>=mi7>51zm32`a290:wp`881294?7|ug=3<<4?:0y~j2>7:3:1=vsa7920>5<6std<4=:50;3xyk1?8<0;68:182xh00921<7?t}o5;4<<728qvb:6?a;295~{i?1:i6=4>{|l4<5e=83;pqc970e83>4}zf>2;i7>51zm3=6a290:wp`880294?7|ug=3=<4?:0y~j2>6:3:1=vsa7930>5<6std<4<:50;3xyk1?9<0;6a;295~{i?1;i6=4>{|l4<4e=83;pqc971e83>4}zf>2:i7>51zm3=7a290:wp`883294?7|ug=3><4?:0y~j2>5:3:1=vsa7900>5<6std<4?:50;3xyk1?:<0;6{|l4<7e=83;pqc972e83>4}zf>29i7>51zm3=4a290:wp`882294?7|ug=3?<4?:0y~j2>4:3:1=vsa7910>5<6std<4>:50;3xyk1?;<0;6{|l4<6e=83;pqc973e83>4}zf>28i7>51zm3=5a290:wp`885294?7|ug=38<4?:0y~j2>3:3:1=vsa7960>5<6std<49:50;3xyk1?<<0;6i6=4>{|l4<1e=83;pqc974e83>4}zf>2?i7>51zm3=2a290:wp`884294?7|ug=39<4?:0y~j2>2:3:1=vsa7970>5<6std<48:50;3xyk1?=<0;6{|l4<0e=83;pqc975e83>4}zf>2>i7>51zm3=3a290:wp`887294?7|ug=3:<4?:0y~j2>1:3:1=vsa7940>5<6std<4;:50;3xyk1?><0;6{|l4<3e=83;pqc976e83>4}zf>2=i7>51zm3=0a290:wp`886294?7|ug=3;<4?:0y~j2>0:3:1=vsa7950>5<6std<4::50;3xyk1??<0;621<7?t}o5;3<<728qvb:68a;295~{i?1=i6=4>{|l4<2e=83;pqc977e83>4}zf>251zm3=1a290:wp`889294?7|ug=34<4?:0y~j2>?:3:1=vsa79:0>5<6std<45:50;3xyk1?0<0;6{|l4<=e=83;pqc978e83>4}zf>23i7>51zm3=>a290:wp`888294?7|ug=35<4?:0y~j2>>:3:1=vsa79;0>5<6std<44:50;3xyk1?1<0;6{|l4<4}zf>22i7>51zm3=?a290:wp`88`294?7|ug=3m<4?:0y~j2>f:3:1=vsa79c0>5<6std<4l:50;3xyk1?i<0;6{|l44}zf>2ji7>51zm3=ga290:wp`88c294?7|ug=3n<4?:0y~j2>e:3:1=vsa79`0>5<6std<4o:50;3xyk1?j<0;6{|l44}zf>2ii7>51zm3=da290:wp`88b294?7|ug=3o<4?:0y~j2>d:3:1=vsa79a0>5<6std<4n:50;3xyk1?k<0;6{|l44}zf>2hi7>51zm3=ea290:wp`88e294?7|ug=3h<4?:0y~j2>c:3:1=vsa79f0>5<6std<4i:50;3xyk1?l<0;6{|l44}zf>2oi7>51zm3=ba290:wp`88d294?7|ug=3i<4?:0y~j2>b:3:1=vsa79g0>5<6std<4h:50;3xyk1?m<0;6{|l4<`e=83;pqc97ee83>4}zf>2ni7>51zm3=ca290:wp`88g294?7|ug=3j<4?:0y~j2>a:3:1=vsa79d0>5<6std<4k:50;3xyk1?n<0;6{|l44}zf>2mi7>51zm3=`a290:wp`891294?7|ug=2<<4?:0y~j2?7:3:1=vsa7820>5<6std<5=:50;3xyk1>8<0;68:182xh01921<7?t}o5:4<<728qvb:7?a;295~{i?0:i6=4>{|l4=5e=83;pqc960e83>4}zf>3;i7>51zm3<6a290:wp`890294?7|ug=2=<4?:0y~j2?6:3:1=vsa7830>5<6std<5<:50;3xyk1>9<0;6a;295~{i?0;i6=4>{|l4=4e=83;pqc961e83>4}zf>3:i7>51zm3<7a290:wp`893294?7|ug=2><4?:0y~j2?5:3:1=vsa7800>5<6std<5?:50;3xyk1>:<0;6{|l4=7e=83;pqc962e83>4}zf>39i7>51zm3<4a290:wp`892294?7|ug=2?<4?:0y~j2?4:3:1=vsa7810>5<6std<5>:50;3xyk1>;<0;6{|l4=6e=83;pqc963e83>4}zf>38i7>51zm3<5a290:wp`895294?7|ug=28<4?:0y~j2?3:3:1=vsa7860>5<6std<59:50;3xyk1><<0;6i6=4>{|l4=1e=83;pqc964e83>4}zf>3?i7>51zm3<2a290:wp`894294?7|ug=29<4?:0y~j2?2:3:1=vsa7870>5<6std<58:50;3xyk1>=<0;6{|l4=0e=83;pqc965e83>4}zf>3>i7>51zm3<3a290:wp`897294?7|ug=2:<4?:0y~j2?1:3:1=vsa7840>5<6std<5;:50;3xyk1>><0;6{|l4=3e=83;pqc966e83>4}zf>3=i7>51zm3<0a290:wp`896294?7|ug=2;<4?:0y~j2?0:3:1=vsa7850>5<6std<5::50;3xyk1>?<0;621<7?t}o5:3<<728qvb:78a;295~{i?0=i6=4>{|l4=2e=83;pqc967e83>4}zf>351zm3<1a290:wp`899294?7|ug=24<4?:0y~j2??:3:1=vsa78:0>5<6std<55:50;3xyk1>0<0;6{|l4==e=83;pqc968e83>4}zf>33i7>51zm3<>a290:wp`898294?7|ug=25<4?:0y~j2?>:3:1=vsa78;0>5<6std<54:50;3xyk1>1<0;6{|l4=4}zf>32i7>51zm35<6std<5l:50;3xyk1>i<0;6{|l4=de=83;pqc96ae83>4}zf>3ji7>51zm35<6std<5o:50;3xyk1>j<0;6{|l4=ge=83;pqc96be83>4}zf>3ii7>51zm35<6std<5n:50;3xyk1>k<0;6{|l4=fe=83;pqc96ce83>4}zf>3hi7>51zm35<6std<5i:50;3xyk1>l<0;6{|l4=ae=83;pqc96de83>4}zf>3oi7>51zm35<6std<5h:50;3xyk1>m<0;6{|l4=`e=83;pqc96ee83>4}zf>3ni7>51zm35<6std<5k:50;3xyk1>n<0;6{|l4=ce=83;pqc96fe83>4}zf>3mi7>51zm3<`a290:wp`8a1294?7|ug=j<<4?:0y~j2g7:3:1=vsa7`20>5<6std8:182xh0i921<7?t}o5b4<<728qvb:o?a;295~{i?h:i6=4>{|l4e5e=83;pqc9n0e83>4}zf>k;i7>51zm3d6a290:wp`8a0294?7|ug=j=<4?:0y~j2g6:3:1=vsa7`30>5<6stda;295~{i?h;i6=4>{|l4e4e=83;pqc9n1e83>4}zf>k:i7>51zm3d7a290:wp`8a3294?7|ug=j><4?:0y~j2g5:3:1=vsa7`00>5<6std{|l4e7e=83;pqc9n2e83>4}zf>k9i7>51zm3d4a290:wp`8a2294?7|ug=j?<4?:0y~j2g4:3:1=vsa7`10>5<6std:50;3xyk1f;<0;6{|l4e6e=83;pqc9n3e83>4}zf>k8i7>51zm3d5a290:wp`8a5294?7|ug=j8<4?:0y~j2g3:3:1=vsa7`60>5<6stdi6=4>{|l4e1e=83;pqc9n4e83>4}zf>k?i7>51zm3d2a290:wp`8a4294?7|ug=j9<4?:0y~j2g2:3:1=vsa7`70>5<6std{|l4e0e=83;pqc9n5e83>4}zf>k>i7>51zm3d3a290:wp`8a7294?7|ug=j:<4?:0y~j2g1:3:1=vsa7`40>5<6std<0;6{|l4e3e=83;pqc9n6e83>4}zf>k=i7>51zm3d0a290:wp`8a6294?7|ug=j;<4?:0y~j2g0:3:1=vsa7`50>5<6std21<7?t}o5b3<<728qvb:o8a;295~{i?h=i6=4>{|l4e2e=83;pqc9n7e83>4}zf>k51zm3d1a290:wp`8a9294?7|ug=j4<4?:0y~j2g?:3:1=vsa7`:0>5<6std{|l4e=e=83;pqc9n8e83>4}zf>k3i7>51zm3d>a290:wp`8a8294?7|ug=j5<4?:0y~j2g>:3:1=vsa7`;0>5<6std{|l4e4}zf>k2i7>51zm3d?a290:wp`8a`294?7|ug=jm<4?:0y~j2gf:3:1=vsa7`c0>5<6std{|l4ede=83;pqc9nae83>4}zf>kji7>51zm3dga290:wp`8ac294?7|ug=jn<4?:0y~j2ge:3:1=vsa7``0>5<6std{|l4ege=83;pqc9nbe83>4}zf>kii7>51zm3dda290:wp`8ab294?7|ug=jo<4?:0y~j2gd:3:1=vsa7`a0>5<6std{|l4efe=83;pqc9nce83>4}zf>khi7>51zm3dea290:wp`8ae294?7|ug=jh<4?:0y~j2gc:3:1=vsa7`f0>5<6std{|l4eae=83;pqc9nde83>4}zf>koi7>51zm3dba290:wp`8ad294?7|ug=ji<4?:0y~j2gb:3:1=vsa7`g0>5<6std{|l4e`e=83;pqc9nee83>4}zf>kni7>51zm3dca290:wp`8ag294?7|ug=jj<4?:0y~j2ga:3:1=vsa7`d0>5<6std{|l4ece=83;pqc9nfe83>4}zf>kmi7>51zm3d`a290:wp`8b1294?7|ug=i<<4?:0y~j2d7:3:1=vsa7c20>5<6std8:182xh0j921<7?t}o5a4<<728qvb:l?a;295~{i?k:i6=4>{|l4f5e=83;pqc9m0e83>4}zf>h;i7>51zm3g6a290:wp`8b0294?7|ug=i=<4?:0y~j2d6:3:1=vsa7c30>5<6stda;295~{i?k;i6=4>{|l4f4e=83;pqc9m1e83>4}zf>h:i7>51zm3g7a290:wp`8b3294?7|ug=i><4?:0y~j2d5:3:1=vsa7c00>5<6std{|l4f7e=83;pqc9m2e83>4}zf>h9i7>51zm3g4a290:wp`8b2294?7|ug=i?<4?:0y~j2d4:3:1=vsa7c10>5<6std:50;3xyk1e;<0;6{|l4f6e=83;pqc9m3e83>4}zf>h8i7>51zm3g5a290:wp`8b5294?7|ug=i8<4?:0y~j2d3:3:1=vsa7c60>5<6stdi6=4>{|l4f1e=83;pqc9m4e83>4}zf>h?i7>51zm3g2a290:wp`8b4294?7|ug=i9<4?:0y~j2d2:3:1=vsa7c70>5<6std{|l4f0e=83;pqc9m5e83>4}zf>h>i7>51zm3g3a290:wp`8b7294?7|ug=i:<4?:0y~j2d1:3:1=vsa7c40>5<6std<0;6{|l4f3e=83;pqc9m6e83>4}zf>h=i7>51zm3g0a290:wp`8b6294?7|ug=i;<4?:0y~j2d0:3:1=vsa7c50>5<6std21<7?t}o5a3<<728qvb:l8a;295~{i?k=i6=4>{|l4f2e=83;pqc9m7e83>4}zf>h51zm3g1a290:wp`8b9294?7|ug=i4<4?:0y~j2d?:3:1=vsa7c:0>5<6std{|l4f=e=83;pqc9m8e83>4}zf>h3i7>51zm3g>a290:wp`8b8294?7|ug=i5<4?:0y~j2d>:3:1=vsa7c;0>5<6std{|l4f4}zf>h2i7>51zm3g?a290:wp`8b`294?7|ug=im<4?:0y~j2df:3:1=vsa7cc0>5<6std{|l4fde=83;pqc9mae83>4}zf>hji7>51zm3gga290:wp`8bc294?7|ug=in<4?:0y~j2de:3:1=vsa7c`0>5<6std{|l4fge=83;pqc9mbe83>4}zf>hii7>51zm3gda290:wp`8bb294?7|ug=io<4?:0y~j2dd:3:1=vsa7ca0>5<6std{|l4ffe=83;pqc9mce83>4}zf>hhi7>51zm3gea290:wp`8be294?7|ug=ih<4?:0y~j2dc:3:1=vsa7cf0>5<6std{|l4fae=83;pqc9mde83>4}zf>hoi7>51zm3gba290:wp`8bd294?7|ug=ii<4?:0y~j2db:3:1=vsa7cg0>5<6std{|l4f`e=83;pqc9mee83>4}zf>hni7>51zm3gca290:wp`8bg294?7|ug=ij<4?:0y~j2da:3:1=vsa7cd0>5<6std{|l4fce=83;pqc9mfe83>4}zf>hmi7>51zm3g`a290:wp`8c1294?7|ug=h<<4?:0y~j2e7:3:1=vsa7b20>5<6std8:182xh0k921<7?t}o5`4<<728qvb:m?a;295~{i?j:i6=4>{|l4g5e=83;pqc9l0e83>4}zf>i;i7>51zm3f6a290:wp`8c0294?7|ug=h=<4?:0y~j2e6:3:1=vsa7b30>5<6stda;295~{i?j;i6=4>{|l4g4e=83;pqc9l1e83>4}zf>i:i7>51zm3f7a290:wp`8c3294?7|ug=h><4?:0y~j2e5:3:1=vsa7b00>5<6std{|l4g7e=83;pqc9l2e83>4}zf>i9i7>51zm3f4a290:wp`8c2294?7|ug=h?<4?:0y~j2e4:3:1=vsa7b10>5<6std:50;3xyk1d;<0;6{|l4g6e=83;pqc9l3e83>4}zf>i8i7>51zm3f5a290:wp`8c5294?7|ug=h8<4?:0y~j2e3:3:1=vsa7b60>5<6stdi6=4>{|l4g1e=83;pqc9l4e83>4}zf>i?i7>51zm3f2a290:wp`8c4294?7|ug=h9<4?:0y~j2e2:3:1=vsa7b70>5<6std{|l4g0e=83;pqc9l5e83>4}zf>i>i7>51zm3f3a290:wp`8c7294?7|ug=h:<4?:0y~j2e1:3:1=vsa7b40>5<6std<0;6{|l4g3e=83;pqc9l6e83>4}zf>i=i7>51zm3f0a290:wp`8c6294?7|ug=h;<4?:0y~j2e0:3:1=vsa7b50>5<6std21<7?t}o5`3<<728qvb:m8a;295~{i?j=i6=4>{|l4g2e=83;pqc9l7e83>4}zf>i51zm3f1a290:wp`8c9294?7|ug=h4<4?:0y~j2e?:3:1=vsa7b:0>5<6std{|l4g=e=83;pqc9l8e83>4}zf>i3i7>51zm3f>a290:wpsr}AB@=7f;3ohj?m?08CDG}7uIJ[wpNO \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngc b/cpld/XC95144XL/WarpSE.ngc new file mode 100644 index 0000000..9397fdb --- /dev/null +++ b/cpld/XC95144XL/WarpSE.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$5104=79;1:>7AZTQWW>WG;980;2<:4228JJUSS2HUM_O2=3;3=b>5=AGZ^X7]X<383:1=FFM8?7L@K359BJA233HDO995NNE47?DHC?=1JBI66;@]EWG:68730MRH\B=32:<=FWOYI0<<19:C\BVD;9:427LQISC>20;?89B[CUE48<556OPFR@?528>3HUM_O2>8?;8EZ@TJ5;2255N_GQA848>3HUM_O2=0?;8EZ@TJ58:245N_GQA8749j2KTJ^L32282:<=FWOYI0?=18:C\BVD;:720MRH\B=1=<>GXNZH78364A^DPF93902KTJ^L36?:8EZ@TJ5=546OPFR@?<;>9^KAQCeGXNZHT>=QFBTDg?DYA[KU9=RGMUGf8EZ@TJV89SDLZFe9B[CUEW;9TEO[Ic:C\BVDX:VCIYKm4A^DPFZ5XAK_Mo6OPFR@\0ZOE]Oi0MRH\B^7\MGSAk2KTJ^LP6^KAQCeGXNZHT4RGMUGa8EZ@TJV3TEO[I4:@BGQ2EKC;?NB55LLJ06AK7d3JF@>8KA_N@VB<=DDB8SSDAMe:AOO7^XAFHTEO[I7:AOOZ@TJj1H@FQISC]JFP@03JF@SDAMc:AOOZOHJVCIYK=4CMP:?FIJE@^_II94CSGBP@B63M=0Hlzn<1<4?Agsi5;5;6Jfs``oac=Cazki`hQnne2345773Mcxmobj_`lg456798:0Hd}nbmg\ekb789:9==5Kircah`Yffm:;<==>0:FjwddkmVkeh=>?0538A0=BW@EIm6KPIN@\MGSA:2LO86HKCD38C6=@FM;0E?5F039J57=N:;1B??5F439J17=N>;1B;95FABQ7?LDRN01BBDZ\T@VF7>OI^=1BCN]9;HRO6w6b3@ZG>>Pnnv3457a3@ZG>>Pnnv34576>2C[@?|>7:NLCLEFDh1G\@QWOS0\57=I8;1E=?5A269MAQQHZB;0C95@BTD6?JDRNL90C_<<;NP07>IU<81[o6^!21305574WE>0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM=1[me:;Qcqo4716:PB846902XJ0>49QE949=2XJ0>0:;SC?0;3285]A=4=1>TF4>4>7_O38?78VD:>6<1YM@M\9:PB[5YHJ\Lj7_OP11]LFP@f3[KT=TFW=UDNXH6;SC\1ZIE]O30^LQ9_N@VB<=UIV=TCO[I9:PB[=YHJ\L27_OP9^MAQC1?119QAVBhflUjbi>?01324>TB[MeeiRoad12347773[OXHb`j_`lg4567;<1YI^|?5:PFWw723[OX~?;4RNO@Wd=UmhnrSDAMS89Qadb~W[KF56\jfTpdakr13[oxyaz9;RAPMFU13ZE^^NK:;RU?4;3<[^6:2:5\W=094;3<[^69245\W^2\MGSA12Y\Sd:ZJHLH_%QNI,= > RVVF%6)9)KXODG;;YMQ63=_[]FBN:5WSU]DJA1Yffm:;<=?6;^cm`5678;n0ocz Rdd@jq:76m1hby!]egAmp979l2iex"\jfBlw878c3jd#_kiCov?7;b7:a=df}%YikMat=7=`>ei|&XnjN`{<7ei|&XnjN`{_604?fhs'[omOczPM`fg[Zgcl9:;:5lnu-QacEi|VGjhiQPaef3456XEqeyS_k|umv?6;403jd#_kiCov\IdbcWVkoh=>?0^O{kwYUmzgx1=1269`jq)UmoIexRCnde]\eab789:TAua}_Sgpqir;<78<7n`{/SgeGkrXEhnoSRokd1234ZKg{UYi~{ct=7=62=df}%YikMat^Ob`aYXimn;<=>PMymq[Wct}e~7:3<8;blw+WcaKg~TAljk_^cg`5678VGscQ]erwop919:h1hby!]egAmpZKflmUTmij?012\V`urd}U;Sca{0123577?_^cm`5678;80ocz Rdd@jqYJimnTSljk0123[Zgil9:;<<<>;blw+WcaKg~TAljk_^cg`5678VUjbi>?0001?fhs'[omOczPM`fg[Zgcl9:;?01]\ekb78989>6mat.PfbFhsWDkohRQnde2345YXign;<=<>209`jq)UmoIexRCnde]\eab789:TSl`k012067=df}%YikMat^Ob`aYXimn;<=>P_`lg45649;;0ocz Rdd@jqYJimnTSljk0123[Zgil9:;8?<4cov,V``Df}UFmijP_`fg4567WVkeh=>?4002?fhs'[omOczPM`fg[Zgcl9:;?0^]bja678<;::6mat.PfbFhsWVkoh=>?0=2=53=df}%YikMat^]b`a67896:2<84cov,V``Df}UTmij?012?6;713jd#_kiCov\[dbc89:;0>0>6:amp*TbnJdSRokd12349299?1hby!]egAmpZYflm:;<=2:>048gkr(ZllHbyQPaef3456;>7;=7n`{/SgeGkrXWhno<=>?<6?2058gkr(ZllOcckPclr\at67899:;6mat.PfbAiimVif|Rk~01230417:amp*TbnMeeiRmbp^gr4567>8=0ocz RddGkkcXkdzTi|>?01523>ei|&XnjIaae^antZcv89:;4<94cov,V``CggoTo`~Pep2345?6<2iex"\jfEmmaZhh|9:;=h5lnu-QacSuold?h5lnu-QacSuold8n5lnu-Wmhch}}K:86mat.Vji`ir|HUTc>?0136?fhs']cfib{{A^]lv56788;=7n`{/UknajssIVUd~=>?00221>ei|&^bahazt@]\kw67898:96mat.Vji`ir|HUTc>?01121>ei|&^bahazt@]\kw6789>:96mat.Vji`ir|HUTc>?01721>ei|&^bahazt@]\kw6789<:96mat.Vji`ir|HUTc>?01521>ei|&^bahazt@]\kw67892:96mat.Vji`ir|HUTc>?01;`?fhs']cfib{{Bg9`jq)SadodyyL_rd34?fhs']cfib{{BQpf[Ziu89:;=55lnu-Wmhch}}H[~hQPos23457602iex"ZfmdmvpGVumVUd~=>?0337?fhs']cfib{{B^]lv56788?0ocz ThofkprEWVey<=>?1078gkr(\`gncxzM_^mq4567:8?0ocz ThofkprEWVey<=>?369`w*OFKZ20o~!FABQ2<>et'@KH_?64cr-JEFU4?2ix#DALS99`w*OHKZ;37n} INAP6==d{&CDO^=7;bq,MJET<11h"G@CR7;?fu(AFIX:55ls.KLGV1?3jy$EBM\869`w*ICKZ20o~!@DBQ2<>et'FNH_?64cr-L@FU402ix#BJLS5:8gv)UIDIX56m|/SCNGV7>3jy$^LCLS3;8gv)UIDIX?45ls.PBIFU312ix#_OBCR7:?fu(ZHGH_;74cr-QEHET?01h"\NMBQ;<>et'[EFO^74cr-QKHET9h1h"\@MBQ24d=d{&XDAN]>1`9`w*THEJY:>l5ls.PLIFU6;h1h"\@MBQ20d=d{&XDAN]>589`w*THEJY956m|/SMNGV5>3jy$^BCLS5;8gv)UGDIX945ls.PLIFU112ix#_ABCR5:?fu(ZFGH_574cr-QKHET101h"]LSHAPe>et'ZIXEN]>a:ap+VETAJY9m6m|/RAPMFU4i2ix#^M\IBQ7e>et'ZIXEN]:119`w*Pnl[KFO^Y]65i25>et'_co^LCLSVP50n7692ix#[gkR@O@WRT11:ap+SocZHGH_Z\94j625>et'_co^LCLSVP50n3692ix#[gkR@O@WRT11:ap+SocZHGH_Z\94j:`?fu(fF|n~aov0068gv)iGoy`lw?_`lg45679<1h"`@vdpoe|6Xign;<=>>c:ap+kIqm{fju?1038bvd(IZxmSca{01225==a{k%IMNZ6;gqa+GGD\830j~l B@AW6==a{k%II_\n;gqa+GCUZ9x:>6h|b.@FVW6uWVey<=>?129ewg)EM[X;~RQ`r12344743oyi#OK]R1p\[jt789:956h|b.@FVW7f3oyi#OK]R0p26>`tj&HN^_?}_^mq45679:1mo!MESP2vZYhz9:;<`tj&HN^_<6;gqa+GCUZ:30j~l BDPQ0<=a{k%II_\:b:dpf*Tbims;~<=4fr`,V`gcq9xTSb|?01220>`tj&Xnmiw?r^]lv56788;?7k}m/Sgb`|6uWVey<=>?2068bvd(Zlkou=|P_np34564j2lxn"\jae{2v45?0037?cue'[ojht?}_^mq4567:8>0j~l Rdcg}4tXWfx;<=>`tj&Xnmiw=r^]lv56788;?7k}m/Sgb`|4uWVey<=>?2068bvd(Zlkou?|P_np34564991mo!]e`fz[jt789;:=6h|b.PfeaXg{:;<1:dpf*TbimsTc>?0004?cue'_ZJ==5isc-UTDYXign;<=>>1:dpf*PWIVUjbi>?013e?cue'_ZJSRa}012355=a{k%]\LQPos23457682lxn"X_A^]lv5678;;;7k}m/WRB[Ziu89:;?<>4fr`,RUGXWfx;<=>;119ewg)QXHUTc>?01724>`tj&\[MRQ`r12343773oyi#[^N_^mq4567?8:0j~l VQC\[jt789:3==5isc-UTDYXg{:;<=7n;gqa+kDBZ[;j7k}m/oFWEFM6:2lxn"`KT@AH[Ziu89:;=>5isc-m@QGDCVUd~=>?0030?cue'gN_MNEP_np3456502lxn"`YP@;8bvd(f_ZJ=45isc-mRUG512cdn`!NLD225>ohjd%J@H>Pmtz34566:2cdn`!NLD2\ip~789::=?5foco,EIC7Wds<=>?2008mjdj'HFN27damm.@FVWgi;hmai*DBZ[xmSC>>b:klfh)EM[Xy~<=4in`n+GCUZ{xTbbz?01320>ohjd%II_\}r^llp56798=0eblb/CEe?liee&HLSRa}0123b>ohjd%IKRQ`r123546?10;8mjdj'KMy=l5foco,@QGDCk1bcoc DUC@O4eohjd%OXLMDrs37?liee&N_MNE}r^llp56798?0eblb/EVBGNtuWge<=>>1`9jkgk(MZ6;2l5foco,AV:66h1bcoc ER>1:d=ngkg$I^2<>`9jkgk(MZ6?245foco,AVY79;1bcoc ER]3[Zgil9:;<<=4in`n+@UX8VUjbi>?01327>ohjd%N_R>P_`lg4567:890eblb/DQ\4ZYffm:;<==>3:klfh)B[V:TSl`k01230<=ngkg$I^Q>139jkgk(MZU:SRoad123445?189jkgk(MZU8=?5foco,AVY4WVkeh=>?0018mjdj'LYT?RQnne23457>3`eia"K\_531?liee&OXS9QPaof34566;2cdn`!JS^6\[dhc89:;=?:4in`n+@UXEhnoSRokd1234ZKg{UYi~{ct=3=61=ngkg$I^QBaef\[dbc89:;S@v`r^Pfwpjs4;4986g`bl-FWZKflmUTmij?012\I}iuW[oxyaz33?07?liee&OXS@okd^]b`a6789UFtb|PRdqvhq:368o0eblb/DQ\IdbcWVkoh=>?0^]bja6789;m7damm.GP[HgclVUjhi>?01]\ekb789::=h5foco,AVYJimnTSljk0123[Zgil9:;=e:klfh)B[VGjhiQPaef3456XWhdo<=>=1g9jkgk(MZUFmijP_`fg4567WVkeh=>?2031?liee&OXS\Q>_np34566;2cdn`!JS^S\5Ziu89:;=<=4in`n+@UXYV;Tc>?01027>ohjd%N_R_P1^mq4567;890eblb/DQ\[dbc89:;0<0>3:klfh)B[VUjhi>?01>1:45g9jkgk(MZUjbi>?0033?liee&OXSl`k01225467f:klfh)B[Vey<=>?9`9jkgk(M]KHG<<4in`n+@RFKBUjbi>?0130?liee&O_MNEPaof345669:1bcoc EUC@OZgil9:;:129jkgk(M]KHGRoad1234312:klfh)NGHI_Sl`k012256=ngkg$EBOLT^cm`56798;87damm.KLEFRXign;<=?=129jkgk(AFKHXRoad12356743`eia"G@ABV\ekb789;?=>5foco,MJGD\Vkeh=>?1430?liee&CDMNZPaof345719:1bcoc INC@PZgil9:;=:?=;hmai*OHIJ^Taxv?01227>ohjd%BCLM[_lw{45679890eblb/HMBGQYj}q:;<=<>3:klfh)NGHI_S`{w0123745:?6g`bl-JKDESWds<=>?5018mjdj'@EJOYQbuy234506;2cdn`!FO@AW[hs89:;;o5foco,MJDBZ[;87damm.KLF@TUWds<=>?159jkgk(AFHN^_Qbuy234576=2cdn`!FOCGQVZkrp9:;<<>>5:klfh)NGKOY^Rczx1234476=2cdn`!FOCGQVZkrp9:;<<<>5:klfh)NGKOY^Rczx1234456=2cdn`!FOCGQVZkrp9:;<<:>4:klfh)NGKOY^Rczx12347733`eia"G@BDPQ[hs89:;?<:4in`n+LIEM[XTaxv?012751=ngkg$EBLJRS]nq}6789?:86g`bl-JKGCUZVg~t=>?0737?liee&CDNH\]_lw{4567?8>0eblb/HMAAWTXe|r;<=>7159jkgk(AFHN^_Qbuy2345?e3`eia"G@RDSq54=ngkg$EB]PFRO\BCb69=1bcoc INQ\BVKXNOn:!D`>5:klfh)NGZUM_@QIFe3.Mk76=2cdn`!FOR]EWHYANm;&Ec<>5:klfh)NGZUM_@QIFe3.Mk56=2cdn`!FOR]EWHYANm;&Ec:>1:klfh)NGZUM_@QIFe020>ohjd%BC^QISL]EBa4*Ag;>7damm.KLWZ@TEVLMh?#Fn035?liee&CD_RH\M^DE`7+Nf8:::6g`bl-JKVYA[DUMJi<"Io3253=ngkg$EB]PFRO\BCb5%@d:><;4in`n+LITWOYFSKHk2,Km643149jkgk(AFYTJ^CPFGf0)Lh59<1bcoc INQ\BVKXNOn8!D`<149jkgk(AFYTJ^CPFGf0)Lh39<1bcoc INQ\BVKXNOn8!D`:149jkgk(AFYTJ^CPFGf0)Lh19<1bcoc INQ\BVKXNOn8!D`8149jkgk(AFYTJ^CPFGf0)Lh?9<1bcoc INQ\BVKXNOn8!D`68:klfh)UMZ30eblb/SGP5d=ngkg$^H]}f`9jkgk(ZLYy~<<4in`n+WCTz{Uecy>?0030?liee&XN_|Pnnv34576i2cdn`!YP@pee>ohjd%]\L|}139jkgk(^YKy~R``t123545?00120>ohjd%eM^azt^llp5679=n0eblb/oClqqIB9k1bcoc nEkmH@753`eia"`KioNF[jt789::?6g`bl-m@lhKMVey<=>?1b9jkgk(fMe~xBK>4:klfh)iLfCHQaou2344723`eia"`KotvLAZhh|9:;=?:;hmai*hCg|~DIR``t12351723`eia"`KotvLAZhh|9:;=874in`n+kJC[8;0eblb/oNGWZhh|9:;=<<4in`n+kJC[Vddx=>?1031?liee&dGH^Qaou234446:2cdn`!aLEQ\jjr789;8==5foco,jIBTWfx;<=?>1:klfh)iDMYTc>?00325>ohjd%e@I]Pos23444692cdn`!aLEQ\kw67889:=6g`bl-mHAUXg{:;<<:>1:klfh)iDMYTc>?00725>ohjd%e@I]Pos23440692cdn`!aLEQ\kw6788=27damm.lV@V763`eia"`ZDR]mkq6788;97damm.lV@VYig}:;<2:klfh)i]MYTbbz?013157=ngkg$bXJ\_omw4566;01bcoc nWOB57=ngkg$b[CN_^cm`5678890eblb/oTNEZYffm:;<=?>1:klfh)i^DKTSb|?01226>ohjd%eZ@OP_np345669;1bcoc nWOB[Ziu89:;><<4in`n+kPJIVUd~=>?0231?liee&d]ALQPos234526:2cdn`!aVLC\[jt789:>=?5foco,jSKFWVey<=>?6008mjdj'g\FMRQ`r12342763`eia"`YM@]bja6789;97damm.lUIDYffm:;<=?>2:klfh)i^DKTmcj?012157=ngkg$b[CN_`lg4567;880eblb/oTNEZgil9:;<9?=;hmai*hQEHUjbi>?01726>ohjd%eZ@OPaof345619;1bcoc nWOB[dhc89:;;45focq,EIC712cdn~!NLD325>ohjz%J@H?P_np34576:2cdn~!NLD3\[jt789;:56g`br-AAWT6:2cdn~!MESP\[dhc89:;=>5focq,F@TUWVkeh=>?0032?lie{&HN^_QPos2345753`ei"LJRS]\kw6789;:>6g`br-AAWTXWfx;<=>=139jkgu(JLXYSRa}01237442:klfv)EM[XTSb|?0125f>ohjz%H`ho}1068mjdt'Jfnm?P_`lg45679=1bco} Cmgbv4Yffm:;<=?m;hmaw*OHIJ^y56g`br-JKI6692cdn~!FOM2\ip~789::>6g`br-JKI6Xe|r;<=>>139jkgu(AFF;S`{w01236442:klfv)NGE:Taxv?0126=>ohjz%BCA?>1:klfv)NGE;Tbbz?01326>ohjz%BCA?Pnnv34576i2cdn~!FOSGR57=ngky$EB\JQ^ov|5678890ebl|/HMQATYj}q:;<=?>4:klfv)NG[OZS`{w012355743`ei"G@RDS\ip~789:9=>5focq,MJTBYVg~t=>?0230?lie{&CD^H_Pmtz345639:1bco} INPFUZkrp9:;<8?<;hmaw*OHZL[Taxv?012556=ngky$EB\JQ^ov|5678>;87dams.KLV@WXe|r;<=>7129jkgu(AFXN]Rczx1234Pmtz34566;2cdn~!FOSU3[hs89:;=<=4in`p+LIU_9Ufyu>?01027>ohjz%BC_Y?_lw{4567;890ebl|/HMQS5Yj}q:;<=:>2:klfv)NG[];Sca{012256=ngky$EB\X0^llp56798;87dams.KLVR6Xff~;<=?=129jkgu(AFX\5focq,MJTP8Vddx=>?1430?lie{&CD^Z>Pnnv345719:1bco} INPT4Zhh|9:;=:o4in`p+LIU_8;97dams.KLVR7Xign;<=>>3:klfv)NG[]:Sl`k0123545?3018mjdt'@EY[?014`?lie{&CD^hoky078mjdt'@EYiljv_^cm`56788<0ebl|/HMQadb~WVkeh=>?0035?lie{&CD^hoky^]bja6789827dams.KLQ5763`ei"G@U1]nq}6789;97dams.KLQ5Yj}q:;<=?>2:klfv)NG\:Taxv?012157=ngky$EB[?_lw{4567;880ebl|/HMV4Zkrp9:;<9?=;hmaw*OH]9Ufyu>?017:?lie{&CDY;hmaw*OH]8Uecy>?0031?lie{&CDY;hmaw*IiklUTc>?0131?lie{&EeohQPos234576:2cdn~!@nbg\[jt789:9=?5focq,KkebWVey<=>?3008mjdt'FdhiRQ`r12341753`ei"Aacd]\kw6789?:>6g`br-LjfcXWfx;<=>9139jkgu(GginSRa}01233463:klfv)W[VLXARHId3/Jj42ohjz%[_RH\M^DE`7+Nf:;?7dams.RP[CUJWOLo> Ga4068mjdt'YYTJ^CPFGf1)Lh29=1bco} PR]EWHYANm8&Ec8>4:klfv)W[VLXARHId3/Jj2733`ei"^\_GQN[C@c:$Ce4<:4in`p+UUXNZGTJKj=-Hl:55=ngky$\^QISL]Lqq6692cdn~!_S^DPIZIr|9;:=6g`br-SWZ@TEVE~x=<>2:klfv)W[Vif|Rk~0123e>ohjz%Yiljvb:klfv)Umhnr=o5focq,V`gcq;h0ebl|/Sgb`|56:2cdn~!]e`fz[dhc89:;=>5focq,V`gcqVkeh=>?0030?lie{&XnmiwPaof345659:1bco} Rdcg}Zgil9:;<>?<;hmaw*TbimsTmcj?012756=ngky$^hoky^cm`5678hFLf@H>0bNO\9:l@EVYHJ\Lj7cJ[ABI\BVDa3gN_MNEPFR@\KGSAi2dOXLMD_HMAb>hC\HI@SDAM_H@VB3=iL`dGIo5aDhlOAZIE]O<0bIgaOD`8jAoiGLUDNXH8;oFlqqIBk2dOcxz@E^MAQC3l5aIQN1[LDRN11e@I]PFR@g?kJC[VLXNRGMUG:8jIBTW@EIi6`CDR]JKGYHJ\LN?6`@E99mK@YHJ\L<7c\NMMUFg>hUIDF\IRAMUG58jWGJ]^Oh7c\NMTUF[JDRN=1e^L]6;oPBWZIE]O>0b_K\9:lQAVYNJ\L=7c\@MBQa?kTHEJYTCO[I6:lQKHQBj2dYC@YJ_N@VB==i]MYTJ^Lk;oWGWZ@TJVCIYK64nTFP[LIEm2d^H^QFOC]LFP@B02d]ALQFOCg8jSKFW@EISBLZFD:8jSVFWOYIh6`YP@]EWGYHJ\L37cX_A^KLFa=i^YKTEBLPICWE3>hPMVLXNn5aWD]EWGYNJ\Lm7ca{0123[dhc89:;==5aou2345Yffm:;<=?>1:llp5678Vkeh=>?00225>hh|9:;?0^cm`567888:=6``t1234Zgil9:;<<=>1:llp5678Vkeh=>?00624>hh|9:;?_`lg4567;8:0bbz?012\ekb789:?==5aou2345Yffm:;<=;>0:llp5678Vkeh=>?0733?kis89:;Sl`k0123346Paof3456?991ecy>?01]bja6789327ob/CC@Pw>tfe&EeohQPos23457692xja"Aacd]\kw67898:=6|nm.Mmg`YXg{:;<==>1:pbi*IiklUTc>?01625>tfe&EeohQPos23453692xja"Aacd]\kw6789?1078vdk(ZHGOE^?Pmtz3456688?0~lc R@OGMV7Xe|r;<=>>1078vdk(ZHGOE^?Pmtz34566:8?0~lc R@OGMV7Xe|r;<=>>3078vdk(ZHGOE^?Pmtz34566<8?0~lc R@OGMV7Xe|r;<=>>5078vdk(ZHGOE^?Pmtz34566>8?0~lc R@OGMV7Xe|r;<=>>7078vdk(ZHGOE^?Pmtz3456608?0~lc R@OGMV7Xe|r;<=>>9068vdk(ZHGOE^?Pmtz345659<1ym`!]ALFJW4Yj}q:;<=4:pbi*TFEMCX=Rczx12341733{kf#_OBDHQ2[hs89:;9<:4r`o,VDKCAZ;Taxv?012551=uid%YM@JFS0]nq}6789=:86|nm.PBIAOT9Vg~t=>?0937?wgj'[KFHD]>_lw{45671k1ym`!]ALFJW7743{kf#_OBDHQ1[Ziu89:;=95}al-QEHBN[;UTc>?01320>tfe&XJAIG\2^]lv5678;;?7ob/SCN@LU5WVey<=>?3068vdk(ZHGOE^4:pbi*TFEMCX>RQ`r12343733{kf#_OBDHQ1[Ziu89:;;<:4r`o,VDKCAZ8TSb|?012;f>tfe&XJAIG\3c9qeh)UIDNB_9l4r`o,VDKCAZ?h7ob/SCNV`gcq8>0~lc R@OQadb~Wds<=>?149qeh)UIDXnmiwPmtz345669?1ym`!]ALPfeaXe|r;<=>>0048vdk(ZHGYiljv_lw{456798;=7ob/SCNV`gcqVg~t=>?00022>tfe&XJA_kndx]nq}6789;8=85}al-QEHTbimsTaxv?012150=uid%YM@\jae{\ip~789:8=85}al-QEHTbimsTaxv?012750=uid%YM@\jae{\ip~789:>=85}al-QEHTbimsTaxv?012550=uid%YM@\jae{\ip~789:<=85}al-QEHTbimsTaxv?012;50=uid%YM@\jae{\ip~789:256|nm.PBW@J692xja"\NSDN\ip~789::>6|nm.PBW@JXe|r;<=>>129qeh)UIZOGS`{w012355743{kf#_O\EM]nq}6789;:=>5}al-QEVCKWds<=>?1330?wgj'[KXIAQbuy2345749:1ym`!]ARGO[hs89:;=9?<;scn+WGTMEUfyu>?013656=uid%YM^KC_lw{45679?;87ob/SCPAIYj}q:;<=?8129qeh)UIZOGS`{w01235=743{kf#_O\EM]nq}6789;2=?5}al-QEVCKWds<=>?2018vdk(ZHYN@Rczx1234766;2xja"\NSDN\ip~789:9=<=4r`o,VDUBDVg~t=>?03027>tfe&XJ_HBPmtz34565;890~lc R@QFHZkrp9:;3:pbi*TF[LFTaxv?01211456|nm.PBW@JXe|r;<=><139qeh)UIZOGS`{w01230442:pbi*TF[LFTaxv?012457=uid%YM^KC_lw{45670880~lc R@QFHZkrp9:;<4??;scn+WGX8Vg~t=>?0038vdk(ZHU;S`{w0123547?4028vdk(ZHU:S`{w012354=uid%YMR?Pmtz34566981ym`!]A^3\ip~789:9=<5}al-QEZ7Xe|r;<=><109qeh)UIV;Taxv?012755=uid%YMR1:pbi*TFW;Ufyu>?01125>tfe&XJS?Qbuy23452682xja"\N_2]nq}6789;:7ob/SC\7Zkrp9:;<;scn+WGX;Vg~t=>?0332?wgj'[KT?Rczx12346763{kf#_OP3^ov|5678=;;7ob/SC\0Zkrp9:;<?1038vdk(ZHU?S`{w01236474r`o,VDY2Wds<=>?109qeh)UIV?Taxv?012254=uid%YMR;Pmtz34565981ym`!]A^7\ip~789:8=<5}al-QEZ3Xe|r;<=>;119qeh)UIVtfe&XJS;Qbuy23457692xja"\N_7]nq}67898:=6|nm.PB[3Yj}q:;<==>1:pbi*TFW?Ufyu>?01624>tfe&XJS:Qbuy2345763{kf#_OP7^ov|56788;:7ob/SC\3Zkrp9:;;scn+WGX?Vg~t=>?0232?wgj'[KT;Rczx12341773{kf#_OP8^ov|56788;0~lc R@];[hs89:;=?2038vdk(ZHU3S`{w0123747?6028vdk(ZHU2S`{w012354=uid%YMR7Pmtz34566981ym`!]A^;\ip~789:9=<5}al-QEZ?Xe|r;<=><109qeh)UIV3Taxv?0127b>tfe&XXSK]B_GDg544159qeh)U[VLXARHId0/Jj466<2xja"\\_GQN[C@c9$Ce=-Hl2642tfe&XXSK]B_GDg5(Oi9<;87ob/SQ\BVKXNOn:!D`=129qeh)U[VLXARHId0/Jj6743{kf#_]PFRO\BCb6%@d?=>5}al-QWZ@TEVLMh<#Fn430?wgj'[YTJ^CPFGf2)Lh19:1ym`!]S^DPIZ@Al8'Bb:?<;scn+WUXNZGTJKj>-Hl;56=uid%Y_RH\M^DE`4+Nf0l0~lc RR]EWHYANm8:>6|nm.PP[CUJWOLo> Ga129qeh)U[VLXARHId3/Jj4733{kf#_]PFRO\BCb5%@d:<<:4r`o,VVYA[DUMJi<"Io3251=uid%Y_RH\M^DE`7+Nf88:86|nm.PP[CUJWOLo> Ga1237?wgj'[YTJ^CPFGf1)Lh6<8>0~lc RR]EWHYANm8&Ec?:159qeh)U[VLXARHId3/Jj406<2xja"\\_GQN[C@c:$Ce=:?;;scn+WUXNZGTJKj=-Hl2<425}al-QWZ@TEVLMh?#Fn337?wgj'[YTJ^CPFGf1)Lh588>0~lc RR]EWHYANm8&Ec<>159qeh)U[VLXARHId3/Jj746<2xja"\\_GQN[C@c:$Ce>>?<;scn+WUXNZGTJKj=-Hl056=uid%Y_RH\M^DE`7+Nf=;87ob/SQ\BVKXNOn9!D`:129qeh)U[VLXARHId3/Jj3743{kf#_]PFRO\BCb5%@d<=>5}al-QWZ@TEVLMh?#Fn930?wgj'[YTJ^CPFGf1)Lh>n2xja"\\_GQN[C@c;880~lc RR]EWHYANm9&Ec?<;scn+WUXNZGTJKj<-Hl251=uid%Y_RH\M^DE`6+Nf8::86|nm.PP[CUJWOLo? Ga1037?wgj'[YTJ^CPFGf0)Lh6:8>0~lc RR]EWHYANm9&Ec?<129qeh)U[VLXARHId2/Jj7743{kf#_]PFRO\BCb4%@d8=>5}al-QWZ@TEVLMh>#Fn530?wgj'[YTJ^CPFGf0)Lh29:1ym`!]S^DPIZ@Al:'Bb;?<;scn+WUXNZGTJKj<-Hl456=uid%Y_RH\M^DE`6+Nf1;87ob/SQ\BVKXNOn8!D`6f:pbi*TTWOYFSB{{8028vdk(ZZUM_@Q@uu:255=uid%Y_RH\M^Mvp=4>3{kf#_kndxc8vdk(Zlkoutfe&dHM^??;scn+kEF[Vddx=>?1038vdk(fJKXSca{01225<=uid%e@ZK>119qeh)iD^OTmcj?01225>tfe&dG[HQnne23457692xja"`CWD]bja67898:=6|nm.lOS@Yffm:;<==>1:pbi*hK_LUjbi>?0164?wgj'gEN46|nm.lLA4>?2038vdk(f\]NSl`k0123747hem3<0(om5b69~W1d=k90=:9n9:6x[ac83>4<62:;mw^:l:b293f<6;=22m54=0e05?!d22?l0(:j54208fg0=83>i6?h7:23eMd43-h96o84Z2a97~7=:391qd:7:188k66c2900e?hl:188k17=831d?>?50;9j77d=831d??750;9l775=831b?=;50;9l74`=831d?=l50;9l75?=831b??;50;9j75`=831d>kk50;9l757=831d?k4?::k052<722e8><4?::k076<722e8>i4?::m05a<722e9j94?::m1b<<722c8=44?::k70?6=3`9;;7>5;h65>5<!1a21?0b:k50:9l<1<72-=m65;4n6g95>=h0o0;6)9i:978j2c=:21d4h4?:%5e>=3o1?65`8e83>!1a21?0b:k54:9l=h0k0;6)9i:978j2c=>21d4l4?:%5e>=3o1;65`8883>!1a21?0b:k58:9l<=<72-=m65;4n6g9=>=h0>0;6)9i:978j2c=i21d4>4?:%5e>=3o1n65f1`83>!1a2830b:k50:9j5=<72-=m6<74n6g95>=n9>0;6)9i:0;8j2c=:21b=;4?:%5e>4?o1?65f1583>!1a2830b:k54:9j56<72-=m6<74n6g91>=n9;0;6)9i:0;8j2c=>21b=<4?:%5e>4?o1;65f1183>!1a2830b:k58:9jb?6=,>l1=45a7d8:?>ob290/;k4>9:l4a?g<3`n1<7*8f;3:?k1b2k10en4?:%5e>4?o1o65fb;29 2`=901e;h4k;:k16?6=,>l1=45a7d8f?>o593:1(:h5189m3`5$6d95<=i?l0:<65f1g83>!1a2830b:k51098m4c=83.44<3`;o6=4+7g82=>h0m3;876g>c;29 2`=901e;h4>4:9j5g<72-=m6<74n6g950=4;hcg>5<#?o0ji6`8e;38?lgd290/;k4ne:l4a?4<3khj6=4>:183!d526<729q/n?4:9:Ja=>Ne;2.=47>4i2f94?=n50z&a6?3>3Ah27El<;%4;>5=n;m0;66g;d;29?j>52900qoo;:180>5<7s-h96874Hc;8Lg5<,?21<6gt$c091<=Oj01Cn>5+6983?l5c2900e9j50;9l<7<722wi:h4?:283>5}#j;0>56Fm9:Ja7>"103:0e>j50;9j0a<722e3>7>5;|`64?6==3:13Ah87)87:19j7`<722c?m7>5;h1g>5<>{e1m0;684?:1y'f7<2i2Bi56Fm3:&5>o4l3:17d:k:188k=4=831vn<6i:186>5<7s-h968o4Hc;8Lg5>o4l3:17d:k:188k=4=831vn<>;:186>5<7s-h968o4Hc;8Lg5>o4l3:17d:k:188k=4=831vn:186>5<7s-h968o4Hc;8Lg5>o4l3:17d:k:188k=4=831vnk>50;794?6|,k819l5Gb89Kf6=#>10;7d=j:188m1g=831b?i4?::k7`?6=3f296=44}c3b0?6==3:13Ah87)87:19j7`<722c?m7>5;h1g>5<>{e:?<1<7;50;2x g4==h1Cn45Gb29j7`<722c?m7>5;h1g>5<>{e9k31<7=50;2x g4==01Cn45Gb29j7a<722c?h7>5;n:1>5<55;294~"e:3?j7El6;I`0?l5b2900e9o50;9j7a<722c?h7>5;n:1>5<55;294~"e:3?j7El6;I`0?l5b2900e9o50;9j7a<722c?h7>5;n:1>5<55;294~"e:3?j7El6;I`0?l5b2900e9o50;9j7a<722c?h7>5;n:1>5<55;294~"e:3?j7El6;I`0?l5b2900e9o50;9j7a<722c?h7>5;n:1>5<7>55;294~"e:3?j7El6;I`0?l5b2900e9o50;9j7a<722c?h7>5;n:1>5<55;294~"e:3?j7El6;I`0?l5b2900e9o50;9j7a<722c?h7>5;n:1>5<55;294~"e:3?j7El6;I`0?l5b2900e9o50;9j7a<722c?h7>5;n:1>5<55;294~"e:3?j7El6;I`0?l5b2900e9o50;9j7a<722c?h7>5;n:1>5<55;294~"e:3?j7El6;I`0?l5b2900e9o50;9j7a<722c?h7>5;n:1>5<55;294~"e:3?j7El6;I`0?!0?291b?h4?::k7e?6=3`9o6=44i5f94?=h0;0;66sm26c94?3=83:p(o<55`9Kf<=Oj:1b?h4?::k7e?6=3`9o6=44i5f94?=h0;0;66sm29594?5=83:p(o<5589Kf<=Oj:1b?i4?::k7`?6=3f296=44}c3ab?6=;3:13Ah87d=k:188m1b=831d4?4?::a5f4=8391<7>t$c091<=Oj01Cn>5f3e83>>o3l3:17b6=:188yg7d=3:1?7>50z&a6?3>3Ah27El<;h1g>5<>{e9j21<7=50;2x g4==01Cn45Gb29j7a<722c?h7>5;n:1>5<53;294~"e:3?27El6;I`0?l5c2900e9j50;9l<7<722wi?;l50;794?6|,k819l5Gb89Kf6=#>10;7d=j:188m1g=831b?i4?::k7`?6=3f296=44}c173?6==3:13Ah87)87:19j7`<722c?m7>5;h1g>5<>{ekj0;6>4?:1y'f7<212Bi56Fm3:&5>i?:3:17plld;297?6=8r.i>7;6;I`:?Md43-<36=5f3e83>>o3l3:17b6=:188ygeb29086=4?{%`1>0?<@k30Do=4$7:94>o4l3:17d:k:188k=4=831vnnh50;194?6|,k81945Gb89Kf6=#>10;7d=k:188m1b=831d4?4?::a`5<72:0;6=u+b386=>Ne12Bi?6*98;28m6b=831b8i4?::m;6?6=3tho=7>53;294~"e:3?27El6;I`0?!0?291b?i4?::k7`?6=3f296=44}cf1>5<4290;w)l=:4;8Lg?<@k90(;650:k0`?6=3`>o6=44o9094?=zjm91<7=50;2x g4==01Cn45Gb29'2=<73`9o6=44i5f94?=h0;0;66sm14194?3=83:p(o<55`9Kf<=Oj:1/:54?;h1f>5<>o3l3:17b6=:188yg72>3:197>50z&a6?3f3Ah27El<;%4;>5=n;l0;66g;a;29?l5c2900e9j50;9l<7<722wi>9j50;194?6|,k81945Gb89Kf6=n;m0;66g;d;29?j>52900qo7;6;I`:?Md43`9o6=44i5f94?=h0;0;66sm18494?5=83:p(o<5589Kf<=Oj:1b?i4?::k7`?6=3f296=44}c3e2?6=;3:13Ah87d=k:188m1b=831d4?4?::a5c5=8391<7>t$c091<=Oj01Cn>5f3e83>>o3l3:17b6=:188yg44k3:1?7>50z&a6?3>3Ah27El<;h1g>5<>{e9h;1<7=50;2x g4==01Cn45Gb29j7a<722c?h7>5;n:1>5<53;294~"e:3?27El6;I`0?l5c2900e9j50;9l<7<722wi=o?50;194?6|,k81945Gb89Kf6=n;m0;66g;d;29?j>52900qo<89;297?6=8r.i>7;6;I`:?Md43`9o6=44i5f94?=h0;0;66sm28794?5=83:p(o<5589Kf<=Oj:1b?i4?::k7`?6=3f296=44}c172?6=;3:13Ah87d=k:188m1b=831d4?4?::a72>=8391<7>t$c091<=Oj01Cn>5+6983?l5c2900e9j50;9l<7<722wi?5950;194?6|,k81945Gb89Kf6=#>10;7d=k:188m1b=831d4?4?::a706=8391<7>t$c091<=Oj01Cn>5+6983?l5c2900e9j50;9l<7<722wi8<850;194?6|,k81945Gb89Kf6=#>10;7d=k:188m1b=831d4?4?::a637=8391<7>t$c091<=Oj01Cn>5f3e83>>o3l3:17b6=:188yg42<3:1?7>50z&a6?3>3Ah27El<;h1g>5<>{e:=91<7=50;2x g4==01Cn45Gb29j7a<722c?h7>5;n:1>5<53;294~"e:3?27El6;I`0?l5c2900e9j50;9l<7<722wi=k<50;194?6|,k81945Gb89Kf6=n;m0;66g;d;29?j>52900qo<7;6;I`:?Md43`9o6=44i5f94?=h0;0;66sm18g94?5=83:p(o<5589Kf<=Oj:1b?i4?::k7`?6=3f296=44}c00b?6=;3:13Ah87d=k:188m1b=831d4?4?::a5g6=8391<7>t$c091<=Oj01Cn>5f3e83>>o3l3:17b6=:188yg2603:1?7>50z&a6?3>3Ah27El<;h1g>5<>{e:1k1<7=50;2x g4==01Cn45Gb29'2=<73`9o6=44i5f94?=h0;0;66sm2c`94?3=83:p(o<55`9Kf<=Oj:1b?h4?::k7e?6=3`9o6=44i5f94?=h0;0;66sm29`94?3=83:p(o<55`9Kf<=Oj:1b?h4?::k7e?6=3`9o6=44i5f94?=h0;0;66sm2`394?3=83:p(o<55`9Kf<=Oj:1b?h4?::k7e?6=3`9o6=44i5f94?=h0;0;66sm2b094?3=83:p(o<55`9Kf<=Oj:1b?h4?::k7e?6=3`9o6=44i5f94?=h0;0;66sm28094?3=83:p(o<55`9Kf<=Oj:1b?h4?::k7e?6=3`9o6=44i5f94?=h0;0;66sm2`d94?3=83:p(o<55`9Kf<=Oj:1b?h4?::k7e?6=3`9o6=44i5f94?=h0;0;66sm14;94?5=83:p(o<5589Kf<=Oj:1/:54?;h1g>5<>{e:831<7=50;2x g4==01Cn45Gb29'2=<73`9o6=44i5f94?=h0;0;66sm1dc94?5=83:p(o<5589Kf<=Oj:1/:54?;h1g>5<>{e9hk1<7=50;2x g4==01Cn45Gb29'2=<73`9o6=44i5f94?=h0;0;66sm1`f94?5=83:p(o<5589Kf<=Oj:1/:54?;h1g>5<>{e:8k1<7=50;2x g4==01Cn45Gb29'2=<73`9o6=44i5f94?=h0;0;66sm23294?5=83:p(o<5589Kf<=Oj:1/:54?;h1g>5<>{e:;o1<7=50;2x g4==01Cn45Gb29'2=<73`9o6=44i5f94?=h0;0;66sm2bd94?5=83:p(o<5589Kf<=Oj:1/:54?;h1g>5<>{e:m91<7=50;2x g4==01Cn45Gb29'2=<73`9o6=44i5f94?=h0;0;66sm3e594?5=83:p(o<5589Kf<=Oj:1/:54?;h1g>5<>{e;l21<7=50;2x g4==01Cn45Gb29'2=<73`9o6=44i5f94?=h0;0;66sm41394?5=83:p(o<5589Kf<=Oj:1/:54?;h1g>5<>{e;9:1<7=50;2x g4=;k1Cn45Gb29j1a<722c>:7>5;n56>5<4i5g94?=ng><@k30Do=4i4g94?=n=o0;66a85;29?xu3m3:1>vP;e:?ab?3a3ty?j7>52z\7b>;en3?n7p}n9;296~Xf127ij79:;|a`<<72:0:6>uGb29'f7<082c?i7>5;h6e>5<6<729q/n?4m8:Ja=>Ne;2c>i7>5;h7e>5<?1<75rs5g94?4|V=o01oh55g9~w1`=838pR9h4=cd91`=z{h31<74<4sAh87)l=:628m1c=831b8k4?::mb=?6=3khm6=4<:183!d52k20Do74Hc18m0c=831b9k4?::m41?6=3ty?i7>52z\7a>;en3?m7p};f;296~X3n27ij7;j;|qb=?6=:rTj563mf;56?x{el>0;6>4>:2yKf6=#j;0<<6g;e;29?l2a2900cl750;9afc<72:0;6=u+b38a<>Ne12Bi?6g:e;29?l3a2900c:;50;9~w1c=838pR9k4=cd91c=z{=l1<75<42808wEl<;%`1>26>if13:17oli:180>5<7s-h96o64Hc;8Lg5>i0=3:17p};e;296~X3m27ij7;i;|q7b?6=:rT?j63mf;7f?xuf13:1>vPn9:?ab?123twih84?:282>6}Oj:1/n?480:k7a?6=3`>m6=44o`;94?=ejo0;6>4?:1y'f7:0e9k50;9j0c<722ej57>5;c`e>5<4290;w)l=:c:8Lg?<@k90e8k50;9j1c<722e<97>5;|q7a?6=:rT?i63mf;7e?xu3n3:1>vP;f:?ab?3b3tyj57>52z\b=>;en3=>7psm1bg94?5=939pDo=4$c0935=n2900noh50;194?6|,k81n55Gb89Kf6=n=l0;66g:f;29?j122900q~:j:181[2b34hm68h4}r6e>5<5sW>m70li:4g8yvg>2909wSo6;<`e>236}Oj:1/n?480:k7a?6=3`>m6=44o`;94?=ejo0;6>4?:1y'f7"e:3=;7d:j:188m1`=831dm44?::`ab?6=;3:13Ah87d;j:188m0`=831d;84?::p0`<72;qU8h52bg86b>{tfc<2m2wxm44?:3y]e<=:jo0<96srb0aa>5<42808wEl<;%`1>26>if13:17oli:180>5<7s-h96o64Hc;8Lg5>i0=3:17p};e;296~X3m27ij7;i;|q7b?6=:rT?j63mf;7f?xuf13:1>vPn9:?ab?123twi8?4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg4ai3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb9394?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3thh>7>52;294~"e:3<27El6;I`0?l3c2900c:;50;9~ff5=8391<7>t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~f75b29096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm6e83>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<97>53;294~"e:3>0Do74Hc18m0c=831b9k4?::m41?6=3th?=l4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg50=3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb255>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi?:950;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn95<7s-h96;74Hc;8Lg5>{e<8h1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`76f<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo:>4;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj=;>6=4<:183!d52=1Cn45Gb29j1`<722c>j7>5;n56>5<53;294~"e:3=37El6;I`0?l3b2900e8h50;9l30<722wi>o750;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd5jh0;6>4?:1y'f7<33Ah27El<;h7f>5<>{e:>l1<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj;i?6=4=:183!d52?30Do74Hc18m0b=831d;84?::a6<2=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl=8883>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm16394?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th:;?4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg70;3:1?7>50z&a6?1?3Ah27El<;h7f>5<>{e9><1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`232<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo?88;297?6=8r.i>797;I`:?Md43`?n6=44i4d94?=h?<0;66sm16`94?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th:;n4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg70l3:1?7>50z&a6?1?3Ah27El<;h7f>5<>{e9?>1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`220<72:0;6=u+b384<>Ne12Bi?6g:e;29?l3a2900c:;50;9~f40>29096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm17c94?5=83:p(o<5799Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c3;7?6=;3:15;|`2=4<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo?62;297?6=8r.i>797;I`:?Md43`?n6=44i4d94?=h?<0;66sm14f94?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th:;k4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg7?83:1?7>50z&a6?2<@k30Do=4i4g94?=n=o0;66a85;29?xd6=o0;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c354?6=;3:13Ah87d;j:188m0`=831d;84?::a5a2=8391<7>t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~f4b529086=4?{%`1>1=Oj01Cn>5f5d83>>o2n3:17b9::188yg7c83:1?7>50z&a6?2<@k30Do=4i4g94?=n=o0;66a85;29?xd6k80;6>4?:1y'f7<33Ah27El<;h7f>5<>{e9j>1<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj8i<6=4<:183!d52=1Cn45Gb29j1`<722c>j7>5;n56>5<53;294~"e:3>0Do74Hc18m0c=831b9k4?::m41?6=3th9:54?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg7f?3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb0c;>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`2ef<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo?n3;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj;>96=4=:183!d52?30Do74Hc18m0b=831d;84?::a5g5=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl>f983>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm1`;94?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb0ff>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi=ih50;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn5<7s-h9695Gb89Kf6=n=l0;66g:f;29?j122900qo?6d;297?6=8r.i>7:4Hc;8Lg5>i0=3:17pl=3`83>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm25;94?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3thon7>52;294~"e:3<27El6;I`0?l3c2900c:;50;9~f`3=8391<7>t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~f`7=8391<7>t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~fab=8391<7>t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~fc5=8391<7>t$c093==Oj01Cn>5f5d83>>o2n3:17b9::188yg76;3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb037>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`ea?6=:3:13Ah87d;k:188k23=831vn?k<:181>5<7s-h96;74Hc;8Lg5>{e:l>1<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj=;:6=4=:183!d52?30Do74Hc18m0b=831d;84?::a044=8391<7>t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~f43029096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm1g694?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th:m=4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg72j3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb36`>5<4290;w)l=:59Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c3g2?6=:3:13Ah87d;k:188k23=831vn5<7s-h96;74Hc;8Lg5>{e9m21<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj;l>6=4=:183!d52?30Do74Hc18m0b=831d;84?::a6c0=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl=f683>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm43094?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th?>>4?:283>5}#j;0<46Fm9:Ja7>o2m3:17d;i:188k23=831vn95<7s-h96:64Hc;8Lg5>i0=3:17pl>9583>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<53;294~"e:3=37El6;I`0?l3b2900e8h50;9l30<722wi=5k50;194?6|,k81;55Gb89Kf6=n=l0;66g:f;29?j122900qo<:6;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj;?<6=4=:183!d52?30Do74Hc18m0b=831d;84?::a60>=8391<7>t$c093==Oj01Cn>5f5d83>>o2n3:17b9::188yg41;3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb347>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi>;;50;194?6|,k81;55Gb89Kf6=n=l0;66g:f;29?j122900qo;=:186>5<7s-h96;5Gb89Kf6=n=l0;66g:f;29?l072900e;?50;9l30<722wi9>4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg3329086=4?{%`1>1=Oj01Cn>5f5d83>>o2n3:17b9::188yg3229086=4?{%`1>1=Oj01Cn>5f5d83>>o2n3:17b9::188yg?a29096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sma183>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<j7>5;h43>5<?1<75rb066>5<4290;w)l=:59Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c372?6=:3:13Ah87d;k:188k23=831vn<:8:181>5<7s-h96;74Hc;8Lg5>{e9=21<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj8>26=4<:183!d52=1Cn45Gb29j1`<722c>j7>5;n56>5<59;294~"e:3k0Do74Hc18m0c=831b9k4?::k54?6=3`<:6=44i7094?=n>:0;66g94;29?l022900c:;50;9~f47e29026=4?{%`1>d=Oj01Cn>5f5d83>>o2n3:17d8?:188m37=831b:?4?::k57?6=3`Ne;2c>i7>5;h7e>5<?1<75rb004>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi=?650;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd6:00;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c31e?6==3:15;h42>5<?1<75rb2f0>5<4290;w)l=:59Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c1g0?6=:3:13Ah87d;k:188k23=831vn>j::180>5<7s-h9695Gb89Kf6=n=l0;66g:f;29?j122900qo=k6;297?6=8r.i>797;I`:?Md43`?n6=44i4d94?=h?<0;66sm3b594?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb2a;>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi?n750;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn>mn:180>5<7s-h96:64Hc;8Lg5>i0=3:17pl6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm3b194?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th8o94?:283>5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi?n;50;194?6|,k81;55Gb89Kf6=n=l0;66g:f;29?j122900qo=md;297?6=8r.i>7:4Hc;8Lg5>i0=3:17pl7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<53;294~"e:3>0Do74Hc18m0c=831b9k4?::m41?6=3th8o=4?:283>5}#j;0<46Fm9:Ja7>o2m3:17d;i:188k23=831vn>l7:180>5<7s-h9695Gb89Kf6=n=l0;66g:f;29?j122900qo=m9;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj:hj6=4<:183!d52=1Cn45Gb29j1`<722c>j7>5;n56>5<53;294~"e:3=37El6;I`0?l3b2900e8h50;9l30<722wi?o=50;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn>l;:181>5<7s-h96;74Hc;8Lg5>{e;k?1<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj:h=6=4<:183!d52>20Do74Hc18m0c=831b9k4?::m41?6=3th8mh4?:283>5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi?lh50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd4j90;6>4?:1y'f7<33Ah27El<;h7f>5<>{e;k;1<7=50;2x g4=?11Cn45Gb29j1`<722c>j7>5;n56>5<53;294~"e:3>0Do74Hc18m0c=831b9k4?::m41?6=3th8ml4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg5fj3:1?7>50z&a6?2<@k30Do=4i4g94?=n=o0;66a85;29?xd4ij0;6>4?:1y'f7<002Bi56Fm3:k6a?6=3`?m6=44o6794?=zj:k?6=4<:183!d52=1Cn45Gb29j1`<722c>j7>5;n56>5<52;294~"e:3<27El6;I`0?l3c2900c:;50;9~f6g129086=4?{%`1>1=Oj01Cn>5f5d83>>o2n3:17b9::188yg5f?3:1?7>50z&a6?1?3Ah27El<;h7f>5<>{e<8n1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`75`<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo:>f;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj=8;6=4::183!d52?1Cn45Gb29j1`<722c>j7>5;h43>5<>{e<;o1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`76c<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo:<0;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj=9:6=4::183!d52?1Cn45Gb29j1`<722c>j7>5;h43>5<>{e:kn1<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj;hn6=4=:183!d52?30Do74Hc18m0b=831d;84?::a6g`=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl=c183>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm2b394?5=83:p(o<5799Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c0;`?6=;3:15;|`1<`<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo<7f;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj;3;6=4<:183!d52=1Cn45Gb29j1`<722c>j7>5;n56>5<53;294~"e:3=37El6;I`0?l3b2900e8h50;9l30<722wi>l=50;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn?o;:181>5<7s-h96;74Hc;8Lg5>{e:h?1<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj;k=6=4<:183!d52>20Do74Hc18m0c=831b9k4?::m41?6=3th9j<4?:283>5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi>k<50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd5n:0;6>4?:1y'f7<33Ah27El<;h7f>5<>{e:l=1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`1a=<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo7;4Hc;8Lg5>o183:17b9::188yg71>3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb04a>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi=;m50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd6>m0;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c35a?6==3:15;h42>5<?1<75rbg794?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3thm:7>52;294~"e:3<27El6;I`0?l3c2900c:;50;9~fc1=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pli8;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zjo31<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`ee?6=:3:13Ah87d;k:188k23=831vnkl50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xdak3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rbgf94??=83:p(o<5a:Ja=>Ne;2c>i7>5;h7e>5<>o1:3:17d8<:188m32=831b:84?::m41?6=3th:<=4?:283>5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi==?50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd68;0;6>4?:1y'f7<33Ah27El<;h7f>5<>{e9991<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj;9;6=4<:183!d52=1Cn45Gb29j1`<722c>j7>5;n56>5<53;294~"e:3>0Do74Hc18m0c=831b9k4?::m41?6=3th9??4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg44;3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb317>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi>>;50;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn?=9:180>5<7s-h96:64Hc;8Lg5>i0=3:17pl=3683>1<729q/n?4:;I`:?Md43`?n6=44i4d94?=n>90;66a85;29?xd5;10;6>4?:1y'f7<002Bi56Fm3:k6a?6=3`?m6=44o6794?=zj;896=4=:183!d52?30Do74Hc18m0b=831d;84?::a670=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl=2683>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<52;294~"e:3<27El6;I`0?l3c2900c:;50;9~f74>29096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm23c94?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th9>o4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg45k3:197>50z&a6?0<@k30Do=4i4g94?=n=o0;66g90;29?l062900c:;50;9~f74c29086=4?{%`1>1=Oj01Cn>5f5d83>>o2n3:17b9::188yg45;3:187>50z&a6?1>3Ah27El<;h7f>5<>i0=3:17pl=2583>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm23794?5=83:p(o<5799Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c02g?6=;3:15;|`15a<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo<>e;297?6=8r.i>7:4Hc;8Lg5>i0=3:17pl=1g83>6<729q/n?488:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb3f6>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi>io50;194?6|,k81;55Gb89Kf6=n=l0;66g:f;29?j122900qo7:4Hc;8Lg5>i0=3:17pl=db83>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<52;294~"e:3<27El6;I`0?l3c2900c:;50;9~f7bb29086=4?{%`1>1=Oj01Cn>5f5d83>>o2n3:17b9::188yg4cn3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb3g3>5<4290;w)l=:59Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c0f5?6=;3:15;|`1`3<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a6a1=8391<7>t$c093==Oj01Cn>5f5d83>>o2n3:17b9::188yg4c03:1?7>50z&a6?2<@k30Do=4i4g94?=n=o0;66a85;29?xd5l00;694?:1y'f7<012Bi56Fm3:k6a?6=3`?m6=44i7294?=h?<0;66sm2e394?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb3f1>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`746<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo:?8;297?6=8r.i>7:4Hc;8Lg5>i0=3:17pl;0883>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<;m7>52;294~"e:3<27El6;I`0?l3c2900c:;50;9~f16e29096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm41a94?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th?5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi8=k50;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn9>i:180>5<7s-h96:64Hc;8Lg5>i0=3:17pl;0583>1<729q/n?4:;I`:?Md43`?n6=44i4d94?=n>90;66a85;29?xd38<0;6>4?:1y'f7<002Bi56Fm3:k6a?6=3`?m6=44o6794?=zj=:=6=4;:183!d52<1Cn45Gb29j1`<722c>j7>5;h43>5<?1<75rb524>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`0`<<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a7`6=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm3d094?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th8i>4?:283>5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi?h:50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd4m<0;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c1f2?6=;3:15;|`0a2<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a7ag=8391<7>t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~f6be29086=4?{%`1>2><@k30Do=4i4g94?=n=o0;66a85;29?xd4lj0;6>4?:1y'f7<33Ah27El<;h7f>5<>{e;mn1<7=50;2x g4=?11Cn45Gb29j1`<722c>j7>5;n56>5<53;294~"e:3>0Do74Hc18m0c=831b9k4?::m41?6=3th8hk4?:283>5}#j;0<46Fm9:Ja7>o2m3:17d;i:188k23=831vn:187>5<7s-h9685Gb89Kf6=n=l0;66g:f;29?l072900c:;50;9~f4c529096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm1d194?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th:i94?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg7b=3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb0g5>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi=h950;694?6|,k8196Fm9:Ja7>o2m3:17d;i:188m36=831d;84?::a5`>=8391<7>t$c093==Oj01Cn>5f5d83>>o2n3:17b9::188yg7b13:187>50z&a6?3<@k30Do=4i4g94?=n=o0;66g90;29?j122900qo?68;297?6=8r.i>797;I`:?Md43`?n6=44i4d94?=h?<0;66sm18;94?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th:5l4?:283>5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi=4l50;194?6|,k81;55Gb89Kf6=n=l0;66g:f;29?j122900qo?mb;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj8hh6=4=:183!d52?30Do74Hc18m0b=831d;84?::a5gb=8391<7>t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~f4db29086=4?{%`1>2><@k30Do=4i4g94?=n=o0;66a85;29?xd58k0;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c025?6=:3:13Ah87d;k:188k23=831vn??=:181>5<7s-h96;74Hc;8Lg5>{e:891<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj;;?6=4=:183!d52?30Do74Hc18m0b=831d;84?::a643=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl=1783>6<729q/n?488:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb334>5<4290;w)l=:59Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c025;|`14f<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a65b=83>1<7>t$c091>Ne12Bi?6g:e;29?l3a2900e;>50;9l30<722wi>=k50;694?6|,k81;45Gb89Kf6=n=l0;66g:f;29?l072900c:;50;9~f76a29086=4?{%`1>1=Oj01Cn>5f5d83>>o2n3:17b9::188yg4683:1?7>50z&a6?1?3Ah27El<;h7f>5<>{e:981<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`146<72:0;6=u+b384<>Ne12Bi?6g:e;29?l3a2900c:;50;9~f76329096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm21794?5=83:p(o<5799Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c032?6=;3:15;|`142<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a65>=8391<7>t$c093==Oj01Cn>5f5d83>>o2n3:17b9::188yg5bi3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb2d6>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi?ko50;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn>hm:181>5<7s-h96;74Hc;8Lg5>{e;oi1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`0ba<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo=ie;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj:lm6=4=:183!d52?30Do74Hc18m0b=831d;84?::a056=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<52;294~"e:3<27El6;I`0?l3c2900c:;50;9~f6cc29086=4?{%`1>1=Oj01Cn>5f5d83>>o2n3:17b9::188yg5bm3:1?7>50z&a6?2<@k30Do=4i4g94?=n=o0;66a85;29?xd4mo0;6>4?:1y'f7<33Ah27El<;h7f>5<>{e;o:1<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj:l:6=4::183!d52?1Cn45Gb29j1`<722c>j7>5;h43>5<>{e;o81<7=50;2x g4=?11Cn45Gb29j1`<722c>j7>5;n56>5<53;294~"e:3=37El6;I`0?l3b2900e8h50;9l30<722wi?k:50;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn>h9:180>5<7s-h9695Gb89Kf6=n=l0;66g:f;29?j122900qo=i7;297?6=8r.i>797;I`:?Md43`?n6=44i4d94?=h?<0;66sm3g:94?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb2d:>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`03d<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a72`=8391<7>t$c093==Oj01Cn>5f5d83>>o2n3:17b9::188yg5?83:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb2:2>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi?5<50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd40:0;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c1;0?6=:3:13Ah87d;k:188k23=831vn>6::180>5<7s-h96:64Hc;8Lg5>i0=3:17pl<8783>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm36`94?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb25`>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`03a<72:0;6=u+b384<>Ne12Bi?6g:e;29?l3a2900c:;50;9~f61b290?6=4?{%`1>0=Oj01Cn>5f5d83>>o2n3:17d8?:188k23=831vn<5<7s-h96;74Hc;8Lg5>{e9;n1<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj88n6=4=:183!d52?30Do74Hc18m0b=831d;84?::a57`=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl>3183>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm12394?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb011>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`276<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a704=8391<7>t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~f63c29096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm37694?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb246>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi?;850;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd4>>0;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c153Ah87d;k:188k23=831vn>86:181>5<7s-h96;74Hc;8Lg5>{e;?k1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`016<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo=:4;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj:?>6=4=:183!d52?30Do74Hc18m0b=831d;84?::a700=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl<5683>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm34:94?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb27:>5<3290;w)l=:6;8Lg?<@k90e8k50;9j1c<722c=<7>5;n56>5<m7>56;294~"e:3=0Do74Hc18m0c=831b9k4?::k54?6=3`<:6=44i7094?=h?<0;66sm34`94?5=83:p(o<5799Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c16g?6=<3:15;n56>5<i7>53;294~"e:3=37El6;I`0?l3b2900e8h50;9l30<722wi?8h50;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn>8?:180>5<7s-h9695Gb89Kf6=n=l0;66g:f;29?j122900qo=91;297?6=8r.i>797;I`:?Md43`?n6=44i4d94?=h?<0;66sm37094?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb240>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`0<<<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo=64;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj:3h6=4=:183!d52?30Do74Hc18m0b=831d;84?::a7t$c092<=Oj01Cn>5f5e83>>i0=3:17pl<9d83>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<53;294~"e:3>0Do74Hc18m0c=831b9k4?::m41?6=3th8m=4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg5f93:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb2c1>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi?5o50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd40k0;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c1;g?6=:3:13Ah87d;k:188k23=831vn>6k:181>5<7s-h96;74Hc;8Lg5>{e;1o1<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj:2m6=4;:183!d52<1Cn45Gb29j1`<722c>j7>5;h43>5<?1<75rb2;3>5<4290;w)l=:59Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c1:5?6=<3:15;n56>5<7>53;294~"e:3=37El6;I`0?l3b2900e8h50;9l30<722wi?4=50;194?6|,k81;55Gb89Kf6=n=l0;66g:f;29?j122900qo=65;290?6=8r.i>7;4Hc;8Lg5>o183:17b9::188yg5>>3:1?7>50z&a6?2<@k30Do=4i4g94?=n=o0;66a85;29?xd41>0;6>4?:1y'f7<002Bi56Fm3:k6a?6=3`?m6=44o6794?=zj:336=4;:183!d52<1Cn45Gb29j1`<722c>j7>5;h43>5<?1<75rb2;:>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`0=d<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a7t$c093==Oj01Cn>5f5d83>>o2n3:17b9::188yg73j3:197>50z&a6?0<@k30Do=4i4g94?=n=o0;66g90;29?l062900c:;50;9~f42d29086=4?{%`1>1=Oj01Cn>5f5d83>>o2n3:17b9::188yg73l3:1?7>50z&a6?d?3Ah27El<;h7f>5<>{e9=o1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`20c<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo?:0;297?6=8r.i>7:4Hc;8Lg5>i0=3:17pl>5083>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm14094?2=83:p(o<55:Ja=>Ne;2c>i7>5;h7e>5<>{e9li1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`2aa<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo?je;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj8om6=4=:183!d52?30Do74Hc18m0b=831d;84?::a5c6=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl>f083>2<729q/n?47;I`:?Md43`?n6=44i4d94?=n>90;66g91;29?l052900e;=50;9l30<722wi>;o50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd5>k0;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c05g?6=:3:13Ah87d;k:188k23=831vn?8k:181>5<7s-h96;74Hc;8Lg5>{e:?o1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`12c<72?0;6=u+b384?Md>3Ah87d;j:188m0`=831b:=4?::k55?6=3`<96=44o6794?=zj;=;6=4<:183!d52>20Do74Hc18m0c=831b9k4?::m41?6=3th9;?4?:483>5}#j;0=7El6;I`0?l3b2900e8h50;9j25<722c==7>5;n56>5<53;294~"e:3>0Do74Hc18m0c=831b9k4?::m41?6=3th9;94?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg40=3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb355>5<4290;w)l=:59Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c043?6=;3:15;|`13=<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a550=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl>0983>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<52;294~"e:3<27El6;I`0?l3c2900c:;50;9~f46f29096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm11`94?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th:5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg77l3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb02f>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi==h50;:94?6|,k8156Fm9:Ja7>o2m3:17d;i:188m36=831b:<4?::k56?6=3`<86=44i7694?=h?<0;66sm11594?5=83:p(o<5799Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c0a5?6=;3:15;|`1f7<72:0;6=u+b384<>Ne12Bi?6g:e;29?l3a2900c:;50;9~f7d429096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm2c694?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th9n84?:283>5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi>o850;694?6|,k8196Fm9:Ja7>o2m3:17d;i:188m36=831d;84?::a613=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl=4783>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<53;294~"e:3=37El6;I`0?l3b2900e8h50;9l30<722wi>9650;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn<=::181>5<7s-h96;74Hc;8Lg5>{e9:i1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`27a<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo?786;I`:?Md43`?o6=44o6794?=zj89m6=4<:183!d52=1Cn45Gb29j1`<722c>j7>5;n56>5<52;294~"e:3<27El6;I`0?l3c2900c:;50;9~f42629096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm15094?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th:8>4?:283>5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi=>850;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn<=8:180>5<7s-h9695Gb89Kf6=n=l0;66g:f;29?j122900qo?<8;297?6=8r.i>797;I`:?Md43`?n6=44i4d94?=h?<0;66sm12;94?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb01b>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`27g<72=0;6=u+b386?Md>3Ah87d;j:188m0`=831b:=4?::m41?6=3th9io4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg4bk3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb3gg>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi>hk50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd5mo0;684?:1y'f7<13Ah27El<;h7f>5<>o193:17b9::188yg5313:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb26b>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi?9l50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd46=44}c17`?6==3:15;h42>5<?1<75rb26f>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`0gf<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo=ld;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj:in6=4;:183!d52<1Cn45Gb29j1`<722c>j7>5;h43>5<?1<75rb2ae>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`0`5<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a7a7=8391<7>t$c093==Oj01Cn>5f5d83>>o2n3:17b9::188yg4?93:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb3:1>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi>5=50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd50=0;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c0;1?6==3:15;h42>5<?1<75rb3:5>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`11d<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo<:b;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj;?h6=4=:183!d52?30Do74Hc18m0b=831d;84?::a60b=8391<7>t$c093==Oj01Cn>5f5d83>>o2n3:17b9::188yg42m3:187>50z&a6?3<@k30Do=4i4g94?=n=o0;66g90;29?j122900qo<:f;297?6=8r.i>7:4Hc;8Lg5>i0=3:17pl=6183>6<729q/n?488:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb36e>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi>8>50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd5=80;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c066?6=;3:15;|`116<72:0;6=u+b384<>Ne12Bi?6g:e;29?l3a2900c:;50;9~f4`f29096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm1g`94?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th:jn4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg7al3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb0df>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi=kh50;794?6|,k81:6Fm9:Ja7>o2m3:17d;i:188m36=831b:<4?::m41?6=3th9<=4?:283>5}#j;0<46Fm9:Ja7>o2m3:17d;i:188k23=831vn5<7s-h9695Gb89Kf6=n=l0;66g:f;29?j122900qo?>7;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj8;36=4<:183!d52>20Do74Hc18m0c=831b9k4?::m41?6=3th8:i4?:283>5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi?;k50;194?6|,k81;55Gb89Kf6=n=l0;66g:f;29?j122900qo=9f;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj:=;6=4=:183!d52?30Do74Hc18m0b=831d;84?::a727=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl<7383>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm36194?2=83:p(o<55:Ja=>Ne;2c>i7>5;h7e>5<>{e;>>1<7=50;2x g4=?11Cn45Gb29j1`<722c>j7>5;n56>5<53;294~"e:3=37El6;I`0?l3b2900e8h50;9l30<722wi=4?:1y'f7<33Ah27El<;h7f>5<>{e9;;1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`267<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a575=8391<7>t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~f44329086=4?{%`1>1=Oj01Cn>5f5d83>>o2n3:17b9::188yg75=3:197>50z&a6?1f3Ah27El<;h7f>5<>o193:17b9::188yg25=3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb505>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi8?950;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd3:10;694?:1y'f7<012Bi56Fm3:k6a?6=3`?m6=44i7294?=h?<0;66sm43;94?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb21;>5<4290;w)l=:59Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c10a?6=;3:13Ah87d;j:188m0`=831d;84?::a76`=83?1<7>t$c092>Ne12Bi?6g:e;29?l3a2900e;>50;9j24<722e<97>5;|`005<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo=;1;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj:>96=4=:183!d52?30Do74Hc18m0b=831d;84?::a715=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl<4583>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<53;294~"e:3>0Do74Hc18m0c=831b9k4?::m41?6=3th8?44?:483>5}#j;0=7El6;I`0?l3b2900e8h50;9j25<722c==7>5;n56>5<53;294~"e:3>0Do74Hc18m0c=831b9k4?::m41?6=3th8?o4?:283>5}#j;0<46Fm9:Ja7>o2m3:17d;i:188k23=831vn>=l:180>5<7s-h9695Gb89Kf6=n=l0;66g:f;29?j122900qo=7:4Hc;8Lg5>i0=3:17pl=9683>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<53;294~"e:3=37El6;I`0?l3b2900e8h50;9l30<722wi>4o50;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn?7m:181>5<7s-h96;74Hc;8Lg5>{e:0i1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`1=a<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a6t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~f7?a29086=4?{%`1>2><@k30Do=4i4g94?=n=o0;66a85;29?xd5i90;6>4?:1y'f7<33Ah27El<;h7f>5<>{e:021<7=50;2x g4=?11Cn45Gb29j1`<722c>j7>5;n56>5<52;294~"e:3<27El6;I`0?l3c2900c:;50;9~f7e>29096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm2bc94?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th9oo4?:283>5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi>nm50;194?6|,k81;55Gb89Kf6=n=l0;66g:f;29?j122900qo7:4Hc;8Lg5>i0=3:17pl=cd83>6<729q/n?488:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb3c;>5<4290;w)l=:59Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c0b=?6=:3:13Ah87d;k:188k23=831vn?on:181>5<7s-h96;74Hc;8Lg5>{e:hh1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`1ef<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a6db=8391<7>t$c093==Oj01Cn>5f5d83>>o2n3:17b9::188yg4fm3:187>50z&a6?3<@k30Do=4i4g94?=n=o0;66g90;29?j122900qo?75;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj82=6=4=:183!d52?30Do74Hc18m0b=831d;84?::a5=1=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl>8983>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<52;294~"e:3<27El6;I`0?l3c2900c:;50;9~f4>f29086=4?{%`1>2><@k30Do=4i4g94?=n=o0;66a85;29?xd60k0;6>4?:1y'f7<002Bi56Fm3:k6a?6=3`?m6=44o6794?=zj82h6=49:183!d52>1Cn45Gb29j1`<722c>j7>5;h43>5<>i0=3:17pl>8e83>6<729q/n?488:Ja=>Ne;2c>i7>5;h7e>5<?1<75rbd594?2=83:p(o<55:Ja=>Ne;2c>i7>5;h7e>5<>{elo0;694?:1y'f7<23Ah27El<;h7f>5<>i0=3:17plj3;290?6=8r.i>7;4Hc;8Lg5>o183:17b9::188yg2>29096=4?{%`1>31<@k30Do=4i4f94?=h?<0;66sm2gf94?4=83:p(o<5669Kf<=Oj:1b9i4?::m41?6=3th8>n4?:383>5}#j;0=;6Fm9:Ja7>o2l3:17b9::188yg57>3:1>7>50z&a6?003Ah27El<;h7g>5<?1<75rb205>5<5290;w)l=:758Lg?<@k90e8j50;9l30<722wi?<>50;094?6|,k81::5Gb89Kf6=n=m0;66a85;29?xd4910;6?4?:1y'f7<1?2Bi56Fm3:k6`?6=3f=>6=44}c100?6=:3:13Ah87d;k:188k23=831vn>?n:181>5<7s-h96;94Hc;8Lg5>{e<<0;6?4?:1y'f7<1?2Bi56Fm3:k6`?6=3f=>6=44}c133Ah87d;k:188k23=831vn9950;094?6|,k81::5Gb89Kf6=n=m0;66a85;29?xd203:1>7>50z&a6?003Ah27El<;h7g>5<?1<75rb215>5<5290;w)l=:758Lg?<@k90e8j50;9l30<722wi?<4?:383>5}#j;0=;6Fm9:Ja7>o2l3:17b9::188yg5729096=4?{%`1>31<@k30Do=4i4f94?=h?<0;66sm2g83>7<729q/n?497:Ja=>Ne;2c>h7>5;n56>5<Ne12Bi?6g:d;29?j122900qo5<7s-h96;94Hc;8Lg5>{e:h0;6?4?:1y'f7<1?2Bi56Fm3:k6`?6=3f=>6=44}c0:>5<5290;w)l=:758Lg?<@k90e8j50;9l30<722wi>54?:383>5}#j;0=;6Fm9:Ja7>o2l3:17b9::188yg4029096=4?{%`1>31<@k30Do=4i4f94?=h?<0;66sm2783>7<729q/n?497:Ja=>Ne;2c>h7>5;n56>5<6=4=:183!d52?=0Do74Hc18m0b=831d;84?::a61<72;0;6=u+b3853>Ne12Bi?6g:d;29?j122900qo<<:181>5<7s-h96;94Hc;8Lg5>{e;00;6?4?:1y'f7<1?2Bi56Fm3:k6`?6=3f=>6=44}c1;>5<5290;w)l=:758Lg?<@k90e8j50;9l30<722wi?:4?:383>5}#j;0=;6Fm9:Ja7>o2l3:17b9::188yg5129096=4?{%`1>31<@k30Do=4i4f94?=h?<0;66sm3483>7<729q/n?497:Ja=>Ne;2c>h7>5;n56>5<Ne12Bi?6g:d;29?j122900qo==:181>5<7s-h96;94Hc;8Lg5>{e:m0;6?4?:1y'f7<1?2Bi56Fm3:k6`?6=3f=>6=44}c`2>5<5290;w)l=:758Lg?<@k90e8j50;9l30<722win=4?:383>5}#j;0=;6Fm9:Ja7>o2l3:17b9::188yg57m3:1>7>50z&a6?113Ah27El<;h7g>5<?1<75rb5194?4=83:p(o<5779Kf<=Oj:1b9i4?::m41?6=3th8??4?:383>5}#j;0<:6Fm9:Ja7>o2l3:17b9::188yg55i3:1>7>50z&a6?113Ah27El<;h7g>5<?1<75rb207>5<5290;w)l=:648Lg?<@k90e8j50;9l30<722wi??>50;094?6|,k81;;5Gb89Kf6=n=m0;66a85;29?xd48j0;6?4?:1y'f7<0>2Bi56Fm3:k6`?6=3f=>6=44}c13e?6=:3:13Ah87d;k:188k23=831vn?hi:180>5<7s-h96:94Hc;8Lg5>i0=3:17pl<0383>7<729q/n?486:Ja=>Ne;2c>h7>5;n56>5<;6=4=:183!d52><0Do74Hc18m0b=831d;84?::a774=8381<7>t$c0933=Oj01Cn>5f5e83>>i0=3:17pl<2d83>6<729q/n?487:Ja=>Ne;2c>h7>5;h75>5<?1<75rb23f>5<5290;w)l=:648Lg?<@k90e8j50;9l30<722wi>k650;094?6|,k81;;5Gb89Kf6=n=m0;66a85;29?xd5nk0;6?4?:1y'f7<0>2Bi56Fm3:k6`?6=3f=>6=44}c113Ah87d;k:188k23=831vn>?=:181>5<7s-h96:84Hc;8Lg5>{e;8i1<7=50;2x g4=?>1Cn45Gb29j1a<722c>:7>5;n56>5<53;294~"e:3=<7El6;I`0?l3c2900e8850;9l30<722wi?<:50;094?6|,k81;;5Gb89Kf6=n=m0;66a85;29?xd49?0;6?4?:1y'f7<0>2Bi56Fm3:k6`?6=3f=>6=44}c130?6=:3:13Ah87d;k:188k23=831vn4=50;094?6|,k81;;5Gb89Kf6=n=m0;66a85;29?xd>:3:1>7>50z&a6?113Ah27El<;h7g>5<?1<75rb8a94?4=83:p(o<5779Kf<=Oj:1b9i4?::m41?6=3th2n7>52;294~"e:3==7El6;I`0?l3c2900c:;50;9~ft$c0933=Oj01Cn>5f5e83>>i0=3:17pl69;296?6=8r.i>799;I`:?Md43`?o6=44o6794?=zj021<7<50;2x g4=??1Cn45Gb29j1a<722e<97>5;|`:3?6=:3:13Ah87d;k:188k23=831vn4850;094?6|,k81;;5Gb89Kf6=n=m0;66a85;29?xd>=3:1>7>50z&a6?113Ah27El<;h7g>5<?1<75rb8694?4=83:p(o<5779Kf<=Oj:1b9i4?::m41?6=3th2=7>52;294~"e:3==7El6;I`0?l3c2900c:;50;9~wg<72;qUn63=3;7g?xud2909wSm4=3691a=z{m0;6?uQd:?11?3c3tyn6=4={_g8970==m1vk4?:3y]b>;5?3?o7p}>0;296~X6827947;k;|q25?6=:rT:=63=9;7g?xu6:3:1>vP>2:?1e?3c3ty:?7>52z\27>;5j3?o7p}>4;296~X6<279o7;k;|q21?6=:rT:963=d;7g?xu6>3:1>vP>6:?1a?3c3ty:;7>52z\23>;5n3?o7p}>8;296~X60278<7;k;|q2e?6=:rT:m63<1;7g?xu6j3:1>vP>b:?06?3c3ty:o7>52z\2g>;4;3?o7p}>d;296~X6l27887;k;|q2a?6=:rT:i63<5;7g?xu6n3:1>vP>f:?02?3c3ty9<7>52z\14>;4?3?o7p}=1;296~X5927847;k;|q16?6=:rT9>63<9;7g?xu5;3:19v3>1`854>;4i?0>i63<3g86a>;4<90>h63=3;56?xu5<3:19v3>1`855>;4ik0>i63<3g86b>;4<80>h63=4;56?xu5=3:19v3>1`856>;4j90>i63<3g854>;4<;0>h63=5;56?xu5>3:19v3>1`857>;4j<0>i63>1d86a>;4;10>j63=6;56?xu5?3:1:v3>1`850>;4jh0>i63>1d86b>;4;o0==63<4286`>;5?3=>7p}=8;290~;69h0=963;4;10>i63=8;56?xu513:18v3>1c86a>;4k=0>i63>4c86b>;513=>7p}=a;290~;69k0>j63;6i63=a;56?xu5j3:18v3>1c854>;6;5j3=>7p}=c;291~;69k0==63;6?0q~3?o70?>b;40?87503?o70?=d;7e?873k3?m70?;1;7g?87593?o70>50;`x94222?50;`x942022<50;0x96g>2<5749~w65=838p1>oj:4d8965=?<1v>:50;0x96d42:5749~w63=838p1>l7:4d8963=?<1v>850;0x96dc285749~w61=838p1>m=:4d8961=?<1v>650;6x947f2m8:4d8965b265749~w6?=83>p1=j:4d896?=?<1v>o50;0g853>3>o70=;7;6g?851j3>o70o70?84;6g?87013>o70?92;6g?871?3>o70?>0;6g?877<3>o70?>1;6g?872=3?n7070?>3;7g?872?3?o703?m70=j1;7e?85aj3?o70=i1;7f?85?93?o70=96;7g?852i3?m70=n2;7g?85>=3?m70??e;7g?84e93?n70=;c;7g?84?<3?o70?>7;7g?85093?o70<6a;7e?84di3?o707;k;<`3>23<5=:19i5rs5394?4|V=;019=5749~w15=838p19<5749>06<2l2wx894?:3y]01=:<<0>h6s|4483>4>|58k?6>j4=345>6b<58h26>j4=0`e>6b<58i96>j4=0a6>6b<58i36>j4=36g>6b<5;:26>j4=0;5>6b<58l=6>j4=0d0>6b<5;9h6>j4=0c2>6b<5;>;6>j4=0`2>6b<58oj6>j4=0cb>6b<58ko6>j4=33b>6b<5;8;6>j4=30f>6b<58k;68j4=57930=z{=<1<7e1<4l27=n7=k;<4f>6b<5<:1?i529e80`>;60o08h63>0580`>;69808h63i0;1g?876839o70?9f;1g?870<39o70?89;1g?871:39o70?97;1g?87?939o70j4=e397a=:l;08h63k3;1g?872;39o70?:6;1g?840139o70<65;1g?853>39o70=88;1g?85??39o70=:0;1g?826>39o70<7a;1g?84ej39o70<7b;1g?84f939o70:39o70?0q~:7:181[2?34>268j4}r6:>5<2s4;m87;k;<006?3c3489m7;k;<3ea?3c34>26:;4}r73>5<4s4?;65<4=9391a=:91=19i5rs4394?4|5<:1?h5254841>{t=;0;6?u253841>;2=3?n7p}:3;296~;2;3=>70;;:4d8yv332909w0;;:678903==o1v8950;0xZ01<5<219i5rs4:94?4|58l969j4=4:930=z{?<1<7=t=0:0>0`<583968k4=03`>231b<5<81:<5rs7a94?4|5?h18i526e841>{t>l0;6?u26d8;6>;2<3?n7p}81;295a}:jh0>n63:0;6b?8?c2=k01<6i:5c894632=k01:5c89c6=630=54`9>53`=52?=531=6g1=:j54`9>6f0=:o54`9>73d=500=ol54`9>6=d=l?54`9>6f4=4<54`9>6d`=?7:k;|q47?6=:r78<=485:?2e<<2n2wx4=4?:3y><4<0=2785290841>{t0=0;6?uQ859>=7<0=2wx4;4?:3y]<3=:1:0<96s|8683>7}Y0>1659485:p<=<72;qU455294841>{t000;6?uQ889>=3<0=2wx4l4?:3y]0<96s|8c83>7}Y0k1655485:p{t0m0;6?uQ8e9>=d<0=2wx4h4?:3y]<`=:1k0<96s|8g83>7}Y0o165n485:p=5<7288p19?::4g891632k<:4d896`b2km:4f8961f26?:4f894542>?01>88:4f8963227k:4f896g626j:4g8962c2mk:4f896eb2?:01>8j:4d891412:18185f?3=>707>:4f8yv?32909w0=nc;56?8?32707::4f8yv?12909w0=m6;56?8?127078:4f8yv??2909w0=l0;56?8??27076:4f8yv?f2909w0=la;56?8?f2707m:4f8yv?d2909w0=k6;56?8?d2e4<0=2wx5k4?:3y>=c<0=27j=7;j;|qb4?6=:r7j<79:;36=4<5h918i525386b>;f83?o7p}n3;290~;f;32970o;:5f8904==l165k4:d:pe1<72:q6m9472:?66?0734k:68h4}rc6>5<5s4>9m7;k;<30f?123tyj:7>53z?233<2l27:4=4:e:?1a<<0=2wxm:4?:2y>043=?<16=:?55e9>5=0==m1vl650;4x94642>?019>7:4g8916a2k>:4g896>328k:4d8yvgf2909w0m=:4f8942>2>?0q~ol:181[gd34h;68j4}rcg>5<5sWko70l>:4f8yvd6290?w0?<5;7g?874n3?n70?>f;7g?8d62>?0q~m>:1808e42>?01<8::4g8940c2?01n=55g9~wf2=83=p1nm5839>`1<3m27on7;k;0`<5o?19i5211491a=:lo0=<6s|c483>3}:km03>63k4;6e?8bc255>==m16hk4:f:pg3<720b<5ml19h5rsb594?0|5jl14?52d787b>;b93?n70h7:4f8946f2=83?p1i>5839>`2<3n27m57;k;<33g?3c34o868k4}ra:>5i63ia;7g?877:3?n70=ia;7f?851<3?n70=6f;7e?877j3?o70k8:4d8yvef2902w0j=:9089a?=1`<5oi19i5211291`=:;o>19h5234d91`=:;0<19h5rsea94?4|5m?18h52de841>{tll0;69u2d787a>;b93?m70ji:6789`5=>91vh>50;0x9a1=4=d1930=z{l>1<77}:lh0?i63j7;56?xub03:1>v3lc;6g?8be2>?0q~k6:1818ec2=n01i:5a89~w`g=838p1nk54e9>`0gc<3l27o:7o6;|qfg?6=:r7o<7:k;d?1b<5m21m45rsdg94?4|5m818i52d88b=>{tmo0;6?u2d287`>;ci3k27p}i0;293~;a832970??1;7g?85bk3?o70=8f;7f?852>3?o70=6c;7g?85?i3?o7p}i1;296~;a83>o70hj:678yv`52909w0h?:2g89c5=?<1vk:50;7x9c5==o16=<:55d9>b`<2l27mh79:;<322?3b3tym97>52z?e1?1234lo68k4}rd5>5<5s4l=6:;4=gf91c=z{o=1<77}:n10<963id;42?xua13:1>v3i9;56?8`c2?80q~hn:1818`f2>?01kj5629~wcd=838p1kl5749>ba<1<2wxjn4?:3y>bf<0=27mh78:;|qeb?6=:r7:<=485:?246<2m2wx==>50;0x94662>?01<>=:4d8yv7793:1>v3>03841>;68:0>j6s|11694?4|58:?65<4=267>0b52z?241<4m27:<:485:p550=838p1<>9:678946a20g86b>{t9931<723<58:m6;>4}r33e?6=:r7:?01<>i:718yv77k3:1>v3>0b841>;68o0=>6s|11f94?4|58:o6:;4=02e>3252z?24`<0=27:<:4:f:p55`=838p1<>i:678946020;296~;69903>63l3;7f?xu6980;6?u21039<7=:98<19k5rs031>5<5s4;:=7=j;<320?123ty:=>4?:3y>545=?<16=<:55g9~w4722909w0?>0;1f?87603=>7p}>1783>7}:98<1;85210:91`=z{8;<6=4={<323?1234;:47;i;|q25<<72;q6=54e==l1v70?>c;7e?xu69m0;6?u210g930=:9;819k5rs03f>5<5s4;:j79:;<317?3a3ty:=k4?:3y>576=?<16=?:55d9~w4472909w0?=1;56?875<3?m7p}>2083>7}:9;81;85213791c=z{8896=4={<317?1234;9978?;|q266<72;q6=?:5749>573=>81v<<9:180872=3?m70?=a;56?875;3?n7p}>2683>7}:9;=1;85213c91c=z{8836=4={<3157g=>81v<70?<0;7e?xu6:j0;6?u213f930=:9:;19h5rs00g>5<5s4;9i79:;<305?3a3ty:>h4?:3y>57`=?<16=>=55g9~w44a2909w0?<0;56?874:3?n7p}>3183>7}:9:;1;85212091c=z{89:6=4={<306?1234;8?7;j;|q271<72;q6=>;5749>515==o1v<=::181874k3=>70?<6;7f?xu6;?0;6?u2125930=:9:k19k5rs014>5<5s4;8479:;<30=?3b3ty:?54?:3y>56?=?<16=>o55d9~w45>2909w0?3b83>7}:9:n1;85212491c=z{89o6=4={<30a?1234;857;i;|q27`<72;q6=>h5749>561==l1v<=i:18187383=>70?<7;7e?xu6<90;6?u2153930=:9:h19h5rs062>5<5s4;?>79:;<30f?073ty:8?4?:3y>515=?<16=>655d9~w4242909w0?<6;56?87403?m7p}>4583>7}:9=?1;85215;91`=z{8>>6=4={<372?1234;?47;j;|q203<72;q6=995749>51>==o1v<:8:18187303=>70?;9;7e?xu6u2140930=:9;819h5235791`=z{8>i6=4={<37f?1234;>>7;i;|q20f<72;q6=9m5749>507==l1v<:k:181873l3=>70?:0;7f?xu65<5s4;?j79:;<366?073ty:9=4?:3y>506=?<16=8?55g9~w4362909w0?:1;56?872:3?n7p}>5283>7}:9<914?5214490a=z{8??6=4={<367?5b34;>979:;|q213<7257c==m16=>>55d9>51b==l16=>j55e9~w43?2909w0?:6;1f?872?3=>7p}>5883>7}:9<314?5217291`=z{8?j6=4<{<36=?5c34>:47=k;<36f?123ty:9n4?:3y>50?=6083>6}:91o19h5217g930=:91i19k5rs041>5<5s4;=>76=;<352?3c3ty::>4?:3y>534=;l16=;;5749~w4032909w0?94;56?871=3?m7p}>6783>7}:9?<1;85217g91`=z{8<<6=4={<353?>534;=o7;k;|q22=<72;q6=;953d9>53g=?<1v<86:18187113=>70?9a;7e?xu6>k0;6?u217`930=:9?o19k5rs04`>5<5s4;=o79:;<35a?073ty::i4?:3y>53b=?<16=;k5609~w40a2909w0?9f;:1?87?j3?n7p}>7183>7}:9?l1?h52161930=z{8=:6=4={<345?1234;525==o1v<9;:181870<32970?8f;7g?xu6?<0;6?u216697`=:9>21;85rs055>5<5s4;<:79:;<34521=?<16=:655g9~w41>2909w0?89;:1?87?i3?n7p}>7`83>7}:9>31?h5216f930=z{8=i6=4={<34f?1234;52b==o1v<9j:18187?83=>70?78;7g?xu6?o0;6?u216d930=:91:19k5rs0:2>5<5s4;3=76=;<3:0?3c3ty:4?4?:3y>5=7=32908w0?71;1f?87?n39n70?7d;56?xu60<0;6?u2197930=:91k19k5rs0:5>5<5s4;3:79:;<3;f?3a3ty:4:4?:3y>5=1=?<16=5m5609~w4>?2909w0?78;56?87?k3<97p}>8883>7}:9131;85219f91c=z{82j6=4={<3;e?1234;3o7;j;|q25=e=>91v<6l:18187?k3=>70?7d;7f?xu60o0;6?u219d9<7=:;9o19i5rs0;3>5<5s4;3j7:k;<3:6?123ty:5<4?:3y>5<7=?<16=4<55g9~w4?42909w0?64;56?87>=3?m7p}>9783>7}:90<14?522g791a=z{83<6=4={<3:2?2c34;2n79:;|q2==<72;q6=465749>513=>70?6a;7e?xu61h0;6?u218c930=:90h19k5rs0;`>5<4s4;2h79:;<016?3c34;mm7;k;|q2=`<72;q6=4k5839>5m39o70<91;1g?842<39o70<;a;1g?843;39o70<9;1g?87e839o70?n0;56?xu6i80;6?u21`39<7=:90n19h5rs0c1>5<4s4;j=7:k;<3:a?2c34;j?79:;|q2e1<720q6=l:5839>756==?16=k655g9>61?==m16>>?55d9>6c`==?16??k5579>74e==?16?>>5579~w4g22908w0?n4;6g?87f03?n70?n9;56?xu6i?0;6?u21`697`=:9h21;85rs0c4>5<5s4;j;79:;<3b5dg=0;16=lj54e9>5d1==m1vo70?nc;56?xu6im0;6?u21`f9<7=:9h319h5rs0cf>5<4s4;jj79:;<012?3c34;mo7;k;|q2f5<72;q6=o>5839>5d`==o1v:18187e932970?nf;7f?xu6j;0;6>u21c390a=:9k:18i521c1930=z{8h?6=46{<3a=?>534;hn7:j;<3g4?3a34;n=7;j;<3f7?3c34;io7;k;<3fg?3c348=n7;k;<046?3a3ty:nl4?:3y>5g?=bb83>7}:9ki1;8521cf91c=z{8ho6=4={<3a`?1234;ii7;i;|q2f0<721q6=oh5839>5fd=55d9>5`7==o16=h<55e9>5`c==m16>;o55e9>624=>91vo70?l1;56?xu6j?0;6:u21b09<7=:9ji18k521e091`=:9l<19i521g291a=::?i19i52260924=z{8i86=4={<3`6?2c34;h879:;|q2f2<72>q6=n;5839>5fb=5`3==m16=hh55e9>63b==m16>::55e9~w4e12909w0?l5;6g?87d?3=>7p}>b983>2}:9j214?521bg90c=:9l;1:=521d691a=:9o;19k5227g91a=::>?19i5rs0a:>5<5s4;h47:k;<3`e?123ty:ok4?:2y>5fe=5a6=?<1v:18087dl3>n70?k4;7e?87c:3=>7p}>d283>7}:9jo18h521e6930=z{8n>6=4:{<3`5?3b34;h87;j;<3`3?3b34;hm7;j;<3g5a0=?<16=i655d9~w4b02909w0?k7;56?87c03?m7p}>d883>7}:9jh1m4521b391c=z{8nj6=4={<3`g?g>34;h87;i;|q2`g<72;q6=nj5a89>5f1==o1vu21ed930=:9m=19i521cg91`=z{8nn6=4={<3ga?1234;oj7;i;|q2a5<72:q6=i855e9>5`?=?<16=ol55e9~w4c62909w0?j1;56?87b03?n7p}>e383>7}:9l81;8521d591c=z{8o86=4={<3f7?1234;n;7;j;|q2a1<72;q6=h:5749>5`1=>91v70?j9;7f?xu6m?0;6?u21d4930=:9l31:=5rs0g4>5<5s4;n;79:;<3f5`>=?<16=h755g9~w4cf2908w0?ja;:1?845?3?o70?id;7g?xu6mk0;6?u21dc90a=:9o;1;85rs0g`>5<5s4;no79:;<3e5?3b3ty:ii4?:3y>5`b=?<16=k?5619~w4cb2909w0?je;56?87a93<97p}>eg83>7}:9ll1;8521g3924=z{8l;6=4={<3e4?1234;m=78<;|q2b7<72:q6=k=54e9>5c4=0;16=ik55e9~w4`42909w0?i3;:1?87cn3?n7p}>f483>7}:9o81?i521g6930=z{8l=6=4<{<3e2?>53483m7:k;<046?3b3ty:j:4?:2y>5c>=?<16=4655d9>655==l1v6;7f?847=3?n705<5s4;mn79:;<3eb?3a3ty:jn4?:3y>5ce=?<16=kh5619~w4`c2909w0?id;56?87an3<:7p}>fd83>7}:9oo1;85221291c=z{8lm6=4={<3eb?12348;<7;j;|q144<72;q6=k854e9>65>=?<1v?>=:181847:3=>705<5s48;879:;<032?3a3ty9<84?:3y>653=?<16>=955g9~w7612909w07}::9=1;85221:91`=z{;:26=4;{<03=?>5348i57;k;<04b?3a348;j7;j;|q14d<72;q6>=754e9>646=?<1v?>m:181847j3=>70<>6;7e?xu58j0;6?u221a930=::9o19k5rs32g>5<5s48;h79:;<024?3a3ty965c=?<16>=h55g9~w76a2909w07}::8;1;85220591c=z{;;96=4={<026?12348;i78?;|q156<72;q6><=5749>65b==o1v??;:181846<3=>70<>8;7e?xu59<0;6?u2207930=::9n1:=5rs335>5<5s48::79:;<03g?3a3ty9=:4?:3y>641=?<16>=k55d9~w77?2909w0<>8;56?847l3?n7p}=1883>6}::8314?521g:91`=:::;19k5rs33b>5n7;k;<06`?3b3ty9=o4?:3y>64g=c;56?846n3?n7p}=1e83>7}::8n1;85220g91c=z{;;n6=4={<02a?12348:j7;i;|q165<72lq6>?>5839>61e==l16>>>55g9>665==m16>?:55d9>64c==l16=4l55d9>65d==m16><=55g9>641==l16>=955d9>610==m16>8h55d9>61`==m1v?<>:18184583>o70<=5;56?xu5:;0;6?u2230930=::;i19h5rs300>5<5s489?79:;<010?3a3ty9>94?:3y>672=?<16>?;55d9~w7412909w0<=6;56?845k3?m7p}=2683>7}::;=1;85223a925=z{;836=4={<01;|q16<<72;q6>?75749>675==l1v?70<=3;43?xu5:k0;6?u223`930=::;n19k5rs30`>5<5s489o79:;<017?3a3ty9>i4?:3y>67b=?<16>?;55g9~w74b290mw0<=e;:1?844>3?n70<=9;7g?845l3?n70<>c;7f?846l3?o70?68;7e?846:3?o70<>8;7f?847:3?o705<5s488<79:;<00667=?<16>>;55d9~w7552909w0<<2;56?844=3?m7p}=3283>7}:::91;85222591`=z{;9?6=4={<000?123488;78?;|q170<72;q6>>;5749>660==o1v?=9:181844>3=>70<<7;7e?xu5;>0;6?u2225930=:::219k5rs31:>5<4s488m79:;<01>l5839>66g==o1v?=l:181844k32970<;6=:0?m63=3d841>{t::l1<7=4<5;=868h4}r074?6=:r798=472:?136<2m2wx>9?50;1x97272=n01?=i:5f897252>?0q~<;3;297~;5<:03>63<0186`>;5no0>h6s|25694?4|5;>869j4=36;>2352z?100<0=2798:4:f:p610=838p1?:9:678972?20<963=4986a>{t:=k1<7=4<5:;968j4}r07f?6=:r798l4;d:?10f<0=2wx>9j50;0x972c21801>?9:4f8yv43m3:1>v3=4e87`>;5=:0<96s|25d94?4|5;>m6:;4=371>0c<7>52z?115<0=2799?4:f:p607=838p1?;>:67897342{t:<>1<7=4<5:;h68j4}r061?6=:r79994;d:?11=<0=2wx>8850;0x97312>?01?;7:4g8yv42?3:1>v3=56841>;5=10>j6s|24;94?5|5;?=68j4=340>0b<5;<;6:;4}r06e?6=:r799l485:?11a<2n2wx>8l50;0x973e2>?01?;j:4g8yv42k3:1>v3=5b841>;5=l0=<6s|24f94?4|5;?o6:;4=37e>0`i7>52z?11`<0=279:=4:f:p60`=838p1?;i:67897072803>63<2d86`>{t:?81<71b<5;<>6:;4}r057?6=:r79:>485:?120<2m2wx>;:50;0x97032>?01?8::4d8yv41>3:1?v3=678;6>;6mm0>h63<3186`>{t:?=1<71b<5;<36:;4}r05=?6=:r79:;4;o50;0x970f2>?01?8i:4d8yv41j3:1>v3=6c841>;5>o0>i6s|27a94?4|5;3652z?12a<0=279:k491:p63c=838p1?8j:678970a2?80q~<9f;296~;5>o0<963=7186b>{t:>;1<7=t=34;>0b<5;=;68k4=35;>237>52z?137<0=279;54:e:p625=838p1?9<:67897102{t:>?1<723<5;==68h4}r042?6=:r79;;485:?132<2n2wx>:950;0x97102>?01?97:4d8yv4013:1>v3=788;6>;5n?0>h6s|26c94?`|5;=j65<4=3db>0b<5;2268h4=3`g>0c<5;hn68j4=3:g>0c<5;2n68j4=3c0>0c<5;k?68j4=3fb>0`<5;h868j4=3ga>0b<5;2868j4=3;:>0`<5;ko68k4}r04f?6=:r79;l4:j50;1x971c21801<8n:4g8940e2{t:1:1<7=t=35g>6c<5;h<6>k4=3:5>2352z?1<4<0=279484:f:p6=4=838p1?6=:67897>22?:0q~<73;296~;50:0<963=84855>{t:1>1<723<5;2=68h4}r0;1?6=:r7948485:?1<3<2m2wx>5950;0x97>021801?9l:4g8yv4?03:1>v3=8687`>;5000<96s|29c94?0|5;2j65<4=3f6>0b<5;nn68h4=3f2>0`<5;2968j4=3;4>0b52z?1?0q~<7d;296~;50m0<963=9086a>{t:1o1<723<5;3;68k4}r0;b?6=:r794k485:?1=5<2n2wx>4>50;0x97?72>?01?7>:4d8yv4>:3:1>v3=938;6>;50m0>j6s|28194?4|5;3969j4=3;7>2352z?1=0?0q~<67;296~;51>0<963=9e86b>{t:031<723<5;3m68k4}r0:e?6=:r795l485:?1=`<2m2wx>4l50;0x97?e2>?01?7j:4d8yv4>k3:1>v3=9b841>;5i90>j6s|28f94?4|5;3o6:;4=3;;>0c52z?1=`<0=2795k4:f:p6<`=838p1?7i:67897g72{t:h;1<7=t=3c2>=4<5;?h68j4=372>0b7>52z?1e4<3l279m;485:p6d5=838p1?o<:67897g12{t:h?1<723<5;k=68h4}r0b3?6=:r79m<4l650;0x97g?2>?01?ol:4g8yv4f13:1>v3=a8841>;5ij0>j6s|2`c94?4|5;kj6:;4=3cf>0c52z?1eg<0=279mh490:p6de=838p1?ol:67897gc2{t:hl1<7=4<5;k868h4}r0a4?6=;r79mk4:67897d12{t:k91<723<5;h>68h4}r0a0?6=:r79n9485:?1f3<182wx>o;50;0x97d22>?01?l9:4g8yv4e?3:1>v3=b68;6>;5m10>h6s|2c:94?4|5;h<69j4=3`b>2352z?1f<<0=279nl4:f:p6gd=838p1?lm:90897032{t:kn1<723<5;i:68k4}r0aa?6=:r79nh485:?1g5<2m2wx>oh50;0x97da2>?01?m?:4d8yv4d83:1>v3=c1841>;5k80>j6s|2b094?4|5;i965<4=3`g>0`52z?1g7<3l279o9485:p6f3=83?p1?9n:5f897e221801?m=:2g897?52:o01?9l:4d8yv4d>3:1:v3=c78;6>;5lj0>h63=b586`>;50<0>i63=9c86`>;5i00>h6s|2b594?4|5;i=6>k4=3af>2352z?1g=<0=279oo4:e:p6f?=838p1?m6:67897ee2{t:jh1<723<5;ih68h4}r0`g?6=:r79on485:?1ga<2n2wx>nj50;0x97ec2>?01?mj:4g8yv4dn3:15v3=cg8;6>;5m:0>h63=de86`>;5ll0>i63=d086a>;5j;0>i63=9b86`>;5k10>h63=ac86`>{t:m:1<71b<5;n96:;4}r0g5?6=:r79h<485:?1`7<2n2wx>i=50;ax97b421801?k;:4g897bf2:4f897?>22v3=d287`>;5l00<96s|2e794?4|5;n>6:;4=3g3>0`52z?1`3<0=279h4490:p6a1=838p1?j8:67897b?2{t:mk1<723<5;n<68k4}r0gf?6=:r79ho485:?1a4<2m2wx>im50;0x97bd2>?01?k>:4d8yv4cl3:1>v3=de841>;5l10>j6s|2eg94?4|5;nn6:;4=3f5>0c52z?1`c<0=279h;4:f:p6`6=838p1?k?:67897b>2{t:l81<723<5;o?68h4}r0f1?6==r79;44;d:?1fg<4m2794o42{t:lk1<7=t=3g4>0b<5;om6:;4=3a`>0c52z?1ag<0=279ik4:e:p6`e=838p1?kl:67897ca2{t:lo1<723<5;om6;?4}r0e4?6=:r79j<485:?1b6<2m2wx>k?50;0x97`52>?01?h<:4d8yv4a<3:1>vP=f59>6c>=?<1v?h::18184a=3=>705<5s48m;79:;<0ekm50;0xZ7`d348mh7;k;|q1ba<72kq6=8j55e9>50`==m168?=55d9>07d==o16=4;55d9>5=c==o16807c==m16>k<55e9>07>==o16>kj5749~w7`b2909wS79:;|q047<72;q6>975749>754==m1v>><:181[57;278<9485:p752=838p1<6j:678966320;6?uQ3158966?2h63<09841>{t;931<77p}<0c83>7}Y;9h01>>l:678yv57l3:1>vP<0e9>75c=?<1v>>i:181[57n278==4:d:p746=838p1?0q~=>1;296~X49816?<<5749~w6742909wS=>3:?051<0=2wx?<:50;0x97`42>?01>?;:4f8yv56=3:1>vP<149>740=?<1v>?8:181[56?278=54:d:p74>=838p1;j55e9>74>=?<1v>?6:181[561278=l4:d:p74g=83>p1?7;:4f8917a2?0q~=>b;296~X49k16?d:?05`<0=2wx??01>?j:4f8yv56n3:1>vP<1g9>776=?<1v>70==0;7g?xu4:80;6?uQ333896452>?0q~==2;296~;3:j0<963<2386`>{t;;91<77p}<2583>7}:<;31;85233691a=z{:8>6=4={_111>;4:?0>h6s|33494?4|5;9n68j4=205>2352z\062=:;;21;85rs20;>5<5s4>9m79:;<1144?:3y]77?<5:8j6:;4}r11e?6=:r7?>o485:?06d<2l2wx??l50;0xZ64e3499o7;k;|q06f<72=q6>n:55e9>066==m16>oh55e9>77e=?<1v>h485:p77`=838pR>=50;0xZ654349887;k;|q071<72;q6>9<55e9>762=?<1v>=::181[54=278?;4:d:p760=83kp1?oi:5f891452:4d8942a2?0q~=<7;297~;6?k0>h63<3e841>;60<0>h6s|32:94?4|5:936:;4=21b>0c52z?07<<0=278?o4:f:p76g=838p1>=n:678965c2{t;:i1<723<5:9o68h4}r10a?6=:r78?h485:?000<2n2wx?>h50;0x965a2>?01>=m:4g8yv5383:1>v3<41841>;4;00>i6s|35394?4|5:>:6:;4=21:>0`7>52z?007<0=278?4490:p715=838p1>:<:678965>2?;0q~=;4;296~;4<=0<963<3b86b>{t;=?1<723<5:9j68h4}r172?6==r788;472:?0bc<2l278;k4:f:?02d<2l278594:d:p711=83=p1>:8:908916f2k<:4g8961f2;6:4d896>>28k:4g8yv5303:1>v3<4680a>;426:;4=26g>0`52z?00d<0=2788i490:p71d=838p1>:m:678962c2?;0q~=;c;296~;4{t;=n1<723<5:>n68k4}r17b?6=50;0x963721801>99:4f8yv5293:1>v3<5187`>;4>:0<96s|34094?4|5:?96:;4=27a>0c?7>52z?016<0=2789l490:p702=838p1>;;:678963f2?;0q~=:5;296~;4=<0<963<5`856>{t;<<1<723<5:<968h4}r163?6=:r789:485:?01g<2n2wx?8650;0x963?2>?01>;j:4g8yv5213:1>v3<58841>;4=j0>j6s|34c94?4|5:?j6:;4=242>0`n7>52z?01g<0=278:>4:e:p70e=838p1>;l:678963b2{t;23<5:?m68h4}r16b?6=:r789k485:?025<2n2wx?;>50;0x96072>?01>8>:4g8yv5193:1>v3<60841>;4>;0>i6s|37094?4|5:<96:;4=240>0`52z?021<0=278:=4:e:p733=838p1>8::678963?2?0<963<5886a>{t;?=1<723<5:?26;>4}r152>?01>;l:728yv51i3:1>v3<6`841>;4=h0>i6s|37`94?4|5:0b52z?02g<4m278;9485:p73b=838p1>8k:67896142l0<963<7386a>{t;?l1<723<5:=968h4}r144?6=:r78;=485:?036<182wx?:?50;0x96162>?01>9;:4d8yv50:3:1>v3<73841>;4?:0>i6s|36194?4|5:=86:;4=257>0c52z?030<0=278;:4:e:p720=838p1>99:6789610263;1586`>{t;>31<71b<5:=n6:;4}r14e?6=:r78;l485:?0<0<2n2wx?:l50;0x961e2>?01>9j:728yv50k3:1>v3<7b841>;4?m0>j6s|36f94?4|5:=o6:;4=25f>0`52z?03c<0=2784;4:e:p7=6=838p1>6?:67896>12{t;181<723<5:=n68k4}r1;7?6=:r784>485:?03g<2m2wx?5:50;0x96>32>?01>9m:4d8yv5?=3:1>v3<84841>;4?m0>i6s|39494?4|5:2=6:;4=25`>0c517y>7=1=0;1687a5==l16?i:55e9>7f1==l16?n655e9>7f4==l16?n=55e9>7gb==l16?ok55e9>7g>==l16?o755e9>7g5==l16?o:55e9>7dc==l16?lh55e9>7d?==l16?lo55e9>7d2==l16?l;55e9>7fe==m16?nk55g9~w6>?2909w0=77;6g?85>j3=>7p}<8883>7}:;131;85239g91c=z{:2j6=4={<1;e?123492478?;|q076l:18185?k3=>70=61;7f?xu40m0;6?u239f930=:;0;1:=5rs2:f>5<5s493i79:;<1:6?3b3ty84k4?:3y>7=`=?<16?4<55g9~w6?72909w0=60;56?85>;3?n7p}<9083>7}:;0;1;85238`91c=z{:396=4={<1:6?12349297;j;|q0=6<72;q6?4=5749>7<0==o1v>7;:18185><3=>70=7f;7e?xu41<0;6?u2387930=:;0=19k5rs2;5>5<5s492:79:;<1:7<1=?<16?4755d9~w6??2909w0=68;56?85>13?m7p}<9883>7}:;031;85238c91`=z{:3j6=4={<1:e?123492n7;j;|q0=f<72;q6?4m5749>7=`==l1v>7k:18185>l3=>70=7f;43?xu41l0;6?u238g930=:;0?1:=5rs2;e>5<5s492j79:;<1:7d6=?<16?4>55d9~w6g62909w0=n1;56?85>83?m7p}7}:;h81;85238191c=z{:k86=4={<1b0?12349j;7;j;|q0e1<72;q6?l;5749>7d0==o1v>o::18185f>3=>70=n7;7e?xu4i10;6?u23`;930=:;hi19h5rs2c:>5<5s49jm79:;<1bf?3a3ty8ml4?:3y>7dd=?<16?lm55g9~w6gc2909w0=ne;56?85e93?n7p}7}:;hl1;8523c291c=z{:km6=4={<1a4?12349i=7;i;|q0f7<72;q6?o=5749>7g0==l1v>l<:18185e<3=>70=m5;7e?xu4j=0;6?u23c7930=:;k<19k5rs2`4>5<5s49i479:;<1af?3b3ty8n54?:3y>7g?=?<16?oo55g9~w6d>2909w0=ma;56?85ej3?m7p}7}:;kn1;8523b291`=z{:ho6=4={<1aa?12349ij7;i;|q0f`<72;q6?oh5749>7f6==o1v>m>:18185d:3=>70=l5;7f?xu4k;0;6?u23b1930=:;j>19k5rs2a0>5<5s49h879:;<1`1?3a3ty8o;4?:3y>7f1=?<16?no55d9~w6e02909w0=l8;56?85d13?m7p}7}:;j31;8523bc91c=z{:ii6=4={<1`g?12349hj7;j;|q0gf<72;q6?nj5749>7f`==o1v>mk:18185dm3=>70=k1;7e?xu4kl0;6?u23bd930=:;m:19k5rs2ae>5<5s49o<79:;<1g5?3b3ty8h?4?:3y>7a5=?<16?i855d9~w6b42909w0=k4;56?85c=3?m7p}7}:;m?1;8523e491c=z{:n<6=4>7z?0`2ji:678yv5c13:1>v3;4lk0>i6s|3ec94?4|5:nj6:;4=2f`>0`52z?0`g<0=278hk4:e:p7ae=838p1>jl:67896bc2{t;mo1<723<5:nm68h4}r1f4?6=:r78i=485:?0a3<2n2wx?h?50;0x96c62>?01>jj:4g8yv5b:3:1>v3;4m>0>j6s|3d194?4|5:o86:;4=2f`>0c52z?0a1<0=278hl4:e:p7`3=838p1>k::67896bf2{t;l=1<723<5:no68k4}r1f044==l168=755e9>05b==l16?i755g9>7`3==m16?ho55e9>7ce==m16?hk55d9>7=4==m16?;;55e9>701==l16?5l55e9>7<7==o16?9o55e9>73`==m1v>k6:18185b03>o70=i9;56?xu4mh0;6?u23dc930=:;ln19k5rs2ga>5<5s49nn79:;<1e5?063ty8in4?:3y>7`e=?<16?k655g9~w6cc2909w0=jd;56?85a:3?n7p}7}:;lo1;8523g091c=z{:om6=4={<1fb?12349m?7;j;|q0b5<72;q6?k>5749>7c5==o1v>h>:18185a93=>70=i7;7e?xu4n;0;6?u23g0930=:;o319h5rs2d0>5<5s49m?79:;<1e0?3a3ty8j94?:3y>7c2=?<16?k855g9~w6`22909w0=i5;56?85bm3?m7p}7}:;o<1;8523g591`=z{:l<6=4={<1e3?12349m47;j;|q0b=<72;q6?k65749>7c?==o1v>hn:18185ai3=>70=i6;7f?xu4nk0;6?u23g`930=:;ll19h5rs2d`>5<5s49mo79:;<1fb?3a3ty8ji4?:3y>7cb=?<16?k>55d9~w6`b2909w0=ie;56?85a83?m7p}7}:;ol1;8523g391c=z{=:;6=4={<634?12349m=78?;|q744<72mq68=?5839>05e==m16?h<55e9>7`0==l16?hj55d9>7=5==m16?8<55g9>73?==m16?8:55e9>7=b==m16?4955d9>71?==m16?:>55e9~w1652909w0:?1;6g?827?3=>7p};0283>7}:<991;85241f91c=z{=:?6=4={<630?1234>;97;i;|q740<72;q68=;5749>050==l1v9>9:181827>3=>70:?7;7e?xu3810;6?u241:930=:<9o19h5rs52:>5<5s4>;579:;<63a?3a3ty?05g=?<168=h55g9~w16e2909w0:?b;56?827<3<;7p};0b83>7}:<9i1;852414925=z{=:o6=4={<63`?1234>;;7;j;|q74`<72;q68=k5749>053==l1v9>i:181827n3=>70:?4;7f?xu3990;6?u2403930=:<8819k5rs530>5<5s4>:879:;<621?3a3ty?=;4?:2y>040=0;16j>4:e:?762<2l2wx8<950;0x91712=n019?=:678yv2603:1>v3;198;6>;48j0>h6s|40;94?4|5=;369j4=53b>23:o7>52z?75g<2l27?>=485:p04b=838p19?k:67891472e;296~;39l0<963;21854>{t<8l1<723<5=8;6;?4}r615?6=:r7?>?485:?766<2n2wx8?:50;0x91422>?019<7:4g8yv25=3:1>v3;27841>;3:10=<6s|43494?4|5=8<6:;4=50:>0`9;7>52z?76=<0=27?>44:e:p07b=838p19?0q~:=e;296~;3:l0<963;3086b>{t<;l1<723<5=9:6;>4}r604?6=:r7??=485:?774<192wvbom6:182Md43tdiol4?:0yKf6=zfkii6=4>{I`0?xhekj0;65rncae>5<6sAh87p`md183>4}Oj:1vboj>:182Md43tdih?4?:0yKf6=zfkn86=4>{I`0?xhel=0;65rncf4>5<6sAh87p`md983>4}Oj:1vboj6:182Md43tdihl4?:0yKf6=zfkni6=4>{I`0?xhelj0;65rncfe>5<6sAh87p`me183>4}Oj:1vbok>:182Md43tdii?4?:0yKf6=zfko86=4>{I`0?xhem=0;65rncg4>5<6sAh87p`me983>4}Oj:1vbok6:182Md43tdiil4?:0yKf6=zfkoi6=4>{I`0?xhemj0;65rncge>5<6sAh87p`mf183>4}Oj:1vboh>:182Md43tdij?4?:0yKf6=zfkl86=4>{I`0?xhen=0;65rncd4>5<6sAh87p`mf983>4}Oj:1vboh6:182Md43tdijl4?:0yKf6=zfkli6=4>{I`0?xhenj0;65rncde>5<6sAh87p`l0183>4}Oj:1vbn>>:182Md43tdh{I`0?xhd8=0;65rnb24>5<6sAh87p`l0983>4}Oj:1vbn>6:182Md43tdh{I`0?xhd8j0;65rnb2e>5<6sAh87p`l1183>4}Oj:1vbn?>:182Md43tdh=?4?:0yKf6=zfj;86=4>{I`0?xhd9=0;65rnb34>5<6sAh87p`l1983>4}Oj:1vbn?6:182Md43tdh=l4?:0yKf6=zfj;i6=4>{I`0?xhd9j0;65rnb3e>5<6sAh87p`l2183>4}Oj:1vbn<>:182Md43tdh>?4?:0yKf6=zfj886=4>{I`0?xhd:=0;65rnb04>5<6sAh87p`l2983>4}Oj:1vbn<6:182Md43tdh>l4?:0yKf6=zfj8i6=4>{I`0?xhd:j0;65rnb0e>5<6sAh87p`l3183>4}Oj:1vbn=>:182Md43tdh??4?:0yKf6=zfj986=4>{I`0?xhd;=0;65rnb14>5<6sAh87p`l3983>4}Oj:1vbn=6:182Md43tdh?l4?:3yKf6=zfj9i6=4={I`0?xhd;j0;6?uGb29~jf5c2909wEl<;|l`7`<72;qCn>5rnb1e>5<5sAh87p`l4183>7}Oj:1vbn:>:181Md43tdh8?4?:3yKf6=zfj>86=4={I`0?xhd<=0;6?uGb29~jf222909wEl<;|l`03<72;qCn>5rnb64>5<5sAh87p`l4983>4}Oj:1vbn:6:181Md43tdh8l4?:3yKf6=zfj>i6=4={I`0?xhd5rnb6e>5<5sAh87p`l5183>4}Oj:1vbn;>:182Md43tdh9?4?:0yKf6=zfj?86=4>{I`0?xhd==0;65rnb74>5<6sAh87p`l5983>4}Oj:1vbn;6:182Md43tdh9l4?:0yKf6=zfj?i6=4>{I`0?xhd=j0;65rnb7e>5<6sAh87p`l6183>4}Oj:1vbn8>:182Md43tdh:?4?:0yKf6=zfj<86=4>{I`0?xhd>=0;65rnb44>5<6sAh87p`l6983>4}Oj:1vbn86:182Md43tdh:l4?:0yKf6=zfj{I`0?xhd>j0;65rnb4e>5<6sAh87p`l7183>4}Oj:1vbn9>:182Md43tdh;?4?:0yKf6=zfj=86=4>{I`0?xhd?=0;65rnb54>5<6sAh87p`l7983>4}Oj:1vbn96:182Md43tdh;l4?:0yKf6=zfj=i6=4>{I`0?xhd?j0;65rnb5e>5<6sAh87p`l8183>4}Oj:1vbn6>:182Md43tdh4?4?:0yKf6=zfj286=4>{I`0?xhd0=0;62290:wEl<;|l`<3<728qCn>5rnb:4>5<6sAh87p`l8983>4}Oj:1vbn66:182Md43tdh4l4?:0yKf6=zfj2i6=4>{I`0?xhd0j0;6c290:wEl<;|l`<`<728qCn>5rnb:e>5<6sAh87p`l9183>4}Oj:1vbn7>:182Md43tdh5?4?:0yKf6=zfj386=4>{I`0?xhd1=0;65rnb;4>5<6sAh87p`l9983>4}Oj:1vbn76:182Md43tdh5l4?:0yKf6=zfj3i6=4>{I`0?xhd1j0;65rnb;e>5<6sAh87p`la183>4}Oj:1vbno>:182Md43tdhm?4?:0yKf6=zfjk86=4>{I`0?xhdi=0;65rnbc4>5<6sAh87p`la983>4}Oj:1vbno6:182Md43tdhml4?:0yKf6=zfjki6=4>{I`0?xhdij0;65rnbce>5<6sAh87p`lb183>4}Oj:1vbnl>:182Md43tdhn?4?:0yKf6=zfjh86=4>{I`0?xhdj=0;65rnb`4>5<6sAh87p`lb983>4}Oj:1vbnl6:182Md43tdhnl4?:0yKf6=zfjhi6=4>{I`0?xhdjj0;65rnb`e>5<6sAh87p`lc183>4}Oj:1vbnm>:182Md43tdho?4?:0yKf6=zfji86=4>{I`0?xhdk=0;65rnba4>5<6sAh87p`lc983>4}Oj:1vbnm6:182Md43tdhol4?:0yKf6=zfjii6=4>{I`0?xhdkj0;65rnbae>5<6sAh87p`ld183>4}Oj:1vbnj>:182Md43tdhh?4?:0yKf6=zfjn86=4>{I`0?xhdl=0;65rnbf4>5<6sAh87p`ld983>4}Oj:1vbnj6:182Md43tdhhl4?:0yKf6=zfjni6=4>{I`0?xhdlj0;65rnbfe>5<6sAh87p`le183>4}Oj:1vbnk>:182Md43tdhi?4?:0yKf6=zfjo86=4>{I`0?xhdm=0;6?uGb29~jfc2290:wEl<;|l`a3<728qCn>5rnbg4>5<6sAh87p`le983>4}Oj:1vbnk6:181Md43tdhil4?:0yKf6=zfjoi6=4={I`0?xhdmj0;65rnbge>5<5sAh87p`lf183>4}Oj:1vbnh>:181Md43tdhj?4?:3yKf6=zfjl86=4={I`0?xhdn=0;65rnbd4>5<5sAh87p`lf983>7}Oj:1vbnh6:181Md43tdhjl4?:3yKf6=zfjli6=4>{I`0?xhdnj0;65rnbde>5<6sAh87p`k0183>4}Oj:1vbi>>:182Md43tdo{I`0?xhc8=0;65rne24>5<6sAh87p`k0983>4}Oj:1vbi>6:182Md43tdo{I`0?xhc8j0;65rne2e>5<6sAh87p`k1183>4}Oj:1vbi?>:182Md43tdo=?4?:0yKf6=zfm;86=4>{I`0?xhc9=0;65rne34>5<6sAh87p`k1983>4}Oj:1vbi?6:182Md43tdo=l4?:0yKf6=zfm;i6=4>{I`0?xhc9j0;65rne3e>5<6sAh87p`k2183>4}Oj:1vbi<>:182Md43tdo>?4?:0yKf6=zfm886=4>{I`0?xhc:=0;65rne04>5<6sAh87p`k2983>4}Oj:1vbi<6:182Md43tdo>l4?:0yKf6=zfm8i6=4>{I`0?xhc:j0;65rne0e>5<6sAh87p`k3183>4}Oj:1vbi=>:182Md43tdo??4?:0yKf6=zfm986=4>{I`0?xhc;=0;65rne14>5<6sAh87p`k3983>4}Oj:1vbi=6:182Md43tdo?l4?:0yKf6=zfm9i6=4>{I`0?xhc;j0;65rne1e>5<6sAh87p`k4183>4}Oj:1vbi:>:182Md43tdo8?4?:0yKf6=zfm>86=4>{I`0?xhc<=0;65rne64>5<6sAh87p`k4983>4}Oj:1vbi:6:182Md43tdo8l4?:0yKf6=zfm>i6=4>{I`0?xhc5rne6e>5<6sAh87p`k5183>4}Oj:1vbi;>:182Md43tdo9?4?:0yKf6=zfm?86=4>{I`0?xhc==0;65rne74>5<6sAh87p`k5983>4}Oj:1vbi;6:182Md43tdo9l4?:0yKf6=zfm?i6=4>{I`0?xhc=j0;65rne7e>5<6sAh87p`k6183>4}Oj:1vbi8>:182Md43tdo:?4?:0yKf6=zfm<86=4>{I`0?xhc>=0;65rne44>5<6sAh87p`k6983>4}Oj:1vbi86:182Md43tdo:l4?:0yKf6=zfm{I`0?xhc>j0;65rne4e>5<6sAh87p`k7183>4}Oj:1vbi9>:182Md43tdo;?4?:0yKf6=zfm=86=4>{I`0?xhc?=0;65rne54>5<6sAh87p`k7983>4}Oj:1vbi96:182Md43tdo;l4?:0yKf6=zfm=i6=4>{I`0?xhc?j0;65rne5e>5<6sAh87p`k8183>4}Oj:1vbi6>:182Md43tdo4?4?:0yKf6=zfm286=4>{I`0?xhc0=0;62290:wEl<;|lg<3<728qCn>5rne:4>5<6sAh87p`k8983>4}Oj:1vbi66:182Md43tdo4l4?:0yKf6=zfm2i6=4>{I`0?xhc0j0;6c290:wEl<;|lg<`<728qCn>5rne:e>5<6sAh87p`k9183>4}Oj:1vbi7>:182Md43tdo5?4?:0yKf6=zfm386=4>{I`0?xhc1=0;65rne;4>5<6sAh87p`k9983>4}Oj:1vbi76:182Md43tdo5l4?:0yKf6=zfm3i6=4>{I`0?xhc1j0;65rne;e>5<6sAh87p`ka183>4}Oj:1vbio>:182Md43tdom?4?:0yKf6=zfmk86=4>{I`0?xhci=0;65rnec4>5<6sAh87p`ka983>4}Oj:1vbio6:182Md43tdoml4?:0yKf6=zfmki6=4>{I`0?xhcij0;65rnece>5<6sAh87p`kb183>4}Oj:1vbil>:182Md43tdon?4?:0yKf6=zfmh86=4>{I`0?xhcj=0;65rne`4>5<6sAh87p`kb983>4}Oj:1vbil6:182Md43tdonl4?:0yKf6=zfmhi6=4>{I`0?xhcjj0;65rne`e>5<6sAh87p`kc183>4}Oj:1vbim>:182Md43tdoo?4?:0yKf6=zfmi86=4>{I`0?xhck=0;65rnea4>5<6sAh87p`kc983>4}Oj:1vbim6:182Md43tdool4?:0yKf6=zfmii6=4>{I`0?xhckj0;65rneae>5<6sAh87p`kd183>4}Oj:1vbij>:182Md43tdoh?4?:0yKf6=zfmn86=4>{I`0?xhcl=0;65rnef4>5<6sAh87p`kd983>4}Oj:1vbij6:182Md43tdohl4?:0yKf6=zfmni6=4>{I`0?xhclj0;65rnefe>5<6sAh87p`ke183>4}Oj:1vbik>:182Md43tdoi?4?:0yKf6=zfmo86=4>{I`0?xhcm=0;65rneg4>5<6sAh87p`ke983>4}Oj:1vbik6:182Md43tdoil4?:0yKf6=zfmoi6=4>{I`0?xhcmj0;65rnege>5<6sAh87p`kf183>4}Oj:1vbih>:182Md43tdoj?4?:0yKf6=zfml86=4>{I`0?xhcn=0;65rned4>5<6sAh87p`kf983>4}Oj:1vbih6:182Md43tdojl4?:0yKf6=zfmli6=4>{I`0?xhcnj0;65rnede>5<6sAh87p`j0183>4}Oj:1vbh>>:182Md43tdn{I`0?xhb8=0;65rnd24>5<6sAh87p`j0983>4}Oj:1vbh>6:182Md43tdn{I`0?xhb8j0;65rnd2e>5<6sAh87p`j1183>4}Oj:1vbh?>:182Md43tdn=?4?:0yKf6=zfl;86=4>{I`0?xhb9=0;65rnd34>5<6sAh87p`j1983>4}Oj:1vbh?6:182Md43tdn=l4?:0yKf6=zfl;i6=4>{I`0?xhb9j0;65rnd3e>5<6sAh87p`j2183>4}Oj:1vbh<>:182Md43tdn>?4?:0yKf6=zfl886=4>{I`0?xhb:=0;65rnd04>5<6sAh87p`j2983>4}Oj:1vbh<6:182Md43tdn>l4?:0yKf6=zfl8i6=4>{I`0?xhb:j0;65rnd0e>5<6sAh87p`j3183>4}Oj:1vbh=>:182Md43tdn??4?:0yKf6=zfl986=4>{I`0?xhb;=0;65rnd14>5<6sAh87p`j3983>4}Oj:1vbh=6:182Md43tdn?l4?:0yKf6=zfl9i6=4>{I`0?xhb;j0;65rnd1e>5<6sAh87p`j4183>4}Oj:1vbh:>:182Md43tdn8?4?:0yKf6=zfl>86=4>{I`0?xhb<=0;65rnd64>5<6sAh87p`j4983>4}Oj:1vbh:6:182Md43tdn8l4?:0yKf6=zfl>i6=4>{I`0?xhb5rnd6e>5<6sAh87p`j5183>4}Oj:1vbh;>:182Md43tdn9?4?:0yKf6=zfl?86=4>{I`0?xhb==0;65rnd74>5<6sAh87p`j5983>4}Oj:1vbh;6:182Md43tdn9l4?:0yKf6=zfl?i6=4>{I`0?xhb=j0;65rnd7e>5<6sAh87p`j6183>4}Oj:1vbh8>:182Md43tdn:?4?:0yKf6=zfl<86=4>{I`0?xhb>=0;65rnd44>5<6sAh87p`j6983>4}Oj:1vbh86:182Md43tdn:l4?:0yKf6=zfl{I`0?xhb>j0;65rnd4e>5<6sAh87p`j7183>4}Oj:1vbh9>:182Md43tdn;?4?:0yKf6=zfl=86=4>{I`0?xhb?=0;65rnd54>5<6sAh87p`j7983>4}Oj:1vbh96:182Md43tdn;l4?:0yKf6=zfl=i6=4>{I`0?xhb?j0;65rnd5e>5<6sAh87p`j8183>4}Oj:1vbh6>:182Md43tdn4?4?:0yKf6=zfl286=4>{I`0?xhb0=0;62290:wEl<;|lf<3<728qCn>5rnd:4>5<6sAh87p`j8983>4}Oj:1vbh66:182Md43tdn4l4?:0yKf6=zfl2i6=4>{I`0?xhb0j0;6c290:wEl<;|lf<`<728qCn>5rnd:e>5<6sAh87p`j9183>4}Oj:1vbh7>:182Md43tdn5?4?:0yKf6=zfl386=4>{I`0?xhb1=0;65rnd;4>5<6sAh87p`j9983>4}Oj:1vbh76:182Md43tdn5l4?:0yKf6=zfl3i6=4>{I`0?xhb1j0;65rnd;e>5<6sAh87p`ja183>4}Oj:1vbho>:182Md43tdnm?4?:0yKf6=zflk86=4>{I`0?xhbi=0;65rndc4>5<6sAh87p`ja983>4}Oj:1vbho6:182Md43tdnml4?:0yKf6=zflki6=4>{I`0?xhbij0;65rndce>5<6sAh87p`jb183>4}Oj:1vbhl>:182Md43tdnn?4?:0yKf6=zflh86=4>{I`0?xhbj=0;65rnd`4>5<6sAh87p`jb983>4}Oj:1vbhl6:182Md43tdnnl4?:0yKf6=zflhi6=4>{I`0?xhbjj0;65rnd`e>5<6sAh87p`jc183>4}Oj:1vbhm>:182Md43tdno?4?:0yKf6=zfli86=4>{I`0?xhbk=0;65rnda4>5<6sAh87p`jc983>4}Oj:1vbhm6:182Md43tdnol4?:0yKf6=zflii6=4>{I`0?xhbkj0;65rndae>5<6sAh87p`jd183>4}Oj:1vbhj>:182Md43tdnh?4?:0yKf6=zfln86=4>{I`0?xhbl=0;65rndf4>5<6sAh87p`jd983>4}Oj:1vbhj6:182Md43tdnhl4?:0yKf6=zflni6=4>{I`0?xhblj0;65rndfe>5<6sAh87p`je183>4}Oj:1vbhk>:182Md43tdni?4?:0yKf6=zflo86=4>{I`0?xhbm=0;65rndg4>5<6sAh87psr}AB@447?3ljj:=;a5CDG}7uIJ[wpNO \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngd b/cpld/XC95144XL/WarpSE.ngd new file mode 100644 index 0000000..7a44539 --- /dev/null +++ b/cpld/XC95144XL/WarpSE.ngd @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$5a45=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4000857374A^DPF974601JSK]M<06==>GXNZH7=806;@]EWG:6>730MRH\B=34:<=FWOYI0<619:C\BVD;90437LQISC>2:<=FWOYI0?>19:C\BVD;:8427LQISC>16;d546OPFR@?1;>GXNZH753j4A^DPFZ77W@H^Ji5N_GQA[47XAK_Mh6OPFR@\57YNJ\Lo7LQISC]27ZOE]On0MRH\B^37[LDRNm1JSK]M_07\MGSAl2KTJ^LP17]JFP@c3HUM_OQ>7^KAQCbGXNZHT=RGMUGf8EZ@TJV8;SDLZFe9B[CUEW;;TEO[Id:C\BVDX:;UBNXHk;@]EWGY5;VCIYKm4A^DPFZ4XAK_Mo6OPFR@\7ZOE]Oi0MRH\B^6\MGSAk2KTJ^LP5^KAQCeGXNZHT;RGMUGa8EZ@TJV2TEO[Ic:C\BVDX1VCIYK:4B@AW7>DRN=1IYKK>0:@VMIBX\HXLIYO]CI:8FPUXAGLD=6M=;BG0?FJL?2IGG?>JNb9@HN47MGUDNXH8;BNH60CI02IGG?;JN0a8GIM5=LDTCO[I9:AOO7^XAFHn7NBD2Y]JKGYNJ\L<7NBD_GQAg>EKCVLXNRGMUG58GIMXAFHh7NBD_HMA[LDRN:1H@_74CNONMQRBL>1H^HO[EE18GQJ63Mo0H_MPSTA@AVUA]E=0Hlzn<1<4?Agsi5;5;6Jfs``oac=Cazki`hQnne2345773Mcxmobj_`lg456798:0Hd}nbmg\ekb789:9==5Kircah`Yffm:;<==>0:FjwddkmVkeh=>?0538A==BPYKEHHJ>1:G[TDHCMMUIOIQIIMG20>C_XHDOIIQLOOQWVDOI\Z;:7HV_AOFF@ZOTMVLB@H;4E^KLFd=BW@EISDLZF39E@1=ALJO?7KJLP39EB==AZFGTYNH>;F18CKB63@80E=<4I008M74>0ELM\4:KAQC4OIA]Y_MYK<;HLUa>OIWGMOSL@K_CAG2>OHKFG[86G@CR48MJR^XL<0E]B=r1g8MUJ5z9Uecy>?00d8MUJ5z9Uecy>?0035?LVK:{;<7AGMR@PZ2>JHIMOO?6B@C69OKBODIEk0@]CPXNP1[4eKnffx]i}foo08J54;N68KGSA=2EIYKK<;NLF6>IU;2EY>>5@R218KW243F__=6^l;Q,14456889T@>5_1118T4743Y;9?6^>329S515>5_2218T7243Y8>?6^=729S6=5V4::1[?>=4P260?U52;2Z8:>5_3608T153:R766=W<:90\98<;Q737>V2::1[9>=4P460?U32;2Z>:>5_5918T0?53Y<87]8?3:R556=W>:90\;:<;Q467>V1>:1[::<4P618T2643Y=9?6^8329S315<87]983:R4<6=W?080\5=4P920?U>6;2Z3>>5_8418T=043Y2V>;:1[59=4P870?U?1;2Z2;>5_AE68TDTS;>1[I_G@D! @HN4_W@EI.-?:.744656789*FDw.GIFJ#15(789:;<=>? $16?UCUAFN+.NBD_GQA&%42&9:;<=>?01"NL&OANB+9= ?01234567(,9?7]K]INF#&FJLW@EI.-9!821545678)GCv-FFGI"64+6789:;<=>/%378T@TNGM0H@F43)>?=96@Fu:IKDL?37-8o0\H\FOE8@HNYA[K0997CGz;JJCM<28,897]K]INF9GIMXAFH1;#6<378NLTF48:546\N<0394;02:0=UI58596\N<2<6?WG;<7?0^L2:>49QE909=2XJ0:0:;SC?<;3TFW9UDNXHn;SC\55YHJ\Lj7_OP10]LFP@>3[KT=RAMUG;8VDY5WFH^J45]A^1\KGSA12XJS9Q@BTD:?WGX=VEIYK74R@]5[JDRN01YMR9POCWE=>TFW1UDNXH6;SC\=ZIE]Oi0^H^CABG\KNG^?2XN_Iaaeg9QAVBhflUjbi>?0133?WCTLfdnSl`k01235467_K\r178V@Uu9<1YI^|=5:PLIFU43[Y_m6\jae{\MJDT12XnmiwPR@O:?Wca]{mnby84Rdqvhq0<[JYBO^=4SDV6?VQ;87?0_Z2>>69PS94=87?0_Z2=>`9PS949W@H^J45\W^2\MGSA12Y\S<\LXFECOC8:VJI@UWMJ=0XCCPNDV0?QTN02^YE^ZNTD68PWSBk2^XSNBD2Y]JKGg<\ZUH@FQISCc8PVYDDBUBCOl4TR]WMHCTXLI=7Y]PTOO7?Q_WM:1^OK84UOWPAAg<]ZOYSLBFARa8QVCUW_CXEOBJ3:T@G<=QAL]TXT^J6:UbvuUB9:1\m~\E^VJI@UWMJU^OK?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED=4XNP7?]IU:?1S_YBFB69[WQY@FM=0T^ZPVBA6?]YHFL?0TRZ]I79[[_CUG?1ShoQFdc9[`mYWz`gBb{m4Xej\Twoj\pznj6Vkh^RqmhPbzzcdbk5Wdi]WwaRnelY{in??;Yfn[Hgmg{\n~~g`n028\akXE`dd~[k}shmm2>^h494:?6V|t59YAWI?3Vkeh=>?089\ekb789::56Qnne23454c3jd#_kiCov?4;b2:a=df}%YikMat=0=`>ei|&XnjN`{<2e9`jq)UmoIex181d:amp*TbnJd0:0l;blw+WcaKg~Tei|&XnjN`{_Lcg`ZYflm:;<=QBxnp\V`urd}682?94cov,V``Df}UFmijP_`fg4567WDrd~R\jstnw8185?2iex"\jfBlw[HgclVUjhi>?01]N|jtXZly~`y2:>358gkr(ZllHbyQBaef\[dbc89:;S@v`r^Pfwpjs4?49;6mat.PfbFhsWDkohRQnde2345YJpfxT^h}zlu>4:7g?_SgpqirX8Vddx=>?0002?fhs'[omOczPM`fg[Zgcl9:;?0^]bja6789;9=6mat.PfbFhsWDkohRQnde2345YXign;<=?=2:amp*TbnJdS@okd^]b`a6789UTmcj?013264=df}%YikMat^Ob`aYXimn;<=>P_`lg4565:;1hby!]egAmpZKflmUTmij?012\[dhc89:9=??4cov,V``Df}UFmijP_`fg4567WVkeh=>?3308gkr(ZllHbyQBaef\[dbc89:;SRoad12374463jd#_kiCov\IdbcWVkoh=>?0^]bja678=897n`{/SgeGkrXEhnoSRokd1234ZYffm:;<9?=1:amp*TbnJdS@okd^]b`a6789UTmcj?01716>ei|&XnjN`{_Lcg`ZYflm:;<=QPaof345369?1hby!]egAmpZYflm:;<=2?>048gkr(ZllHbyQPaef3456;97;=7n`{/SgeGkrXWhno<=>?<3<22>ei|&XnjN`{_^cg`5678595=;5lnu-QacEi|VUjhi>?01>7:402iex"\jfBlw[Zgcl9:;<191b:amp*TbnMeei<:4cov,V``CggoTSl`k012356=df}%YikJ`nd]\kw6789;?7n`{/Sge@jhbWVey<=>?1048gkr(ZllOcckPclr\at6789;<7n`{/Sge@jhbWjg{Sh?012252=df}%YikJ`nd]`iuYby9:;1hby!]egFlj`YdeyUn}=>?0534?fhs'[omHb`j_bos[`w789:>=:5lnu-QacBhflUha}Qjq12343703jd#_kiDnlf[fkwWl{;<=>8169`jq)UmoNdbhQlmq]fu56781;<7n`{/Sge@jhbWjg{Sh?012:51=df}%YikJ`nd]mkq6788;o7n`{/SgeQwabf}o0ocz RddVvbci|8o0ocz RddVvbci|;o0ocz RddVvbci|:o0ocz RddVvbci|=i0ocz ThofkprF9=1hby![ilglqqGXWfx;<=>>5:amp*Rnele~xLQPos234576>2iex"ZfmdmvpDYXg{:;<=??149`jq)SadodyyOP_np345659<1hby![ilglqqGXWfx;<=><149`jq)SadodyyOP_np345639<1hby![ilglqqGXWfx;<=>:149`jq)SadodyyOP_np345619<1hby![ilglqqGXWfx;<=>8149`jq)SadodyyOP_np3456?9<1hby![ilglqqGXWfx;<=>6c:amp*Rnele~xOh4cov,Plkbg|~I\k>7:amp*Rnele~xO^}e^]lv5678820ocz ThofkprEX{oTSb|?01225==df}%_e`k`uu@Sv`YXg{:;<=<>4:amp*Rnele~xOQPos2345723jd#YgbenwwFZYhz9:;<94cr-JEFU?3jy$ELM\199`w*OFKZ837n} I@AP72=d{&CDO^64cr-JKFU602ix#DALS3:8gv)NGJY846m|/HM@W1>et'@EH_594cr-L@FU?3jy$CIM\199`w*ICKZ837n} OEAP7==d{&EOO^:7;bq,VDKD[01h"\NMBQ2=>et'[KFO^<6;bq,VDKD[:30o~!]ALAP0<=d{&XJAN]:9:ap+WGJKZ<27n} R@O@W2?et'[EFO^:6;bq,VJKD[<30o~!]OLAP2<=d{&XDAN]89:ap+WIJKZ227n} RNO@W4cr-UmaTFEJY\^;:d109`w*Pnl[KFO^Y]65i254=d{&\bh_OBCRUQ21m5981h"XfdSCNGVQU>=a8=<5ls.Tj`WGJKZ]Y:9e;109`w*Pnl[KFO^Y]65i654=d{&\bh_OBCRUQ21m1981h"XfdSCNGVQU>=a<=<5ls.Tj`WGJKZ]Y:9e7c:ap+kIqm{fju=?;;bq,jJpbzekr;gqa+DUunVddx=>?10:8bvd(JHI_56h|b.@BGQ7>3oyi#OOLT3:8bvd(JLXYm6h|b.@FVW6u9;1mo!MESP3vZYhz9:;<<=4fr`,F@TU8{UTc>?01327>`tj&HN^_>}_^mq4567:01mo!MESP2e>`tj&HN^_?}139ewg)EM[X:~RQ`r123445?289ewg)EM[X956h|b.@FVW5>3oyi#OK]R5;8bvd(JLXY9o5isc-Qadb~8{;87k}m/Sgb`|6uWVey<=>?159ewg)Umhnr<QPos234576<2lxn"\jae{3vZYhz9:;4:dpf*Tbims:~RQ`r12347733oyi#_kndx3q[Ziu89:;?o5isc-Qadb~:{;87k}m/Sgb`|4uWVey<=>?159ewg)Umhnr>QPos234576<2lxn"\jae{1vZYhz9:;>f:dpf*PWIVUd~=>?0028bvd(^YKTSb|?012255=a{k%]\LQPos23454682lxn"X_A^]lv5678:;;7k}m/WRB[Ziu89:;8<>4fr`,RUGXWfx;<=>:119ewg)QXHUTc>?01424>`tj&\[MRQ`r12342773oyi#[^N_^mq456708:0j~l VQC\[jt789:2m6h|b.lAAWT6i2lxn"`KT@AH57=a{k%eHYOLK^]lv5678890j~l nEVBGNYXg{:;<=?>3:dpf*hC\HI@SRa}01236==a{k%eZ]O6;gqa+kPWI830j~l nWRB6<=ngkg$MAK?109jkgk(IEO;S`{w012357=ngkg$MAK?_lw{45679880eblb/@NF4Zkrp9:;?01126>ohjd%J@H>Pmtz3456312cdn`!MESPb?liee&HN^_?m;hmai*DBZ[xmi6g`bl-AAWTunVD;j6g`bl-AAWTunVD;=o5foco,F@TUz{;87damm.@FVWtuWge<=>>159jkgk(JLXY~Qaou2344703`eia"LHf:klfh)EOVUd~=>?0g9jkgk(JNUTc>?0033?liee&HLSRa}01225464:klfh)C\HI@~Qaou2344723`eia"J[ABIqvZhh|9:;=>129jkgk(MZU;SRoad12347743`eia"K\_1]\ekb789:8=>5foco,AVY7WVkeh=>?05;8mjdj'LYT=<<4in`n+@UX9VUjbi>?0130?liee&OXSohjd%N_Rohjd%N_R:>2:klfh)B[V>TSl`k012356=ngkg$I^Q;_^cm`567888?7damm.GP[HgclVUjhi>?01]N|jtXZly~`y2>>368mjdj'LYTAljk_^cg`5678VGscQ]erwop949:=1bcoc ER]NeabXWhno<=>?_LzlvZTb{|f0>0=4:klfh)B[VGjhiQPaef3456XEqeyS_k|umv?0;7b3`eia"K\_Lcg`ZYflm:;<=QPaof34566n2cdn`!JS^Ob`aYXimn;<=>P_`lg456798o0eblb/DQ\IdbcWVkoh=>?0^]bja6788;m7damm.GP[HgclVUjhi>?01]\ekb789;:=h5foco,AVYJimnTSljk0123[Zgil9:;>2:klfh)B[V[T=Ra}012356=ngkg$I^Q^_0]lv56788;87damm.GP[TY6Wfx;<=>=129jkgk(MZUZS5foco,AVYXimn;<=>32?30?liee&OXSRokd12349599:1bcoc ER]\eab789:783h4in`n+@UXign;<=?>0:klfh)B[Vkeh=>?1033?liee&OXSl`k01226`=ngkg$I^Q`r1234c=ngkg$I^Q`r12344`>3:klfh)B\HI@Sl`k0123545?3018mjdj'L^JOFQnne234526;2cdn`!JT@AH[dhc89:;9<=4in`n+@RFKBUjbi>?0144?liee&Oy46g`bl-Fv7773`eia"K}_Bnh[LHQ9h1bcoc Es]M4d=ngkg$EBOLT008mjdj'@EJOYQnne2345743`eia"G@ABV\ekb789::=?5foco,MJGD\Vkeh=>?1018mjdj'@EJOYQnne234476;2cdn`!FO@AW[dhc89::><=4in`n+LIFK]Ujbi>?00127>ohjd%BCLM[_`lg4566<890eblb/HMBGQYffm:;<<;>3:klfh)NGHI_Sl`k01222456g`bl-JKDESWds<=>?129jkgk(AFKHXRczx12344743`eia"G@ABV\ip~789:9=>5foco,MJGD\Vg~t=>?0230?liee&CDMNZPmtz345639:1bcoc INC@PZkrp9:;<8?<;hmai*OHIJ^Taxv?012556=ngkg$EBOLT^ov|5678>h0eblb/HMAAWT6;2cdn`!FOCGQVZkrp9:;<<:4in`n+LIEM[XTaxv?012250=ngkg$EBLJRS]nq}6789;;=85foco,MJDBZ[Ufyu>?013250=ngkg$EBLJRS]nq}6789;9=85foco,MJDBZ[Ufyu>?013050=ngkg$EBLJRS]nq}6789;?=95foco,MJDBZ[Ufyu>?01020>ohjd%BCOK]R^ov|5678:;?7damm.KLF@TUWds<=>?4068mjdj'@EII_\Pmtz345629=1bcoc IN@FVWYj}q:;<=8>4:klfh)NGKOY^Rczx12342733`eia"G@BDPQ[hs89:;4<:4in`n+LIEM[XTaxv?012:f>ohjd%BC_K^r038mjdj'@EXSK]B_GDg542-Hl250=ngkg$EB]PFRO\BCb6%@d9=85foco,MJUXNZGTJKj>-Hl050=ngkg$EB]PFRO\BCb6%@d?=<5foco,MJUXNZGTJKj=159jkgk(AFYTJ^CPFGf1)Lh6=2cdn`!FOR]EWHYANm8&Ec?>6:klfh)NGZUM_@QIFe0.Mk779?1bcoc INQ\BVKXNOn9!D`>1048mjdj'@EXSK]B_GDg6(Oi9;;>7damm.KLWZ@TEVLMh?#Fn336?liee&CD_RH\M^DE`7+Nf:;>7damm.KLWZ@TEVLMh?#Fn536?liee&CD_RH\M^DE`7+Nf<;>7damm.KLWZ@TEVLMh?#Fn736?liee&CD_RH\M^DE`7+Nf>;>7damm.KLWZ@TEVLMh?#Fn936?liee&CD_RH\M^DE`7+Nf0;:7damm.KLWZ@TEVLMh>?;;hmai*OH[VLXARHId2/Jj433`eia"\JS0c8mjdj'[OX~ko4in`n+WCTz{;97damm.PFWwtXff~;<=?>3:klfh)UMZxySca{01225d=ngkg$Z]O}f`9jkgk(^YKy~<<4in`n+SVFz{Uecy>?0030?liee&\[M|Pnnv34576j2cdn`!aARmvp45?1037?liee&dJ_b{{_omw4566:8>0eblb/oCPkprXff~;<=?<159jkgk(fHYdyyQaou23442c3`eia"`NotvLA4dohjd%eHd`CE^mq45679:1bcoc nEkmH@Yhz9:;<ohjd%eHb{{OD]mkq6788;:96g`bl-m@jssGLUecy>?00021>ohjd%eHb{{OD]mkq67889:96g`bl-m@jssGLUecy>?00621>ohjd%eHb{{OD]mkq6788?27damm.lO@V763`eia"`CDR]mkq6788;97damm.lO@VYig}:;<2:klfh)iDMYTbbz?013157=ngkg$bAJ\_omw4566;8:0eblb/oNGWZiu89::=<5foco,jIBTWfx;<=?>109jkgk(fENXSb|?013154=ngkg$bAJ\_np34574981bcoc nMFP[jt789;?=<5foco,jIBTWfx;<=?:109jkgk(fENXSb|?013554=ngkg$bAJ\_np3457012cdn`!aUEQ25>ohjd%eYI]Pnnv34576:2cdn`!aUEQ\jjr789;:=?5foco,jPBTWge<=>>2008mjdj'g_O_R``t12356??139jkgk(f_GJSRa}01235442:klfh)i^DKTSb|?012757=ngkg$b[CN_^mq4567=880eblb/oTNEZYhz9:;<;?=;hmai*hQEHUTc>?01525>ohjd%eZ@OPaof34566:2cdn`!aVLC\ekb789::=?5foco,jSKFWhdo<=>?2008mjdj'g\FMRoad12346753`eia"`YM@]bja6789>:>6g`bl-mRHGXign;<=>:139jkgk(f_GJSl`k012324430ebl|/@NF4<=ngky$MAK>109jkgu(IEO:SRa}012257=ngky$MAK>_^mq4566901bco} BDPQ57=ngky$NH\]_^cm`5678890ebl|/CGQVZYffm:;<=?>1:klfv)EM[XTSb|?01226>ohjz%II_\P_np345669;1bco} BDPQ[Ziu89:;><<4in`p+GCUZVUd~=>?0231?lie{&HN^_QPos234526:2cdn~!MESP\[jt789:>=?5focq,F@TUWVey<=>?6c9jkgu(Keoj~?0331?lie{&CD@=Qbuy234556:2cdn~!FOM2\ip~789:?=?5focq,MJJ7Wds<=>?589jkgu(AFF:=<5focq,MJJ6Wge<=>>139jkgu(AFF:Sca{01225d=ngky$EB\JQ008mjdt'@EYI\Qbuy2345743`ei"G@RDS\ip~789::=95focq,MJTBYVg~t=>?00227>ohjz%BC_K^_lw{4567:890ebl|/HMQATYj}q:;<==>3:klfv)NG[OZS`{w0123045?6018mjdt'@EYI\Qbuy234516;2cdn~!FOSGR[hs89:;4<=4in`p+LIUMXUfyu>?01;b?lie{&CD^Z>>2:klfv)NG[];S`{w012356=ngky$EB\X0^ov|56788;87dams.KLVR6Xe|r;<=>=129jkgu(AFX\?1018mjdt'@EY[=Qaou234476;2cdn~!FOSU3[kis89::><=4in`p+LIU_9Uecy>?00127>ohjz%BC_Y?_omw4566<890ebl|/HMQS5Yig}:;<<;>3:klfv)NG[];Sca{01222455focq,MJTP9Vkeh=>?0030?lie{&CD^Z?Paof345659:1bco} INPT5Zgil9:;<>?<;hmaw*OHZ^;Tmcj?012756=ngky$EB\X1^cm`5678<;87dams.KLVR7Xign;<=>9c:klfv)NG[ojht?:;hmaw*OHZlkouRQnne2345713`ei"G@Rdcg}ZYffm:;<=?>6:klfv)NG[ojhtQPaof3456512cdn~!FOT225>ohjz%BCX>Pmtz34566:2cdn~!FOT2\ip~789::=?5focq,MJS7Wds<=>?2008mjdt'@E^:>6g`br-JKP6Xe|r;<=>:9:klfv)NG\;:=6g`br-JKP7Xff~;<=?>2:klfv)NG\;Tbbz?0132e>ohjz%Gclj>9:klfv)Hfjo:=6g`br-LjfcXWfx;<=>>2:klfv)HfjoTSb|?012257=ngky$Ccmj_^mq4567:880ebl|/Nl`aZYhz9:;<>?=;hmaw*IiklUTc>?01626>ohjz%DbnkP_np345629;1bco} Ooaf[Ziu89:;:<<4in`p+JhdmVUd~=>?0633?lie{&ZXSK]B_GDg5455focq,TVYA[DUMJi<"Io37?lie{&ZXSK]B_GDg6(Oi98?0ebl|/QQ\BVKXNOn9!D`>0078mjdt'YYTJ^CPFGf1)Lh698?0ebl|/QQ\BVKXNOn9!D`>2078mjdt'YYTJ^CPFGf1)Lh6;8>0ebl|/QQ\BVKXNOn9!D`=159jkgu(XZUM_@QIFe0.Mk56<2cdn~!_S^DPIZ@Al;'Bb9?;;hmaw*VTWOYFSKHk2,Km142ohjz%[_RH\M^DE`7+Nf1;?7dams.RP[CUJWOLo> Ga9028mjdt'YYTJ^CPOtv354=ngky$\^QISL]Lqq66981bco} PR]EWHYH}}:9=?5focq,TVYdeyUn}=>?0`9jkgu(Zlkouo5focq,V`gcq8h0ebl|/Sgb`|4e3`ei"\jae{057=ngky$^hoky^cm`5678890ebl|/Sgb`|Yffm:;<=?>3:klfv)UmhnrSl`k0123645?4018mjdt'[ojhtQnne23453e3`ei"`KioMFg>ohjz%eHd`@E0a8mjdt'gNbbBK=c:klfv)iL`dDI>74n@FlqqJB8h1eMIaztMG35d=iIMe~xAK?2`9mEAir|EO;?h5aAEmvpIC7WFH^J45aAEmvpIC6i2dJHb{{LD32a>hFLf@H?POCWE3>hF[VLXNn5aAR]EWGYNJ\L<7cO\_HMA`>hF[VCDNRAMUGG22>hF[VCDNR`NS^KLFjssW9U:;6`NotvLAf=iIfCHQ@BTD:?kDBZ[UM_Ok4nCGQVZ@TJVEIYK74nCGQVZOHJl1eNH\]_HMA[LDRN>1eNJQFOCa8jGAXAFHTEO[I7:lAVZOHJj1eN_QFOC]LFP@33gIJ_45aC@Q\KGSAi2dOXLMD_GQAb>hC\HI@SK]M_N@VBd=iL]KHGRG@Bg9m@QGDCVCDNRGMUG48jAoiDLh0bIgaLD]LFP@13gNbbBKm;oFjjJCXGK_M;6`KotvLAf=iLfCHQ@BTD6?kOWD;k0bD^C2^KAQC>2dYC@M\b:lQKHETWFH^J;5aRNOTAg=iZFG\IRAMUG:8jPBTWOYIh6`ZDR]EWGYNJ\L37c[KS^KLF`=i]MYTEBLPOCWEA==i^DKTEBLj;oTNEZOHJVEIYKK7;oTSEZ@TJm1eZ]OPFR@\KGSA02d]\LQFOCf8jSVFW@EISDLZF69mS@YA[Ki0bZKPFR@\MGSA02dlho{fled8jjr789:Tmcj?01224>hh|9:;?_`lg456799;:7ca{0123[dhc89:;=;omw4567Whdo<=>?1332?kis89:;Sl`k012356763ge<=>?_`lg45679=;;7ca{0123[dhc89:;><>4nnv3456Xign;<=><119mkq6789Ujbi>?01624>hh|9:;?_`lg4567>8:0bbz?012\ekb789:<==5aou2345Yffm:;<=6>0:llp5678Vkeh=>?08;8vdk(JHI_~55}al-Ljfc682xja"Aacd]\kw6789;:7ob/Nl`aZYhz9:;<;scn+JhdmVUd~=>?0332?wgj'FdhiRQ`r12346763{kf#B`le^]lv5678=;:7ob/Nl`aZYhz9:;<8?>;scn+JhdmVUd~=>?07c8vdk(ZHGOE^l4r`o,VDKCAZ;:?6|nm.PBIAOT9Vg~t=>?0068vdk(ZHGOE^?Pmtz345669<1ym`!]ALFJW4Yj}q:;<=??149qeh)UIDNB_9=1ym`!]ALFJW4Yj}q:;<=<>5:pbi*TFEMCX=Rczx1234766=2xja"\NMEKP5Zkrp9:;5:pbi*TFEMCX=Rczx1234746=2xja"\NMEKP5Zkrp9:;5:pbi*TFEMCX=Rczx1234726=2xja"\NMEKP5Zkrp9:;4:pbi*TFEMCX=Rczx12346733{kf#_OBDHQ2[hs89:;8<:4r`o,VDKCAZ;Taxv?012651=uid%YM@JFS0]nq}6789<:86|nm.PBIAOT9Vg~t=>?0637?wgj'[KFHD]>_lw{456708>0~lc R@OGMV7Xe|r;<=>6b:pbi*TFEMCX><=4r`o,VDKCAZ8TSb|?01220>tfe&XJAIG\2^]lv56788;?7ob/SCN@LU5WVey<=>?2068vdk(ZHGOE^4:pbi*TFEMCX>RQ`r12340733{kf#_OBDHQ1[Ziu89:;:<:4r`o,VDKCAZ8TSb|?012451=uid%YM@JFS3]\kw67892i7ob/SCN@LU4j2xja"\NMEKP0g=uid%YM@JFS4a8vdk(ZHGYiljv159qeh)UIDXnmiwPmtz34566=2xja"\NMSgb`|Yj}q:;<=?>6:pbi*TFE[ojhtQbuy2345779?1ym`!]ALPfeaXe|r;<=>>1048vdk(ZHGYiljv_lw{45679;;=7ob/SCNV`gcqVg~t=>?00121>tfe&XJA_kndx]nq}67898:96|nm.PBIWcflpUfyu>?01121>tfe&XJA_kndx]nq}6789>:96|nm.PBIWcflpUfyu>?01721>tfe&XJA_kndx]nq}6789<:96|nm.PBIWcflpUfyu>?01521>tfe&XJA_kndx]nq}67892:96|nm.PBIWcflpUfyu>?01;:?wgj'[KXIA?>;scn+WGTMEUfyu>?0131?wgj'[KXIAQbuy234576;2xja"\NSDN\ip~789::<<=4r`o,VDUBDVg~t=>?00327>tfe&XJ_HBPmtz34566:890~lc R@QFHZkrp9:;<<=>3:pbi*TF[LFTaxv?0122045>6018vdk(ZHYN@Rczx1234416;2xja"\NSDN\ip~789::4<=4r`o,VDUBDVg~t=>?00;26>tfe&XJ_HBPmtz345659:1ym`!]ARGO[hs89:;>=?<;scn+WGTMEUfyu>?010256=uid%YM^KC_lw{4567:;;87ob/SCPAIYj}q:;<=<<129qeh)UIZOGS`{w012361743{kf#_O\EM]nq}67898>=>5}al-QEVCKWds<=>?2731?wgj'[KXIAQbuy234556:2xja"\NSDN\ip~789:?=?5}al-QEVCKWds<=>?5008vdk(ZHYN@Rczx12343753{kf#_O\EM]nq}6789=:>6|nm.PBW@JXe|r;<=>7139qeh)UIZOGS`{w0123=46=109qeh)UIV:Taxv?012054=uid%YMR>Pmtz34563991ym`!]A^3\ip~789::=6|nm.PB[4Yj}q:;<=?>1:pbi*TFW8Ufyu>?01025>tfe&XJS:<6|nm.PB[7Yj}q:;<=?>;scn+WGX:Vg~t=>?0032?wgj'[KT>Rczx12347763{kf#_OP2^ov|5678:;:7ob/SC\6Zkrp9:;<9??;scn+WGX;Vg~t=>?0038vdk(ZHU8S`{w0123547?4028vdk(ZHU?S`{w012354=uid%YMR:Pmtz34566981ym`!]A^6\ip~789:9=<5}al-QEZ2Xe|r;<=><109qeh)UIV>Taxv?012755=uid%YMR;Pmtz3456692xja"\N_4]nq}6789;:=6|nm.PB[0Yj}q:;<=<>1:pbi*TFW?01125>tfe&XJS8Qbuy23452682xja"\N_7]nq}6789;:7ob/SC\2Zkrp9:;<;scn+WGX>Vg~t=>?0332?wgj'[KT:Rczx12346763{kf#_OP6^ov|5678=;;7ob/SC\3Zkrp9:;<?1038vdk(ZHU4r`o,VDY?Wds<=>?109qeh)UIV2Taxv?012254=uid%YMR6Pmtz34565981ym`!]A^:\ip~789:8=<5}al-QEZ>Xe|r;<=>;109qeh)UIV2Taxv?012654=uid%YMR6Pmtz34561991ym`!]A^;\ip~789::=6|nm.PB[1:pbi*TFW0Ufyu>?01025>tfe&XJS4Qbuy23455692xja"\N_8]nq}6789>m7ob/SQ\BVKXNOn:=?5}al-QWZ@TEVLMh<#Fn018vdk(ZZUM_@QIFe3.Mk76<2xja"\\_GQN[C@c9$Ce==?;;scn+WUXNZGTJKj>-Hl2542tfe&XXSK]B_GDg5(Oi9=;?7ob/SQ\BVKXNOn:!D`>5018vdk(ZZUM_@QIFe3.Mk46;2xja"\\_GQN[C@c9$Ce?<=4r`o,VVYA[DUMJi?"Io627>tfe&XXSK]B_GDg5(Oi=890~lc RR]EWHYANm;&Ec8>3:pbi*TTWOYFSKHk1,Km345 Ga1337?wgj'[YTJ^CPFGf1)Lh6;8>0~lc RR]EWHYANm8&Ec?;159qeh)U[VLXARHId3/Jj436<2xja"\\_GQN[C@c:$Ce=;?;;scn+WUXNZGTJKj=-Hl2342tfe&XXSK]B_GDg6(Oi:8>0~lc RR]EWHYANm8&Ec??;;scn+WUXNZGTJKj=-Hl1745 Ga4018vdk(ZZUM_@QIFe0.Mk36;2xja"\\_GQN[C@c:$Ce:<=4r`o,VVYA[DUMJi<"Io527>tfe&XXSK]B_GDg6(Oi0890~lc RR]EWHYANm8&Ec7i;scn+WUXNZGTJKj<139qeh)U[VLXARHId2/Jj450~lc RR]EWHYANm9&Ec?=159qeh)U[VLXARHId2/Jj456;2xja"\\_GQN[C@c;$Ce><=4r`o,VVYA[DUMJi="Io127>tfe&XXSK]B_GDg7(Oi<890~lc RR]EWHYANm9&Ec;>3:pbi*TTWOYFSKHk3,Km245;scn+kJPMVkeh=>?0332?wgj'gF\IRoad12346763{kf#cBXE^cm`5678==0~lc nNG;?wgj'gEN=55}al-mK@4?3{kf#c\NS89qeh)iZHY:56|nm.lQEV4>3{kf#c\NS2;8vdk(f[KX845}al-mVDU2j2xja"`]OLAP5g=uid%e^BCXE0;8vdk(f\]N=<>4r`o,jPQBWhdo<=>?109qeh)i]^OTmcj?012254=uid%eYZKPaof34565981ym`!aUVG\ekb789:8=<5}al-mQRCXign;<=>;8:z`=067pe;:7um65067|irv99:&==64xhnjj}si911s`cvPatvl[5Ylk%hy wbbf~DEv6j?90LMv>3b8E>1<6sZ?=6<:i:8f9562?1h21>=j=5zl20f<63g;?h784$064>45b3tY>97?;f;;g>45300k36?>k6b9P<=<4k>086<=;88c;>76c091X98445300k36?>k8`9g56e=83;1=v]:6;37b??c289?44o7:32g63=#<=0?o>5G589uP35=83;1=7=m6zQ62?73n33o6<=;88c;>76c:?1/=>l58`9U51g=:r3o7?4u9f94>{#mh0?o95+6783?!032=i=7)8::6d8f45d290?n7=;6;115~N6;01/=>;512a8^13=;r=14775}h71>5<5<>o4i>0;66a>o4k:0;66a>i4im0;66a<8583>>i4000;66g>o3m3:17d=67;29?l372900c>l8:188m31=831d?l?50;9l7dd=831d?oh50;9l7d5=831d?l;50;9j7f3=831d?4=50;9lbd<72-l96k74ng394>=hn10;6)h=:g;8jc7=921d===50;&e6?`>3gl:6?54o021>5<#n;0m56`i1;18?j7793:1(k<5f89mb4<332e:<=4?:%d1>c?!`52o30bk?56:9lb`<72-l96k74ng393>=hnm0;6)h=:g;8jc7=021djn4?:%d1>c?!`52o30bk?5a:9lb2<72-l96k74ng39f>=n:80;6)h=:328jc7=821b=k4?:%d1>76!`52;:0bk?52:9j5a<72-l96?>4ng397>=n9k0;6)h=:328jc7=<21b=l4?:%d1>76!`52;:0bk?56:9j5=<72-l96?>4ng393>=n9>0;6)h=:328jc7=021b=;4?:%d1>76!`52;:0bk?5a:9j51<72-l96?>4ng39f>=n9:0;6)h=:328jc7=k21b=?4?:%d1>76!`52;:0bk?5e:9j6=<72-l96?>4ng39b>=n:>0;6)h=:328jc7=9910e?850;&e6?473gl:65<#n;09<6`i1;31?>o5<3:1(k<5219mb4<6;21b>>4?:%d1>76:078?l7d290/j?4=0:le5?7132c:>84?:%d1>4433gl:6=54i000>5<#n;0:>95af082?>o6:;0;6)h=:007?k`62;10n<:=:182>5<7s-;8978i;I375>N6;01/=9751428 =1=j21vn<:<:182>5<7s-;897?j21vn7<3s-;89786;I375>N6;01/;i49;%:4>1e23S;887?t518~m10=831b954?::me2?6=3kl=6=4::385!74=31=v;?:|k4f?6=,8>26:l4;h64>5<#9=318:54i5:94?"6<00?465f13394?"6<00:><54i03a>5<#9=31=5<#9=315o54}c370?6=93:1488:2>i>k3:1(<:6:8`8?xu203:1>vP:8:?5f?1e3ty?:7>52z\72>;1j3>37p}:4;296~X2<27=n7?>b:pb6<72;qUj>526c8264=z{o<1<76;h4$06:>4373-2<69m:;n4f>5<#9=315o54}rd5>5<5sWl=70h9:g48yv3?2909wS;7;0>=6=4={_65?8`12=<0q~<50;1x9c0=n:16j;4:4:?2>3c<,893685r}c323485=>N6<81C=>74$6f92>"??3>h96T>358206=u`>=6=44i4:94?=hn?0;66li6;291?4=>r.:?849b:&;3?2d=2P:?94>{429yj`12900ek=50;9j1=<722c>87>5;h65>5<2?i0V<=;:0y64?{n?k0;6)?;9;5a?>o3?3:1(<:6:558?l2?290/=9754998m446290/=9751338?l76j3:1(<:6:03a?>i>j3:1(<:6:8`8?xd6<=0;6<4?:1y'51?=1?1d5n4?:%37=??e32wx954?:3y]1==:>k07}Y51;294~"6;10?7)?<5;4e?!7313;><6*77;6`1>i1m3:1(<:6:8`8?xua>3:1>vPi6:?e2?`13ty>47>52z\6<>;a>3?37p};6;296~X3>27m:7:9;|q1>5<4s4l=6k=4=g4911=:934=:5y'563=>01C=9?4H01:?!1c2?1/4:4;c49Y562=9r?;6pg;6;29?l3?2900ck850;9ab3<72<096;u+12792g=#0>0?o85U12695~372tem:7>5;hd0>5<>o3>3:17o8m:185>5<7s-;?578l;[300?7|=90ve:l50;&20<<0j21b8:4?:%37=?2032c?47>5$06:>1><3`;9=7>5$06:>44632c:=o4?:%37=?76j21d5o4?:%37=??e32wi=9:50;394?6|,8>26484o8a94?"6<002n65rs4:94?4|V<201;l57c9~w10=838pR984=7`90==z{<>1<7;|qe2?6=:rTm:639b;;a?xu6;h0;6?u26c873>;6<=02o6srb083>4<729q/=>654:&270<1n2.:844>519'<2<3k<1d:h4?:%37=??e32wxj;4?:3y]b3=:n?0m:6s|5983>7}Y=116j;4:8:p03<72;qU8;52f7872>{t:3:1?v3i6;d0?8`12<>01<49e:&27=<23twi4>4?:281>1}#9:?1:45G1538L45>3-=o6;5+8687g0=]9:>1=v;?:|k72?6=3`?36=44og494?=en?0;684=:7y'563=>k1/4:4;c49Y562=9r?;6pai6;29?l`42900e8650;9j11<722c?:7>5;c4a>5<1290;w)?;9;4`?_74<3;p9=4ri6`94?"6<00!7313><76g;8;29 42>2=207d?=1;29 42>288:76g>1c83>!7313;:n65`9c83>!73133i76sm15694?7=83:p(<:6:848k=838pR864=7`93g=z{=<1<75<5sWl8708m:002?xua>3:1>vPi6:?5f??e3ty:?l4?:3y>2g<3?27:8946c:~f4<7280;6=u+12:90>"6;<0=j6*>488215=#0>0?o85`6d83>!73133i76s|f783>7}Yn?16j;4i6:p1=<72;qU9552f786<>{tb3<3>2wx>7>53z?e2?`434l=68:4=085a>"6;10>7psm8783>6<52=q/=>;5689K517<@8927)9k:79'<2<3k<1Q=>:51z73>xo3>3:17d;7:188kc0=831ij;4?:481>3}#9:?1:o5+8687g0=]9:>1=v;?:|me2?6=3`l86=44i4:94?=n==0;66g;6;29?g0e290=6=4?{%37=?0d3S;887?t518~m2d=83.:8448b:9j02<72-;?57:8;:k726964;h315?6=,8>26<<>;:k25g<72-;?57?>b:9l=g<72-;?577m;:a512=83;1<7>t$06:><0488:f>=z{<21<701;l510`8yv`42909wSh<;<4a>4463tym:7>52z\e2>;1j33i7p}>3`83>7}:>k0?;63>458:g>{zj80;6<4?:1y'56>=<2.:?849f:&20<<6=91/4:4;c49l2`<72-;?577m;:pb3<72;qUj;52f78e2>{t=10;6?uQ599>b3<202wx8;4?:3y]03=:n?0?:6s|2;297~;a>3l870h9:46894<1m2.:?54:;|a1f<72<096;u+12792d=O9=;0D<=6;%5g>3=#0>0?o85U12695~372tc?;7>5;h77>5<>ia>3:17oh9:185>5<7s-;?578l;[300?7|=90ve:l50;&20<<0j21b8:4?:%37=?2032c?47>5$06:>1><3`;9=7>5$06:>44632c:=o4?:%37=?76j21d5o4?:%37=??e32wi=9850;394?6|,8>26<;?;n;a>5<#9=315o54}rd5>5<5sWl=70h9:8`8yv3?2909wS;7;2dv3i6;315>;65<22;0=w)?<5;4b?M7392B:?45+7e85?!>02=i>7W?<4;3x15>o3>3:17d;7:188kc0=831ij;4?:783>5}#9=31:n5U12695~372tc5$06:>2d<3`><6=4+15;902=4887<>=n9;;1<7*>488264=51;294~"6<00:9=5`9c83>!73133i76s|f783>7}Yn?16j;46b:p1=<72;qU9552f784f>{t==0;6?uQ559>b3<69k1v9950;0xZ11<5o<18:5rs5494?4|V=<01k85499~w3`=838p1k851338942120h0qpl=1g83>0<52?q/=>;56`9K517<@8927)68:5a6?_74<3;p9=4ri5594?=n==0;66g;6;29?l3?2900ck850;9ab3<72?0;6=u+15;92f=]9:>1=v;?:|k4f?6=,8>26:l4;h64>5<#9=318:54i5:94?"6<00?465f13394?"6<00:><54i03a>5<#9=31=5<#9=315o54}c372?6=93:1488215=h1k0;6)?;9;;a?>{tn?0;6?uQf79>b3<>j2wx954?:3y]1==:n?07}Y==16j;4>1c9~w11=838pR994=g4902=z{=<1<7h1C=9?4H01:?!>02=i>7W?<4;3x15>o3>3:17d;7:188kc0=831ij;4?:783>5}#9=31:n5U12695~372tc5$06:>2d<3`><6=4+15;902=4887<>=n9;;1<7*>488264=51;294~"6<00:9=5`9c83>!73133i76s|f783>7}Yn?16j;46b:p1=<72;qU9552f784f>{t==0;6?uQ559>b3<69k1v9950;0xZ11<5o<18:5rs5494?4|V=<01k85499~w3`=838p1k851338942120h0qpl>a083>0<52?q/=>;56`9K517<@8927)68:5a6?_74<3;p9=4ri5594?=n==0;66g;6;29?l3?2900ck850;9ab3<72?0;6=u+15;92f=]9:>1=v;?:|k4f?6=,8>26:l4;h64>5<#9=318:54i5:94?"6<00?465f13394?"6<00:><54i03a>5<#9=31=5<#9=315o54}c372?6=93:1488215=h1k0;6)?;9;;a?>{tn?0;6?uQf79>b3<>j2wx954?:3y]1==:n?07}Y==16j;4>1c9~w11=838pR994=g4902=z{=<1<7h1C=9?4H01:?!1c2?1/4:4;c49Y562=9r?;6pg;7;29?l332900e9850;9j1=<722em:7>5;cd5>5<1290;w)?;9;4`?_74<3;p9=4ri6`94?"6<00!7313><76g;8;29 42>2=207d?=1;29 42>288:76g>1c83>!7313;:n65`9c83>!73133i76sm15494?7=83:p(<:6:073?j?e290/=9759c98yv`12909wSh9;h0q~;;:181[3334l=63:1>vP;6:?e2?2?3ty=j7>52z?e2?75927:8;46b:~f753290>6?49{%301?0f3A;?=6F>389'3a<13-2<69m:;[300?7|5;h7;>5<3<729q/=9756b9Y562=9r>n6pg8b;29 42>2>h07d:8:18'51?=<>10e9650;&20<<3021b=??50;&20<<6:810e519l=g<72-;?577m;:pb3<72;qUj;52f78:f>{t=10;6?uQ599>b3<0j2wx994?:3y]11=:n?0:=o5rs5594?4|V==01k85469~w10=838pR984=g490==z{?l1<7=64l4}|`1b3<72<096;u+12792d=O9=;0D<=6;%:4>1e23S;887?t4d8~m11=831b994?::k72?6=3`?36=44og494?=en?0;6;4?:1y'51?=>j1Q=>:51z6f>xo0j3:1(<:6:6`8?l20290/=9754698m1>=83.:844;8:9j577=83.:844>2098m47e290/=97510`8?j?e290/=9759c98yg73>3:1=7>50z&20<<6=91d5o4?:%37=??e32wxj;4?:3y]b3=:n?02n6s|5983>7}Y=116j;48b:p11<72;qU9952f7825g=z{==1<71v9850;0xZ10<5o<1855rs7d94?4|5o<1=??4=065>1}#9:?1:45G1538L45>3-2<69m:;[300?7|5;cd5>5<22;0=w)?<5;4a?!>02=i>7W?<4;3x0`>o203:17d;;:188m10=831i:o4?:783>5}#9=31:n5U12695~2b2tc5$06:>2d<3`><6=4+15;902=4887<>=n9;;1<7*>488264=51;294~"6<002:6a6c;29 42>20h07p}:8;296~X2027=n79m;|q72?6=:rT?:639b;6;?xu2<3:1>vP:4:?5f?76j2wxj>4?:3y]b6=:>k0:><5rsg494?4|Vo<01;l59c9~w45f2909w08m:558942320i0qpl>:182>5<7s-;847:4$016>3`<,8>26<;?;%:4>1e23f5<5sW>=70h9:548yv4=839p1k85f29>b3<2<27:6;k4$01;>0=zuk;j<7>55;092~"6;<0=m6F>409K56?<,1=18n;4Z017>4}283wb8:4?::k60?6=3`>=6=44i4:94?=hn?0;66li6;292?6=8r.:8449c:X271<6s<:1qd9m:18'51?=?k10e9950;&20<<3?21b854?:%37=?2?32c:><4?:%37=?75921b=j21vn<:9:182>5<7s-;?57?:0:m:f?6=,8>264l4;|qe2?6=:rTm:63i6;;a?xu203:1>vP:8:?e2?1e3ty>87>52z\60>;a>3;:n6s|4683>7}Y<>16j;4;7:p03<72;qU8;52f787<>{t>o0;6?u2f78264=:9=<15o5r}c3eb?6==381:v*>3485e>N6<81C=>74$9590f387>5;h65>5<>da>3:1:7>50z&20<<1k2P:?94>{429yl1e290/=9757c98m11=83.:844;7:9j0=<72-;?57:7;:k264<72-;?57?=1:9j54d=83.:844>1c98k5$06:>52z\e2>;a>33i7p}:8;296~X2027m:79m;|q60?6=:rT>863i6;32f>{t<>0;6?uQ469>b3<3?2wx8;4?:3y]03=:n?0?46s|6g83>7}:n?0:><521549=g=zuk8;87>55;092~"6;<0=m6F>409K56?<,1=18n;4Z017>4}283wb8:4?::k60?6=3`>=6=44i4:94?=hn?0;66li6;292?6=8r.:8449c:X271<6s<:1qd9m:18'51?=?k10e9950;&20<<3?21b854?:%37=?2?32c:><4?:%37=?75921b=j21vn<:9:182>5<7s-;?57?:0:m:f?6=,8>264l4;|qe2?6=:rTm:63i6;;a?xu203:1>vP:8:?e2?1e3ty>87>52z\60>;a>3;:n6s|4683>7}Y<>16j;4;7:p03<72;qU8;52f787<>{t>o0;6?u2f78264=:9=<15o5r}c03=?6==381:v*>3485e>N6<81C=>74$9590f387>5;h65>5<>da>3:1:7>50z&20<<1k2P:?94>{429yl1e290/=9757c98m11=83.:844;7:9j0=<72-;?57:7;:k264<72-;?57?=1:9j54d=83.:844>1c98k5$06:>52z\e2>;a>33i7p}:8;296~X2027m:79m;|q60?6=:rT>863i6;32f>{t<>0;6?uQ469>b3<3?2wx8;4?:3y]03=:n?0?46s|6g83>7}:n?0:><521549=g=zuk;m>7>55;092~"6;<0=m6F>409K56?<,1=18n;4Z017>4}283wb8:4?::k60?6=3`>=6=44i4:94?=hn?0;66li6;292?6=8r.:8449c:X271<6s<:1qd9m:18'51?=?k10e9950;&20<<3?21b854?:%37=?2?32c:><4?:%37=?75921b=j21vn<:9:182>5<7s-;?57?:0:m:f?6=,8>264l4;|qe2?6=:rTm:63i6;;a?xu203:1>vP:8:?e2?1e3ty>87>52z\60>;a>3;:n6s|4683>7}Y<>16j;4;7:p03<72;qU8;52f787<>{t>o0;6?u2f78264=:9=<15o5r}c3e3?6==381:v*>3485e>N6<81C=>74$9590f387>5;h65>5<>da>3:1:7>50z&20<<1k2P:?94>{429yl1e290/=9757c98m11=83.:844;7:9j0=<72-;?57:7;:k264<72-;?57?=1:9j54d=83.:844>1c98k5$06:>52z\e2>;a>33i7p}:8;296~X2027m:79m;|q60?6=:rT>863i6;32f>{t<>0;6?uQ469>b3<3?2wx8;4?:3y]03=:n?0?46s|6g83>7}:n?0:><521549=g=zuk8:=7>55;092~"6;<0=m6F>409K56?<,1=18n;4Z017>4}283wb8:4?::k60?6=3`>=6=44i4:94?=hn?0;66li6;292?6=8r.:8449c:X271<6s<:1qd9m:18'51?=?k10e9950;&20<<3?21b854?:%37=?2?32c:><4?:%37=?75921b=j21vn<:9:182>5<7s-;?57?:0:m:f?6=,8>264l4;|qe2?6=:rTm:63i6;;a?xu203:1>vP:8:?e2?1e3ty>87>52z\60>;a>3;:n6s|4683>7}Y<>16j;4;7:p03<72;qU8;52f787<>{t>o0;6?u2f78264=:9=<15o5r}c173?6==381:v*>3485e>N6<81C=>74$9590f387>5;h65>5<>da>3:1:7>50z&20<<1k2P:?94>{429yl1e290/=9757c98m11=83.:844;7:9j0=<72-;?57:7;:k264<72-;?57?=1:9j54d=83.:844>1c98k5$06:>52z\e2>;a>33i7p}:8;296~X2027m:79m;|q60?6=:rT>863i6;32f>{t<>0;6?uQ469>b3<3?2wx8;4?:3y]03=:n?0?46s|6g83>7}:n?0:><521549=g=zuk9;h7>55;092~"6;<0=m6F>409K56?<,1=18n;4Z017>4}283wb8:4?::k60?6=3`>=6=44i4:94?=hn?0;66li6;292?6=8r.:8449c:X271<6s<:1qd9m:18'51?=?k10e9950;&20<<3?21b854?:%37=?2?32c:><4?:%37=?75921b=j21vn<:9:182>5<7s-;?57?:0:m:f?6=,8>264l4;|qe2?6=:rTm:63i6;;a?xu203:1>vP:8:?e2?1e3ty>87>52z\60>;a>3;:n6s|4683>7}Y<>16j;4;7:p03<72;qU8;52f787<>{t>o0;6?u2f78264=:9=<15o5r}c162?6==381:v*>3485e>N6<81C=>74$6f92>"??3>h96T>358206=u`><6=44i4694?=n26;m4Z017>4}283wb;o4?:%37=?1e32c?;7>5$06:>11<3`>36=4+15;90==5$06:>47e32e2n7>5$06:>5}#9=31=8>4o8`94?"6<002n65rsg494?4|Vo<01k859c9~w0>=838pR864=g493g=z{<>1<75<5sW>=70h9:5:8yv0a2909w0h9:002?873>33i7psm31c94?3=:3\6;=0:w8>5}h64>5<1<75f4783>>o203:17bh9:188fc0=83<1<7>t$06:>3e26994;h6;>5<#9=318554i002>5<#9=31=??4;h32f?6=,8>26264l4;|`203<7280;6=u+15;9506488:f>=z{o<1<7<5o<1;o5rs4694?4|V<>01k8510`8yv202909wS:8;11=6=4={_65?8`12=20q~8i:1818`1288:70?;6;;a?x{e;8=1<7=52;6x 4522?30D<:>;I30=>"??3>h96T>358206=u`>=6=44i4:94?=hn?0;66li6;291?4=>r.:?849b:&;3?2d=2P:?94>{429yj`12900ek=50;9j1=<722c>87>5;h65>5<2?i0V<=;:0y64?{n?k0;6)?;9;5a?>o3?3:1(<:6:558?l2?290/=9754998m446290/=9751338?l76j3:1(<:6:03a?>i>j3:1(<:6:8`8?xd6<=0;6<4?:1y'51?=1?1d5n4?:%37=??e32wx954?:3y]1==:>k07}Y51;294~"6;10?7)?<5;4e?!7313;><6*77;6`1>i1m3:1(<:6:8`8?xua>3:1>vPi6:?e2?`13ty>47>52z\6<>;a>3?37p};6;296~X3>27m:7:9;|q1>5<4s4l=6k=4=g4911=:934=:5y'563=>01C=9?4H01:?!>02=i>7W?<4;3x0`>ia>3:17oh9:186>7<1s-;8978m;%:4>1e23S;887?t4d8~kc0=831bj>4?::k6k0;6;4?:1y'51?=>j1Q=>:51z6f>xo0j3:1(<:6:6`8?l20290/=9754698m1>=83.:844;8:9j577=83.:844>2098m47e290/=97510`8?j?e290/=9759c98yg73<3:1=7>50z&20<<>>2e2o7>5$06:>47>52z\6<>;1j3=i7p};6;296~X3>27=n7:7;|q60?6=:rT>8639b;32f>{tn:0;6?uQf29>2g<6:81vk850;0xZc0<5?h15o5rs01b>5<5s4:183!7403>0(<=::7d8 42>28?;7)68:5a6?j0b290/=9759c98yv`12909wSh9;c052f7860>;62?o0(<=7:49~yg42:3:1?7<54z&270<112B:8<5G12;8 =1=6;l4$9590f35;h7;>5<1<75f4783>>d1j3:1:7>50z&20<<1k2P:?94>{5g9yl1e290/=9757c98m11=83.:844;7:9j0=<72-;?57:7;:k264<72-;?57?=1:9j54d=83.:844>1c98kh0q~:9:181[21345<5sW??708m:03a?xua;3:1>vPi3:?5f?7592wxj;4?:3y]b3=:>k02n6s|12c94?4|5?h18:521569=f=zuk;1<7?50;2x 45?2=1/=>;56g9'51?=9<:0(5954b78k3c=83.:8446b:9~wc0=838pRk84=g49b3=z{<21<76}:n?0m?63i6;77?87=>l1/=>655:~f73229086?4;{%301?0>3A;?=6F>389'<2<3k<1Q=>:51z6f>xo3>3:17d;7:188kc0=831ij;4?:481>3}#9:?1:o5+8687g0=]9:>1=v:j:|me2?6=3`l86=44i4:94?=n==0;66g;6;29?g0e290=6=4?{%37=?0d3S;887?t4d8~m2d=83.:8448b:9j02<72-;?57:8;:k726964;h315?6=,8>26<<>;:k25g<72-;?57?>b:9l=g<72-;?577m;:a512=83;1<7>t$06:><0488:f>=z{<21<701;l510`8yv`42909wSh<;<4a>4463tym:7>52z\e2>;1j33i7p}>3`83>7}:>k0?;63>458:g>{zj80;6<4?:1y'56>=<2.:?849f:&20<<6=91/4:4;c49l2`<72-;?577m;:pb3<72;qUj;52f78e2>{t=10;6?uQ599>b3<202wx8;4?:3y]03=:n?0?:6s|2;297~;a>3l870h9:46894<1m2.:?54:;|a60>=8391>7:t$016>3?<@8>:7E?<9:&;3?2d=2P:?94>{5g9yl212900e8650;9lb3<722hm:7>55;092~"6;<0=n6*77;6`1>\6;=0:w9k5}nd5>5<>o2<3:17d:9:188f3d=83<1<7>t$06:>3e26994;h6;>5<#9=318554i002>5<#9=31=??4;h32f?6=,8>26264l4;|`201<7280;6=u+15;9=3=h1j0;6)?;9;;a?>{t=10;6?uQ599>2g<0j2wx8;4?:3y]03=:>k0?46s|5583>7}Y==16:o4>1c9~wc5=838pRk=4=7`9577<70?;4;;`?x{e93:1=7>50z&27=<33-;8978i;%37=?7282.3;7:l5:m5a?6=,8>264l4;|qe2?6=:rTm:63i6;d5?xu203:1>vP:8:?e2?3?3ty?:7>52z\72>;a>3>=7p}=:1808`12o901k85559>5?0b3-;847;4}|`010<72:0969u+12792<=O9=;0D<=6;%:4>1e23S;887?t518~m10=831b954?::me2?6=3kl=6=4::385!74=31=v;?:|k4f?6=,8>26:l4;h64>5<#9=318:54i5:94?"6<00?465f13394?"6<00:><54i03a>5<#9=31=5<#9=315o54}c370?6=93:1488:2>i>k3:1(<:6:8`8?xu203:1>vP:8:?5f?1e3ty?:7>52z\72>;1j3>37p}:4;296~X2<27=n7?>b:pb6<72;qUj>526c8264=z{o<1<76;h4$06:>4373-2<69m:;n4f>5<#9=315o54}rd5>5<5sWl=70h9:g48yv3?2909wS;7;0>=6=4={_65?8`12=<0q~<50;1x9c0=n:16j;4:4:?2>3c<,893685r}c1eg?6==381:v*>3485e>N6<81C=>74$6f92>"??3>h96T>358206=u`><6=44i4694?=n26;m4Z017>4}283wb;o4?:%37=?1e32c?;7>5$06:>11<3`>36=4+15;90==5$06:>47e32e2n7>5$06:>5}#9=31=8>4o8`94?"6<002n65rsg494?4|Vo<01k859c9~w0>=838pR864=g493g=z{<>1<75<5sW>=70h9:5:8yv0a2909w0h9:002?873>33i7psm3e:94?3=:30;66g:4;29?l212900e8650;9lb3<722hm:7>56;294~"6<00=o6T>358206=u`=i6=4+15;93g=48873>=n<10;6)?;9;6;?>o6:80;6)?;9;315>=n98h1<7*>48825g=488:f>=zj8>=6=4>:183!7313;><6a6b;29 42>20h07p}i6;296~Xa>27m:77m;|q6463i6;5a?xu2<3:1>vP:4:?e2?76j2wx8:4?:3y]02=:n?0?;6s|4783>7}Y209>510=1k1vqo?:c;297?4={429yl212900e8650;9lb3<722hm:7>55;092~"6;<0=n6*77;6`1>\6;=0:w8>5}nd5>5<>o2<3:17d:9:188f3d=83<1<7>t$06:>3e26994;h6;>5<#9=318554i002>5<#9=31=??4;h32f?6=,8>26264l4;|`201<7280;6=u+15;9=3=h1j0;6)?;9;;a?>{t=10;6?uQ599>2g<0j2wx8;4?:3y]03=:>k0?46s|5583>7}Y==16:o4>1c9~wc5=838pRk=4=7`9577<70?;4;;`?x{e93:1=7>50z&27=<33-;8978i;%37=?7282.3;7:l5:m5a?6=,8>264l4;|qe2?6=:rTm:63i6;d5?xu203:1>vP:8:?e2?3?3ty?:7>52z\72>;a>3>=7p}=:1808`12o901k85559>5?0b3-;847;4}|`21a<72:0969u+12792<=O9=;0D<=6;%5g>3=#0>0?o85U12695~372tc?:7>5;h7;>5<0<52?q/=>;56c9'<2<3k<1Q=>:51z73>xia>3:17dh<:188m0>=831b994?::k72?6=3kh1<7*>4884f>=n<>0;6)?;9;64?>o303:1(<:6:5:8?l7593:1(<:6:002?>o69k0;6)?;9;32f>=h1k0;6)?;9;;a?>{e9=>1<7?50;2x 42>20<0c4m50;&20<<>j21v8650;0xZ0><5?h1;o5rs5494?4|V=<01;l5499~w02=838pR8:4=7`954d27=n77m;|q27d<72;q6:o4;7:?201<>k2wvn<4?:083>5}#9:2186*>3485b>"6<00:9=5+8687g0=h>l0;6)?;9;;a?>{tn?0;6?uQf79>b32wx954?:3y]1==:n?0>46s|4783>7}Y02<580=i6*>3986?x{e9;I30=>"0l3<0(5954b78^45328q><7sf4783>>o203:17bh9:188fc0=83?1>78t$016>3d<,1=18n;4Z017>4}283wdj;4?::ke7?6=3`?36=44i4694?=n<4?:%37=?75921b=j21vn<:;:182>5<7s-;?5779;n;`>5<#9=315o54}r7;>5<5sW?3708m:6`8yv212909wS:9;<4a>1>{t9:k1<715n5r}c394?7=83:p(<=7:59'563=>o1/=9751428 =1=j21vk850;0xZc0<5o<1j;5rs4:94?4|V<201k85599~w10=838pR984=g4903=z{;0;6>u2f78e7>;a>3??70?56d9'56>==2wvn<;i:180>7<3s-;89786;I375>N6;01/;i49;%:4>1e23S;887?t518~m10=831b954?::me2?6=3kl=6=4::385!74=31=v;?:|k4f?6=,8>26:l4;h64>5<#9=318:54i5:94?"6<00?465f13394?"6<00:><54i03a>5<#9=31=5<#9=315o54}c370?6=93:1488:2>i>k3:1(<:6:8`8?xu203:1>vP:8:?5f?1e3ty?:7>52z\72>;1j3>37p}:4;296~X2<27=n7?>b:pb6<72;qUj>526c8264=z{o<1<76;h4$06:>4373-2<69m:;n4f>5<#9=315o54}rd5>5<5sWl=70h9:g48yv3?2909wS;7;0>=6=4={_65?8`12=<0q~<50;1x9c0=n:16j;4:4:?2>3c<,893685r}c354?6=;3818v*>3485=>N6<81C=>74$6f92>"??3>h96T>358206=u`>=6=44i4:94?=hn?0;66li6;291?4=>r.:?849b:&;3?2d=2P:?94>{429yj`12900ek=50;9j1=<722c>87>5;h65>5<2?i0V<=;:0y64?{n?k0;6)?;9;5a?>o3?3:1(<:6:558?l2?290/=9754998m446290/=9751338?l76j3:1(<:6:03a?>i>j3:1(<:6:8`8?xd6<=0;6<4?:1y'51?=1?1d5n4?:%37=??e32wx954?:3y]1==:>k07}Y51;294~"6;10?7)?<5;4e?!7313;><6*77;6`1>i1m3:1(<:6:8`8?xua>3:1>vPi6:?e2?`13ty>47>52z\6<>;a>3?37p};6;296~X3>27m:7:9;|q1>5<4s4l=6k=4=g4911=:9380;6>4=:5y'563=>01C=9?4H01:?!1c2?1/4:4;c49Y562=9r?;6pg;6;29?l3?2900ck850;9ab3<72<096;u+12792g=#0>0?o85U12695~372tem:7>5;hd0>5<>o3>3:17o8m:185>5<7s-;?578l;[300?7|=90ve:l50;&20<<0j21b8:4?:%37=?2032c?47>5$06:>1><3`;9=7>5$06:>44632c:=o4?:%37=?76j21d5o4?:%37=??e32wi=9:50;394?6|,8>26484o8a94?"6<002n65rs4:94?4|V<201;l57c9~w10=838pR984=7`90==z{<>1<7;|qe2?6=:rTm:639b;;a?xu6;h0;6?u26c873>;6<=02o6srb083>4<729q/=>654:&270<1n2.:844>519'<2<3k<1d:h4?:%37=??e32wxj;4?:3y]b3=:n?0m:6s|5983>7}Y=116j;4:8:p03<72;qU8;52f7872>{t:3:1?v3i6;d0?8`12<>01<49e:&27=<23twi=;<50;196?2|,89>6;74H062?M7412.47>5;nd5>5<<7s`f783>>oa;3:17d;7:188m02=831b8;4?::`5f?6=>3:14885g>\6;=0:w8>5}h5a>5<#9=31;o54i5594?"6<00?;65f4983>!7313>376g>2083>!7313;9=65f10`94?"6<00:=o54o8`94?"6<002n65rb067>5<6290;w)?;9;;5?j?d290/=9759c98yv3?2909wS;7;<4a>2d=6=4={_65?80e2=20q~;;:181[3334{tn?0;6?uQf79>2g<>j2wx=>o50;0x93d=<>16=9:59b9~yg7=83;1<7>t$01;>1=#9:?1:k5+15;9506<,1=18n;4o7g94?"6<002n65rsg494?4|Vo<01k85f79~w0>=838pR864=g491==z{=<1<7b35<42;0?w)?<5;4:?M7392B:?45+7e85?!>02=i>7W?<4;3x15>ia>3:17oh9:186>7<1s-;8978m;%:4>1e23S;887?t518~kc0=831bj>4?::k6k0;6;4?:1y'51?=>j1Q=>:51z73>xo0j3:1(<:6:6`8?l20290/=9754698m1>=83.:844;8:9j577=83.:844>2098m47e290/=97510`8?j?e290/=9759c98yg73<3:1=7>50z&20<<>>2e2o7>5$06:>47>52z\6<>;1j3=i7p};6;296~X3>27=n7:7;|q60?6=:rT>8639b;32f>{tn:0;6?uQf29>2g<6:81vk850;0xZc0<5?h15o5rs01b>5<5s4:183!7403>0(<=::7d8 42>28?;7)68:5a6?j0b290/=9759c98yv`12909wSh9;c052f7860>;62?o0(<=7:49~yg7b;3:197<56z&270<1i2B:8<5G12;8 2b=>2.3;7:l5:X271<6s<:1qd:8:188m02=831b8;4?::k6<7sf7c83>!7313=i76g;7;29 42>2==07d:7:18'51?=<110e<<>:18'51?=9;;07d?>b;29 42>28;i76a6b;29 42>20h07pl>4783>4<729q/=9751428k5<5sW><70h9:558yv212909wS:9;1>44634;?:77m;|a5`0=83?1>78t$016>3g<@8>:7E?<9:&4`?0<,1=18n;4Z017>4}283wb8:4?::k60?6=3`>=6=44i4:94?=hn?0;66li6;292?6=8r.:8449c:X271<6s<:1qd9m:18'51?=?k10e9950;&20<<3?21b854?:%37=?2?32c:><4?:%37=?75921b=j21vn<:9:182>5<7s-;?57?:0:m:f?6=,8>264l4;|qe2?6=:rTm:63i6;;a?xu203:1>vP:8:?e2?1e3ty>87>52z\60>;a>3;:n6s|4683>7}Y<>16j;4;7:p03<72;qU8;52f787<>{t>o0;6?u2f78264=:9=<15o5r}c0g`?6=;3818v*>3485=>N6<81C=>74$9590f347>5;nd5>5<>oa;3:17d;7:188m02=831b8;4?::`5f?6=>3:14885g>\6;=0:w9k5}h5a>5<#9=31;o54i5594?"6<00?;65f4983>!7313>376g>2083>!7313;9=65f10`94?"6<00:=o54o8`94?"6<002n65rb067>5<6290;w)?;9;;5?j?d290/=9759c98yv3?2909wS;7;<4a>2d=6=4={_65?80e2=20q~;;:181[3334{tn?0;6?uQf79>2g<>j2wx=>o50;0x93d=<>16=9:59b9~yg7=83;1<7>t$01;>1=#9:?1:k5+15;9506<,1=18n;4o7g94?"6<002n65rsg494?4|Vo<01k85f79~w0>=838pR864=g491==z{=<1<7b35<42;0?w)?<5;4:?M7392B:?45+8687g0=]9:>1>v:j:409yl212900e8650;9lb3<722hm:7>55;092~"6;<0=n6*77;6`1>\6;=09w9k5538~kc0=831bj>4?::k6k0;6;4?:1y'51?=>j1Q=>:52z6f>04=u`=i6=4+15;93g=48873>=n<10;6)?;9;6;?>o6:80;6)?;9;315>=n98h1<7*>48825g=488:f>=zj8>?6=4>:183!73133=7b7l:18'51?=1k10q~;7:181[3?345<5sW>=708m:5:8yv332909wS;;;<4a>47e3tym?7>52z\e7>;1j3;9=6s|f783>7}Yn?16:o46b:p56g=838p1;l5469>512=1j1vqo?50;394?6|,893695+12792c=#9=31=8>4$9590f3488:f>=z{o<1<7<5o<1955rs5494?4|V=<01k85479~w7<72:q6j;4i3:?e2?3334;1:h5+12:91>{zj;8=6=4<:387!74=3<27E?;1:J27<=#0>0?o85U12695~2b2tc?:7>5;h7;>5<0<52?q/=>;56c9'<2<3k<1Q=>:51z6f>xia>3:17dh<:188m0>=831b994?::k72?6=3kh1<7*>4884f>=n<>0;6)?;9;64?>o303:1(<:6:5:8?l7593:1(<:6:002?>o69k0;6)?;9;32f>=h1k0;6)?;9;;a?>{e9=>1<7?50;2x 42>20<0c4m50;&20<<>j21v8650;0xZ0><5?h1;o5rs5494?4|V=<01;l5499~w02=838pR8:4=7`954d27=n77m;|q27d<72;q6:o4;7:?201<>k2wvn<4?:083>5}#9:2186*>3485b>"6<00:9=5+8687g0=h>l0;6)?;9;;a?>{tn?0;6?uQf79>b32wx954?:3y]1==:n?0>46s|4783>7}Y02<580=i6*>3986?x{e:1<1<7=52;6x 4522?30D<:>;I30=>"??3>h96T>35811c==;0ve9850;9j1=<722em:7>5;cd5>5<22;0=w)?<5;4a?!>02=i>7W?<4;0x0`<2:3wdj;4?::ke7?6=3`?36=44i4694?=n!7313><76g;8;29 42>2=207d?=1;29 42>288:76g>1c83>!7313;:n65`9c83>!73133i76sm15694?7=83:p(<:6:848k=838pR864=7`93g=z{=<1<75<5sWl8708m:002?xua>3:1>vPi6:?5f??e3ty:?l4?:3y>2g<3?27:8946c:~f4<7280;6=u+12:90>"6;<0=j6*>488215=#0>0?o85`6d83>!73133i76s|f783>7}Yn?16j;4i6:p1=<72;qU9552f786<>{tb3<3>2wx>7>53z?e2?`434l=68:4=085a>"6;10>7psm29194?5=:3>p(<=::7;8L4263A;856*77;6`1>\6;=0:w9k5}h65>5<>da>3:197<56z&270<1j2.3;7:l5:X271<6s=o1qbh9:188mc5=831b954?::k60?6=3`>=6=44b7`94?0=83:p(<:6:7a8^45328q?i7sf7c83>!7313=i76g;7;29 42>2==07d:7:18'51?=<110e<<>:18'51?=9;;07d?>b;29 42>28;i76a6b;29 42>20h07pl>4583>4<729q/=975979l=f<72-;?577m;:p1=<72;qU95526c84f>{t2g<302wx994?:3y]11=:>k0:=o5rsg194?4|Vo901;l51338yv`12909wSh9;<4a>52z?5f?2034;?877l;|a5?6=93:13987?!74=3"??3>h96a9e;29 42>20h07p}i6;296~Xa>27m:7h9;|q6463i6;7;?xu3>3:1>vP;6:?e2?213ty96=4<{c5<5o<199521;4f?!7403?0qpl=cb83>6<52=q/=>;5689K517<@8927)68:5a6?_74<3;p8h4ri5494?=n=10;66ai6;29?g`1290>6?49{%301?0e3-2<69m:;[300?7|47>5;h77>5<3<729q/=9756b9Y562=9r>n6pg8b;29 42>2>h07d:8:18'51?=<>10e9650;&20<<3021b=??50;&20<<6:810e264l4;|q64639b;5a?xu3>3:1>vP;6:?5f?2?3ty>87>52z\60>;1j3;:n6s|f283>7}Yn:16:o4>209~wc0=838pRk84=7`9=g=z{89j6=4={<4a>11<58>?64m4}|`2>5<6290;w)?<8;68 4522?l0(<:6:073?!>02=i>7b8j:18'51?=1k10q~h9:181[`134l=6k84}r7;>5<5sW?370h9:4:8yv212909wS:9;10863>:7g8 45?2<1vqo<<1;297?4=>o203:17bh9:188fc0=83?1>78t$016>3d<,1=18n;4Z017>4}3m3wdj;4?::ke7?6=3`?36=44i4694?=n<4?:%37=?75921b=j21vn<:;:182>5<7s-;?5779;n;`>5<#9=315o54}r7;>5<5sW?3708m:6`8yv212909wS:9;<4a>1>{t9:k1<715n5r}c394?7=83:p(<=7:59'563=>o1/=9751428 =1=j21vk850;0xZc0<5o<1j;5rs4:94?4|V<201k85599~w10=838pR984=g4903=z{;0;6>u2f78e7>;a>3??70?56d9'56>==2wvn?j?:180>7<3s-;89786;I375>N6;01/4:4;c49Y562=9r>n6pg;6;29?l3?2900ck850;9ab3<72<096;u+12792g=#0>0?o85U12695~2b2tem:7>5;hd0>5<>o3>3:17o8m:185>5<7s-;?578l;[300?7|5$06:>1><3`;9=7>5$06:>44632c:=o4?:%37=?76j21d5o4?:%37=??e32wi=9:50;394?6|,8>26484o8a94?"6<002n65rs4:94?4|V<201;l57c9~w10=838pR984=7`90==z{<>1<7;|qe2?6=:rTm:639b;;a?xu6;h0;6?u26c873>;6<=02o6srb083>4<729q/=>654:&270<1n2.:844>519'<2<3k<1d:h4?:%37=??e32wxj;4?:3y]b3=:n?0m:6s|5983>7}Y=116j;4:8:p03<72;qU8;52f7872>{t:3:1?v3i6;d0?8`12<>01<49e:&27=<23twi>9?50;196?2|,89>6;74H062?M7412.3;7:l5:X271<6s=o1qd:9:188m0>=831dj;4?::`e2?6==381:v*>3485f>"??3>h96T>35821c=ufl=6=44ig194?=n=10;66g:4;29?l212900n;l50;494?6|,8>26;m4Z017>4}3m3wb;o4?:%37=?1e32c?;7>5$06:>11<3`>36=4+15;90==5$06:>47e32e2n7>5$06:>5}#9=315;5`9b83>!73133i76s|5983>7}Y=116:o48b:p03<72;qU8;526c87<>{t==0;6?uQ559>2g<69k1vk=50;0xZc5<5?h1=??4}rd5>5<5sWl=708m:8`8yv74i3:1>v39b;64?873<33h7psm1;295?6=8r.:?54;;%301?0a3-;?57?:0:&;3?2d=2e=i7>5$06:>52z\e2>;a>3l=7p}:8;296~X2027m:7;7;|q72?6=:rT?:63i6;65?xu52908w0h9:g189c0===16=78j;%301}#9:?1:45G1538L45>3-2<69m:;[300?7|=90ve9850;9j1=<722em:7>5;cd5>5<22;0=w)?<5;4a?!>02=i>7W?<4;3x15>o203:17d;;:188m10=831i:o4?:783>5}#9=31:n5U12695~372tc5$06:>2d<3`><6=4+15;902=4887<>=n9;;1<7*>488264=51;294~"6<002:6a6c;29 42>20h07p}:8;296~X2027=n79m;|q72?6=:rT?:639b;6;?xu2<3:1>vP:4:?5f?76j2wxj>4?:3y]b6=:>k0:><5rsg494?4|Vo<01;l59c9~w45f2909w08m:558942320i0qpl>:182>5<7s-;847:4$016>3`<,8>26<;?;%:4>1e23f5<5sW>=70h9:548yv4=839p1k85f29>b3<2<27:6;k4$01;>0=zuk9997>53;090~"6;<0=56F>409K56?<,1=18n;4Z017>4}283wb8;4?::k656;294~"6<00=o6T>358206=u`=i6=4+15;93g=48873>=n<10;6)?;9;6;?>o6:80;6)?;9;315>=n98h1<7*>48825g=488:f>=zj8>?6=4>:183!73133=7b7l:18'51?=1k10q~;7:181[3?345<5sW>=708m:5:8yv332909wS;;;<4a>47e3tym?7>52z\e7>;1j3;9=6s|f783>7}Yn?16:o46b:p56g=838p1;l5469>512=1j1vqo?50;394?6|,893695+12792c=#9=31=8>4$9590f3488:f>=z{o<1<7<5o<1955rs5494?4|V=<01k85479~w7<72:q6j;4i3:?e2?3334;1:h5+12:91>{zj:n<6=4<:387!74=3<27E?;1:J27<=#0>0?o85U12695~372tc?:7>5;h7;>5<0<52?q/=>;56c9'<2<3k<1Q=>:51z73>xia>3:17dh<:188m0>=831b994?::k72?6=3kh1<7*>4884f>=n<>0;6)?;9;64?>o303:1(<:6:5:8?l7593:1(<:6:002?>o69k0;6)?;9;32f>=h1k0;6)?;9;;a?>{e9=>1<7?50;2x 42>20<0c4m50;&20<<>j21v8650;0xZ0><5?h1;o5rs5494?4|V=<01;l5499~w02=838pR8:4=7`954d27=n77m;|q27d<72;q6:o4;7:?201<>k2wvn<4?:083>5}#9:2186*>3485b>"6<00:9=5+8687g0=h>l0;6)?;9;;a?>{tn?0;6?uQf79>b32wx954?:3y]1==:n?0>46s|4783>7}Y02<580=i6*>3986?x{e<931<7=52;6x 4522?30D<:>;I30=>"0l3<0(5954b78^45328q><7sf4783>>o203:17bh9:188fc0=83?1>78t$016>3d<,1=18n;4Z017>4}283wdj;4?::ke7?6=3`?36=44i4694?=n<4?:%37=?75921b=j21vn<:;:182>5<7s-;?5779;n;`>5<#9=315o54}r7;>5<5sW?3708m:6`8yv212909wS:9;<4a>1>{t9:k1<715n5r}c394?7=83:p(<=7:59'563=>o1/=9751428 =1=j21vk850;0xZc0<5o<1j;5rs4:94?4|V<201k85599~w10=838pR984=g4903=z{;0;6>u2f78e7>;a>3??70?56d9'56>==2wvn9?7:180>7<3s-;89786;I375>N6;01/;i49;%:4>1e23S;887?t518~m10=831b954?::me2?6=3kl=6=4::385!74=31=v;?:|k4f?6=,8>26:l4;h64>5<#9=318:54i5:94?"6<00?465f13394?"6<00:><54i03a>5<#9=31=5<#9=315o54}c370?6=93:1488:2>i>k3:1(<:6:8`8?xu203:1>vP:8:?5f?1e3ty?:7>52z\72>;1j3>37p}:4;296~X2<27=n7?>b:pb6<72;qUj>526c8264=z{o<1<76;h4$06:>4373-2<69m:;n4f>5<#9=315o54}rd5>5<5sWl=70h9:g48yv3?2909wS;7;0>=6=4={_65?8`12=<0q~<50;1x9c0=n:16j;4:4:?2>3c<,893685r}c1f5?6=;3818v*>3485=>N6<81C=>74$6f92>"??3>h96T>358206=u`>=6=44i4:94?=hn?0;66li6;291?4=>r.:?849b:&;3?2d=2P:?94>{429yj`12900ek=50;9j1=<722c>87>5;h65>5<2?i0V<=;:0y64?{n?k0;6)?;9;5a?>o3?3:1(<:6:558?l2?290/=9754998m446290/=9751338?l76j3:1(<:6:03a?>i>j3:1(<:6:8`8?xd6<=0;6<4?:1y'51?=1?1d5n4?:%37=??e32wx954?:3y]1==:>k07}Y51;294~"6;10?7)?<5;4e?!7313;><6*77;6`1>i1m3:1(<:6:8`8?xua>3:1>vPi6:?e2?`13ty>47>52z\6<>;a>3?37p};6;296~X3>27m:7:9;|q1>5<4s4l=6k=4=g4911=:930;6>4=:5y'563=>01C=9?4H01:?!1c2?1/4:4;c49Y562=9r?;6pg;6;29?l3?2900ck850;9ab3<72<096;u+12792g=#0>0?o85U12695~372tem:7>5;hd0>5<>o3>3:17o8m:185>5<7s-;?578l;[300?7|=90ve:l50;&20<<0j21b8:4?:%37=?2032c?47>5$06:>1><3`;9=7>5$06:>44632c:=o4?:%37=?76j21d5o4?:%37=??e32wi=9:50;394?6|,8>26484o8a94?"6<002n65rs4:94?4|V<201;l57c9~w10=838pR984=7`90==z{<>1<7;|qe2?6=:rTm:639b;;a?xu6;h0;6?u26c873>;6<=02o6srb083>4<729q/=>654:&270<1n2.:844>519'<2<3k<1d:h4?:%37=??e32wxj;4?:3y]b3=:n?0m:6s|5983>7}Y=116j;4:8:p03<72;qU8;52f7872>{t:3:1?v3i6;d0?8`12<>01<49e:&27=<23twi>k?50;196?2|,89>6;74H062?M7412.3;7:l5:X271<6s=o1qd:9:188m0>=831dj;4?::`e2?6==381:v*>3485f>"??3>h96T>35821c=ufl=6=44ig194?=n=10;66g:4;29?l212900n;l50;494?6|,8>26;m4Z017>4}3m3wb;o4?:%37=?1e32c?;7>5$06:>11<3`>36=4+15;90==5$06:>47e32e2n7>5$06:>5}#9=315;5`9b83>!73133i76s|5983>7}Y=116:o48b:p03<72;qU8;526c87<>{t==0;6?uQ559>2g<69k1vk=50;0xZc5<5?h1=??4}rd5>5<5sWl=708m:8`8yv74i3:1>v39b;64?873<33h7psm1;295?6=8r.:?54;;%301?0a3-;?57?:0:&;3?2d=2e=i7>5$06:>52z\e2>;a>3l=7p}:8;296~X2027m:7;7;|q72?6=:rT?:63i6;65?xu52908w0h9:g189c0===16=78j;%301}#9:?1:45G1538L45>3-2<69m:;[300?7|5;cd5>5<22;0=w)?<5;4a?!>02=i>7W?<4;3x0`>o203:17d;;:188m10=831i:o4?:783>5}#9=31:n5U12695~2b2tc5$06:>2d<3`><6=4+15;902=4887<>=n9;;1<7*>488264=51;294~"6<002:6a6c;29 42>20h07p}:8;296~X2027=n79m;|q72?6=:rT?:639b;6;?xu2<3:1>vP:4:?5f?76j2wxj>4?:3y]b6=:>k0:><5rsg494?4|Vo<01;l59c9~w45f2909w08m:558942320i0qpl>:182>5<7s-;847:4$016>3`<,8>26<;?;%:4>1e23f5<5sW>=70h9:548yv4=839p1k85f29>b3<2<27:6;k4$01;>0=zuk8o?7>53;090~"6;<0=56F>409K56?<,1=18n;4Z017>4}3m3wb8;4?::k656;294~"6<00=o6T>35821c=u`=i6=4+15;93g=48873>=n<10;6)?;9;6;?>o6:80;6)?;9;315>=n98h1<7*>48825g=488:f>=zj8>?6=4>:183!73133=7b7l:18'51?=1k10q~;7:181[3?345<5sW>=708m:5:8yv332909wS;;;<4a>47e3tym?7>52z\e7>;1j3;9=6s|f783>7}Yn?16:o46b:p56g=838p1;l5469>512=1j1vqo?50;394?6|,893695+12792c=#9=31=8>4$9590f3488:f>=z{o<1<7<5o<1955rs5494?4|V=<01k85479~w7<72:q6j;4i3:?e2?3334;1:h5+12:91>{zj;nj6=4<:387!74=3<27E?;1:J27<=#0>0?o85U12695~2b2tc?:7>5;h7;>5<0<52?q/=>;56c9'<2<3k<1Q=>:51z6f>xia>3:17dh<:188m0>=831b994?::k72?6=3kh1<7*>4884f>=n<>0;6)?;9;64?>o303:1(<:6:5:8?l7593:1(<:6:002?>o69k0;6)?;9;32f>=h1k0;6)?;9;;a?>{e9=>1<7?50;2x 42>20<0c4m50;&20<<>j21v8650;0xZ0><5?h1;o5rs5494?4|V=<01;l5499~w02=838pR8:4=7`954d27=n77m;|q27d<72;q6:o4;7:?201<>k2wvn<4?:083>5}#9:2186*>3485b>"6<00:9=5+8687g0=h>l0;6)?;9;;a?>{tn?0;6?uQf79>b32wx954?:3y]1==:n?0>46s|4783>7}Y02<580=i6*>3986?x{e:181<7=52;6x 4522?30D<:>;I30=>"??3>h96T>358204=u`>=6=44i4:94?=hn?0;66li6;291?4=>r.:?849b:&;3?2d=2P:?94>{409yj`12900ek=50;9j1=<722c>87>5;h65>5<2?i0V<=;:0y66?{n?k0;6)?;9;5a?>o3?3:1(<:6:558?l2?290/=9754998m446290/=9751338?l76j3:1(<:6:03a?>i>j3:1(<:6:8`8?xd6<=0;6<4?:1y'51?=1?1d5n4?:%37=??e32wx954?:3y]1==:>k07}Y51;294~"6;10?7)?<5;4e?!7313;><6*77;6`1>i1m3:1(<:6:8`8?xua>3:1>vPi6:?e2?`13ty>47>52z\6<>;a>3?37p};6;296~X3>27m:7:9;|q1>5<4s4l=6k=4=g4911=:934=:5y'563=>01C=9?4H01:?!>02=i>7W?<4;3x0`>ia>3:17oh9:186>7<1s-;8978m;%:4>1e23S;887?t4d8~kc0=831bj>4?::k6k0;6;4?:1y'51?=>j1Q=>:51z6f>xo0j3:1(<:6:6`8?l20290/=9754698m1>=83.:844;8:9j577=83.:844>2098m47e290/=97510`8?j?e290/=9759c98yg73<3:1=7>50z&20<<>>2e2o7>5$06:>47>52z\6<>;1j3=i7p};6;296~X3>27=n7:7;|q60?6=:rT>8639b;32f>{tn:0;6?uQf29>2g<6:81vk850;0xZc0<5?h15o5rs01b>5<5s4:183!7403>0(<=::7d8 42>28?;7)68:5a6?j0b290/=9759c98yv`12909wSh9;c052f7860>;62?o0(<=7:49~yg45m3:1?7<54z&270<112B:8<5G12;8 =1=6;l4$9590f35;h7;>5<1<75f4783>>d1j3:1:7>50z&20<<1k2P:?94>{5g9yl1e290/=9757c98m11=83.:844;7:9j0=<72-;?57:7;:k264<72-;?57?=1:9j54d=83.:844>1c98kh0q~:9:181[21345<5sW??708m:03a?xua;3:1>vPi3:?5f?7592wxj;4?:3y]b3=:>k02n6s|12c94?4|5?h18:521569=f=zuk;1<7?50;2x 45?2=1/=>;56g9'51?=9<:0(5954b78k3c=83.:8446b:9~wc0=838pRk84=g49b3=z{<21<76}:n?0m?63i6;77?87=>l1/=>655:~f7ea29086?4;{%301?0>3A;?=6F>389'<2<3k<1Q=>:51z6f>xo3>3:17d;7:188kc0=831ij;4?:481>3}#9:?1:o5+8687g0=]9:>1=v:j:|me2?6=3`l86=44i4:94?=n==0;66g;6;29?g0e290=6=4?{%37=?0d3S;887?t4d8~m2d=83.:8448b:9j02<72-;?57:8;:k726964;h315?6=,8>26<<>;:k25g<72-;?57?>b:9l=g<72-;?577m;:a512=83;1<7>t$06:><0488:f>=z{<21<701;l510`8yv`42909wSh<;<4a>4463tym:7>52z\e2>;1j33i7p}>3`83>7}:>k0?;63>458:g>{zj80;6<4?:1y'56>=<2.:?849f:&20<<6=91/4:4;c49l2`<72-;?577m;:pb3<72;qUj;52f78e2>{t=10;6?uQ599>b3<202wx8;4?:3y]03=:n?0?:6s|2;297~;a>3l870h9:46894<1m2.:?54:;|a616=8391>7:t$016>3?<@8>:7E?<9:&;3?2d=2P:?94>{5g9yl212900e8650;9lb3<722hm:7>55;092~"6;<0=n6*77;6`1>\6;=0:w9k5}nd5>5<>o2<3:17d:9:188f3d=83<1<7>t$06:>3e26994;h6;>5<#9=318554i002>5<#9=31=??4;h32f?6=,8>26264l4;|`201<7280;6=u+15;9=3=h1j0;6)?;9;;a?>{t=10;6?uQ599>2g<0j2wx8;4?:3y]03=:>k0?46s|5583>7}Y==16:o4>1c9~wc5=838pRk=4=7`9577<70?;4;;`?x{e93:1=7>50z&27=<33-;8978i;%37=?7282.3;7:l5:m5a?6=,8>264l4;|qe2?6=:rTm:63i6;d5?xu203:1>vP:8:?e2?3?3ty?:7>52z\72>;a>3>=7p}=:1808`12o901k85559>5?0b3-;847;4}|`7e<<72:0969u+12792<=O9=;0D<=6;%:4>1e23S;887?t518~m10=831b954?::me2?6=3kl=6=4::385!74=31=v;?:|k4f?6=,8>26:l4;h64>5<#9=318:54i5:94?"6<00?465f13394?"6<00:><54i03a>5<#9=31=5<#9=315o54}c370?6=93:1488:2>i>k3:1(<:6:8`8?xu203:1>vP:8:?5f?1e3ty?:7>52z\72>;1j3>37p}:4;296~X2<27=n7?>b:pb6<72;qUj>526c8264=z{o<1<76;h4$06:>4373-2<69m:;n4f>5<#9=315o54}rd5>5<5sWl=70h9:g48yv3?2909wS;7;0>=6=4={_65?8`12=<0q~<50;1x9c0=n:16j;4:4:?2>3c<,893685r}c12e?6=;3818v*>3485=>N6<81C=>74$6f92>"??3>h96T>358206=u`>=6=44i4:94?=hn?0;66li6;291?4=>r.:?849b:&;3?2d=2P:?94>{429yj`12900ek=50;9j1=<722c>87>5;h65>5<2?i0V<=;:0y64?{n?k0;6)?;9;5a?>o3?3:1(<:6:558?l2?290/=9754998m446290/=9751338?l76j3:1(<:6:03a?>i>j3:1(<:6:8`8?xd6<=0;6<4?:1y'51?=1?1d5n4?:%37=??e32wx954?:3y]1==:>k07}Y51;294~"6;10?7)?<5;4e?!7313;><6*77;6`1>i1m3:1(<:6:8`8?xua>3:1>vPi6:?e2?`13ty>47>52z\6<>;a>3?37p};6;296~X3>27m:7:9;|q1>5<4s4l=6k=4=g4911=:93h1C=9?4H01:?!>02=i>7W?<4;3x15>o3>3:17d;7:188kc0=831ij;4?:783>5}#9=31:n5U12695~372tc5$06:>2d<3`><6=4+15;902=4887<>=n9;;1<7*>488264=51;294~"6<00:9=5`9c83>!73133i76s|f783>7}Yn?16j;46b:p1=<72;qU9552f784f>{t==0;6?uQ559>b3<69k1v9950;0xZ11<5o<18:5rs5494?4|V=<01k85499~w3`=838p1k851338942120h0qpl<1c83>0<52?q/=>;56`9K517<@8927)68:5a6?_74<3;p9=4ri5594?=n==0;66g;6;29?l3?2900ck850;9ab3<72?0;6=u+15;92f=]9:>1=v;?:|k4f?6=,8>26:l4;h64>5<#9=318:54i5:94?"6<00?465f13394?"6<00:><54i03a>5<#9=31=5<#9=315o54}c372?6=93:1488215=h1k0;6)?;9;;a?>{tn?0;6?uQf79>b3<>j2wx954?:3y]1==:n?07}Y==16j;4>1c9~w11=838pR994=g4902=z{=<1<7h1C=9?4H01:?!>02=i>7W?<4;3x15>o3>3:17d;7:188kc0=831ij;4?:783>5}#9=31:n5U12695~372tc5$06:>2d<3`><6=4+15;902=4887<>=n9;;1<7*>488264=51;294~"6<00:9=5`9c83>!73133i76s|f783>7}Yn?16j;46b:p1=<72;qU9552f784f>{t==0;6?uQ559>b3<69k1v9950;0xZ11<5o<18:5rs5494?4|V=<01k85499~w3`=838p1k851338942120h0qpl<5383>0<52?q/=>;56`9K517<@8927)68:5a6?_74<3;p9=4ri5594?=n==0;66g;6;29?l3?2900ck850;9ab3<72?0;6=u+15;92f=]9:>1=v;?:|k4f?6=,8>26:l4;h64>5<#9=318:54i5:94?"6<00?465f13394?"6<00:><54i03a>5<#9=31=5<#9=315o54}c372?6=93:1488215=h1k0;6)?;9;;a?>{tn?0;6?uQf79>b3<>j2wx954?:3y]1==:n?07}Y==16j;4>1c9~w11=838pR994=g4902=z{=<1<7h1C=9?4H01:?!>02=i>7W?<4;3x15>o3>3:17d;7:188kc0=831ij;4?:783>5}#9=31:n5U12695~372tc5$06:>2d<3`><6=4+15;902=4887<>=n9;;1<7*>488264=51;294~"6<00:9=5`9c83>!73133i76s|f783>7}Yn?16j;46b:p1=<72;qU9552f784f>{t==0;6?uQ559>b3<69k1v9950;0xZ11<5o<18:5rs5494?4|V=<01k85499~w3`=838p1k851338942120h0qpl<3g83>0<52?q/=>;56`9K517<@8927)68:5a6?_74<3;p9=4ri5594?=n==0;66g;6;29?l3?2900ck850;9ab3<72?0;6=u+15;92f=]9:>1=v;?:|k4f?6=,8>26:l4;h64>5<#9=318:54i5:94?"6<00?465f13394?"6<00:><54i03a>5<#9=31=5<#9=315o54}c372?6=93:1488215=h1k0;6)?;9;;a?>{tn?0;6?uQf79>b3<>j2wx954?:3y]1==:n?07}Y==16j;4>1c9~w11=838pR994=g4902=z{=<1<74=:5y'563=>01C=9?4H01:?!1c2?1/4:4;c49Y562=9r?;6pg;6;29?l3?2900ck850;9ab3<72<096;u+12792g=#0>0?o85U12695~372tem:7>5;hd0>5<>o3>3:17o8m:185>5<7s-;?578l;[300?7|=90ve:l50;&20<<0j21b8:4?:%37=?2032c?47>5$06:>1><3`;9=7>5$06:>44632c:=o4?:%37=?76j21d5o4?:%37=??e32wi=9:50;394?6|,8>26484o8a94?"6<002n65rs4:94?4|V<201;l57c9~w10=838pR984=7`90==z{<>1<7;|qe2?6=:rTm:639b;;a?xu6;h0;6?u26c873>;6<=02o6srb083>4<729q/=>654:&270<1n2.:844>519'<2<3k<1d:h4?:%37=??e32wxj;4?:3y]b3=:n?0m:6s|5983>7}Y=116j;4:8:p03<72;qU8;52f7872>{t:3:1?v3i6;d0?8`12<>01<49e:&27=<23twi>l750;196?2|,89>6;74H062?M7412.47>5;nd5>5<>oa;3:17d;7:188m02=831b8;4?::`5f?6=>3:14885g>\6;=0:w9k5}h5a>5<#9=31;o54i5594?"6<00?;65f4983>!7313>376g>2083>!7313;9=65f10`94?"6<00:=o54o8`94?"6<002n65rb067>5<6290;w)?;9;;5?j?d290/=9759c98yv3?2909wS;7;<4a>2d=6=4={_65?80e2=20q~;;:181[3334{tn?0;6?uQf79>2g<>j2wx=>o50;0x93d=<>16=9:59b9~yg7=83;1<7>t$01;>1=#9:?1:k5+15;9506<,1=18n;4o7g94?"6<002n65rsg494?4|Vo<01k85f79~w0>=838pR864=g491==z{=<1<7b35<42;0?w)?<5;4:?M7392B:?45+7e85?!>02=i>7W?<4;3x0`>ia>3:17oh9:186>7<1s-;8978m;%:4>1e23S;887?t4d8~kc0=831bj>4?::k6k0;6;4?:1y'51?=>j1Q=>:51z6f>xo0j3:1(<:6:6`8?l20290/=9754698m1>=83.:844;8:9j577=83.:844>2098m47e290/=97510`8?j?e290/=9759c98yg73<3:1=7>50z&20<<>>2e2o7>5$06:>47>52z\6<>;1j3=i7p};6;296~X3>27=n7:7;|q60?6=:rT>8639b;32f>{tn:0;6?uQf29>2g<6:81vk850;0xZc0<5?h15o5rs01b>5<5s4:183!7403>0(<=::7d8 42>28?;7)68:5a6?j0b290/=9759c98yv`12909wSh9;c052f7860>;62?o0(<=7:49~yg44i3:1?7<54z&270<112B:8<5G12;8 2b=>2.3;7:l5:X271<6s=o1qd:9:188m0>=831dj;4?::`e2?6==381:v*>3485f>"??3>h96T>35821c=ufl=6=44ig194?=n=10;66g:4;29?l212900n;l50;494?6|,8>26;m4Z017>4}3m3wb;o4?:%37=?1e32c?;7>5$06:>11<3`>36=4+15;90==5$06:>47e32e2n7>5$06:>5}#9=315;5`9b83>!73133i76s|5983>7}Y=116:o48b:p03<72;qU8;526c87<>{t==0;6?uQ559>2g<69k1vk=50;0xZc5<5?h1=??4}rd5>5<5sWl=708m:8`8yv74i3:1>v39b;64?873<33h7psm1;295?6=8r.:?54;;%301?0a3-;?57?:0:&;3?2d=2e=i7>5$06:>52z\e2>;a>3l=7p}:8;296~X2027m:7;7;|q72?6=:rT?:63i6;65?xu52908w0h9:g189c0===16=78j;%301}#9:?1:45G1538L45>3-=o6;5+8687g0=]9:>1=v:j:|k72?6=3`?36=44og494?=en?0;684=:7y'563=>k1/4:4;c49Y562=9r>n6pai6;29?l`42900e8650;9j11<722c?:7>5;c4a>5<1290;w)?;9;4`?_74<3;p8h4ri6`94?"6<00!7313><76g;8;29 42>2=207d?=1;29 42>288:76g>1c83>!7313;:n65`9c83>!73133i76sm15694?7=83:p(<:6:848k=838pR864=7`93g=z{=<1<75<5sWl8708m:002?xua>3:1>vPi6:?5f??e3ty:?l4?:3y>2g<3?27:8946c:~f4<7280;6=u+12:90>"6;<0=j6*>488215=#0>0?o85`6d83>!73133i76s|f783>7}Yn?16j;4i6:p1=<72;qU9552f786<>{tb3<3>2wx>7>53z?e2?`434l=68:4=085a>"6;10>7psm2`c94?5=:3>p(<=::7;8L4263A;856*8d;48 =1=6;l4$9590f35;h7;>5<1<75f4783>>d1j3:1:7>50z&20<<1k2P:?94>{5g9yl1e290/=9757c98m11=83.:844;7:9j0=<72-;?57:7;:k264<72-;?57?=1:9j54d=83.:844>1c98kh0q~:9:181[21345<5sW??708m:03a?xua;3:1>vPi3:?5f?7592wxj;4?:3y]b3=:>k02n6s|12c94?4|5?h18:521569=f=zuk;1<7?50;2x 45?2=1/=>;56g9'51?=9<:0(5954b78k3c=83.:8446b:9~wc0=838pRk84=g49b3=z{<21<76}:n?0m?63i6;77?87=>l1/=>655:~f7d729086?4;{%301?0>3A;?=6F>389'3a<13-2<69m:;[300?4|>7sf4783>>o203:17bh9:188fc0=83?1>78t$016>3d<,1=18n;4Z017>7}3m3?96pai6;29?l`42900e8650;9j11<722c?:7>5;c4a>5<1290;w)?;9;4`?_74<38p8h4:2;j3g<72-;?579m;:k73?6=,8>26994;h6;>5<#9=318554i002>5<#9=31=??4;h32f?6=,8>26264l4;|`201<7280;6=u+15;9=3=h1j0;6)?;9;;a?>{t=10;6?uQ599>2g<0j2wx8;4?:3y]03=:>k0?46s|5583>7}Y==16:o4>1c9~wc5=838pRk=4=7`9577<70?;4;;`?x{e93:1=7>50z&27=<33-;8978i;%37=?7282.3;7:l5:m5a?6=,8>264l4;|qe2?6=:rTm:63i6;d5?xu203:1>vP:8:?e2?3?3ty?:7>52z\72>;a>3>=7p}=:1808`12o901k85559>5?0b3-;847;4}|`1f`<72:0969u+12792<=O9=;0D<=6;%5g>3=#0>0?o85U12696~2b2<81qd:9:188m0>=831dj;4?::`e2?6==381:v*>3485f>"??3>h96T>35811c==;0vck850;9jb6<722c>47>5;h77>5<3<729q/=9756b9Y562=:r>n68<5}h5a>5<#9=31;o54i5594?"6<00?;65f4983>!7313>376g>2083>!7313;9=65f10`94?"6<00:=o54o8`94?"6<002n65rb067>5<6290;w)?;9;;5?j?d290/=9759c98yv3?2909wS;7;<4a>2d=6=4={_65?80e2=20q~;;:181[3334{tn?0;6?uQf79>2g<>j2wx=>o50;0x93d=<>16=9:59b9~yg7=83;1<7>t$01;>1=#9:?1:k5+15;9506<,1=18n;4o7g94?"6<002n65rsg494?4|Vo<01k85f79~w0>=838pR864=g491==z{=<1<7b35<42;0?w)?<5;4:?M7392B:?45+7e85?!>02=i>7W?<4;3x15>ia>3:17oh9:186>7<1s-;8978m;%:4>1e23S;887?t518~kc0=831bj>4?::k6k0;6;4?:1y'51?=>j1Q=>:51z73>xo0j3:1(<:6:6`8?l20290/=9754698m1>=83.:844;8:9j577=83.:844>2098m47e290/=97510`8?j?e290/=9759c98yg73<3:1=7>50z&20<<>>2e2o7>5$06:>47>52z\6<>;1j3=i7p};6;296~X3>27=n7:7;|q60?6=:rT>8639b;32f>{tn:0;6?uQf29>2g<6:81vk850;0xZc0<5?h15o5rs01b>5<5s4:183!7403>0(<=::7d8 42>28?;7)68:5a6?j0b290/=9759c98yv`12909wSh9;c052f7860>;62?o0(<=7:49~yg51;3:1?7<54z&270<112B:8<5G12;8 2b=>2.3;7:l5:X271<6s<:1qd:9:188m0>=831dj;4?::`e2?6==381:v*>3485f>"??3>h96T>358206=ufl=6=44ig194?=n=10;66g:4;29?l212900n;l50;494?6|,8>26;m4Z017>4}283wb;o4?:%37=?1e32c?;7>5$06:>11<3`>36=4+15;90==5$06:>47e32e2n7>5$06:>5}#9=315;5`9b83>!73133i76s|5983>7}Y=116:o48b:p03<72;qU8;526c87<>{t==0;6?uQ559>2g<69k1vk=50;0xZc5<5?h1=??4}rd5>5<5sWl=708m:8`8yv74i3:1>v39b;64?873<33h7psm1;295?6=8r.:?54;;%301?0a3-;?57?:0:&;3?2d=2e=i7>5$06:>52z\e2>;a>3l=7p}:8;296~X2027m:7;7;|q72?6=:rT?:63i6;65?xu52908w0h9:g189c0===16=78j;%301}#9:?1:45G1538L45>3-=o6;5+8687g0=]9:>1=v;?:|k72?6=3`?36=44og494?=en?0;684=:7y'563=>k1/4:4;c49Y562=9r?;6pai6;29?l`42900e8650;9j11<722c?:7>5;c4a>5<1290;w)?;9;4`?_74<3;p9=4ri6`94?"6<00!7313><76g;8;29 42>2=207d?=1;29 42>288:76g>1c83>!7313;:n65`9c83>!73133i76sm15694?7=83:p(<:6:848k=838pR864=7`93g=z{=<1<75<5sWl8708m:002?xua>3:1>vPi6:?5f??e3ty:?l4?:3y>2g<3?27:8946c:~f4<7280;6=u+12:90>"6;<0=j6*>488215=#0>0?o85`6d83>!73133i76s|f783>7}Yn?16j;4i6:p1=<72;qU9552f786<>{tb3<3>2wx>7>53z?e2?`434l=68:4=085a>"6;10>7psm46;94?5=:3>p(<=::7;8L4263A;856*8d;48 =1=6;l4$9590f35;h7;>5<1<75f4783>>d1j3:1:7>50z&20<<1k2P:?94>{429yl1e290/=9757c98m11=83.:844;7:9j0=<72-;?57:7;:k264<72-;?57?=1:9j54d=83.:844>1c98kh0q~:9:181[21345<5sW??708m:03a?xua;3:1>vPi3:?5f?7592wxj;4?:3y]b3=:>k02n6s|12c94?4|5?h18:521569=f=zuk;1<7?50;2x 45?2=1/=>;56g9'51?=9<:0(5954b78k3c=83.:8446b:9~wc0=838pRk84=g49b3=z{<21<76}:n?0m?63i6;77?87=>l1/=>655:~f1?529086?4;{%301?0>3A;?=6F>389'3a<13-2<69m:;[300?7|=90ve9850;9j1=<722em:7>5;cd5>5<22;0=w)?<5;4a?!>02=i>7W?<4;3x15>o203:17d;;:188m10=831i:o4?:783>5}#9=31:n5U12695~372tc5$06:>2d<3`><6=4+15;902=4887<>=n9;;1<7*>488264=51;294~"6<002:6a6c;29 42>20h07p}:8;296~X2027=n79m;|q72?6=:rT?:639b;6;?xu2<3:1>vP:4:?5f?76j2wxj>4?:3y]b6=:>k0:><5rsg494?4|Vo<01;l59c9~w45f2909w08m:558942320i0qpl>:182>5<7s-;847:4$016>3`<,8>26<;?;%:4>1e23f5<5sW>=70h9:548yv4=839p1k85f29>b3<2<27:6;k4$01;>0=zuk92<7>53;294~"6;<0??6F>409K56?<,8>26<1e23`=;6=4+15;93g=48863>=h1:0;6)?;9;;a?M75m21vn<8n:180>4<4sA;856*>348;f>o2i3:17d;m:188k47a2900n<:j:180>5<7s-;897?;0:J204=O9:30(<:6:01e?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wx9l4?:3y]1d=:9=o1;?5rs4`94?4|VvP>1g9>51c=1:1vqo?99;297?7=;rB:?45+1279419K517<@8927)?;9;30b>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21v8o50;0xZ0g<58>n6:<4}r7a>5<5sW?i70?;e;52?xu69o0;6?uQ10d8942b2090qpl>6983>6<62:qC=>74$016>=d>i69o0;66l>4d83>6<729q/=>;51528L4263A;856*>48827c=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10q~;n:181[3f34;?i79=;|q6f?6=:rT>n63>4d845>{t98l1<7e3`?j6=44i4`94?=h98l1<75m15g94?5=83:p(<=::063?M7392B:?45+15;956`<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07p}:a;296~X2i27:8h482:p1g<72;qU9o5215g934=z{8;m6=4={_32b>;65<42808wE?<9:&270m7>5;h7a>5<5<4290;w)?<5;374>N6<81C=>74$06:>45a3-2<69m:;h52>5<#9=31;o54i6094?"6<00!73133i76s|5`83>7}Y=h16=9k5739~w0d=838pR8l4=06f>2752z\25c=:9=o15>5r}c351?6=;3;1?vF>389'563=0k1b9l4?::k6f?6=3f;:j7>5;c37a?6=;3:1348205=O9=;0D<=6;%37=?74n2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rs4c94?4|Vn64=4}|`221<72:0:6>uG12;8 45221h0e8o50;9j1g<722e:=k4?::`20`<72:0;6=u+1279516<@8>:7E?<9:&20<<6;o1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}r7b>5<5sW?j70?;e;51?xu2j3:1>vP:b:?20`<092wx=t$016>4273A;?=6F>389'51?=9:l0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|q6e?6=:rT>m63>4d846>{t=k0;6?uQ5c9>51c=?81v"6;<03n6g:a;29?l3e2900c289m7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:p1d<72;qU9l5215g937=z{;0q~?>f;296~X69o16=9k5929~yg42k3:1?7?53zJ27<=#9:?14o5f5`83>>o2j3:17b?>f;29?g73m3:1?7>50z&270<6<91C=9?4H01:?!7313;8j6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~w0g=838pR8o4=06f>241g83>7}Y98l01<:j:818yxd5=k0;6>4>:2yK56?<,89>65l4i4c94?=n=k0;66a>1g83>>d64?:1y'563=9=:0D<:>;I30=>"6<00:?k5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yv3f2909wS;n;<37a?153ty>n7>52z\6f>;697)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vnk;50;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl>5383>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c367?6=;3:1348a?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn?mj:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm8483>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c3f1?6=;3:1348a?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn9om:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm41494?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`742<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg2703:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb5`a>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a0de=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd3jm0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==j97>52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn9o9:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e;9i1<7=50;2x 4522020D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f62>29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e;=k1<7=50;2x 4522k1C=9?4H01:?!7313k0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`04c<72:0;6=u+1279f>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo=:4;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb207>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a74?=8391<7>t$016>g=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl=0083>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c036?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f76429086=4?{%301???3A;?=6F>389'51?=1>1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c032?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f76029096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e:921<7=50;2x 4522020D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f76e29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e:9i1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th95}#9:?1555G1538L45>3-;?5778;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e9o>1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th:j84?:283>5}#9:?1555G1538L45>3-;?5778;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e9o31<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th:jl4?:283>5}#9:?1555G1538L45>3-;?5778;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e:891<7=50;2x 4522k1C=9?4H01:?!7313k0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`164<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg45:3:1?7>50z&270<>02B:8<5G12;8 42>20=0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`2aa<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg47n3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj;;;6=4<:183!74=3h0D<:>;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a5``=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd6n90;6>4?:1y'563=111C=9?4H01:?!73133<7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a632=8391<7>t$016>g=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl=6383>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=53;294~"6;<0i7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f73629086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm24694?5=83:p(<=::c9K517<@8927)?;9;c8 =1=5$06:>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg42i3:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb3d;>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a661=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd5;10;6>4?:1y'563=111C=9?4H01:?!73133<7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a66e=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd5;:0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==7>52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn?:<:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm29:94?5=83:p(<=::c9K517<@8927)?;9;c8 =1=5$06:>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg41m3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj;;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a66`=8391<7>t$016>g=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl=2e83>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=53;294~"6;<0i7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f7b>29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e9?h1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th:;84?:283>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg7093:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb04g>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi=5=50;194?6|,89>6464H062?M7412.:84467:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj8k86=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi=l:50;194?6|,89>6464H062?M7412.:84467:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj82n6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi?:=50;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl<7583>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=j>7>52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn9o<:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e9l=1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th9494?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo<<0;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb0ga>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a6ae=8391<7>t$016>g=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl=6783>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c053?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f70?29086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm39794?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`0<3<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg5??3:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb5`0>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a0g2=8391<7>t$016><><@8>:7E?<9:&20<<>?2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb5``>5<4290;w)?<5;;;?M7392B:?45+15;9=2=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo<=4;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb306>5<4290;w)?<5;;;?M7392B:?45+15;9=2=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo<>e;297?6=8r.:?8468:J204=O9:30(<:6:858 =1=5$06:>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo50;&20<<0j21d5>4?:%37=??e3A;?465rb3g;>5<4290;w)?<5;;;?M7392B:?45+15;9=2=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo50;&20<<0j21d5>4?:%37=??e3A;?465rb3d7>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a6c3=8391<7>t$016><><@8>:7E?<9:&20<<>?2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb4g94?3=83:p(<=::e9K517<@8927)?;9;c8 =1=5$06:>2d<3`=?6=4+15;93g=488:f>=zjo0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th=<7>53;294~"6;<0i7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f37=8391<7>t$016>g=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl>1583>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c321?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f471290?6=4?{%301?e<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!7313=i76a63;29 42>20h07pl>d483>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm1e:94?5=83:p(<=::c9K517<@8927)?;9;c8 =1=5$06:>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg7fi3:157>50z&270<692B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;h50>5<#9=31;o54i6694?"6<00!7313=i76g86;29 42>2>h07d98:18'51?=?k10e:650;&20<<0j21d5>4?:%37=??e32wi=ll50;;94?6|,89>61e23`=:6=4+15;93g=81<7*>4884f>=n?:0;6)?;9;5a?>o0<3:1(<:6:6`8?l12290/=9757c98m20=83.:8448b:9j32<72-;?579m;:k426:l4;n;0>5<#9=315o54}c3bg?6=;3:1348a?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm1c:94?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`2f<<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg7ei3:197>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54i6194?"6<00!7313=i76a63;29 42>20h07pl;6583>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g==97>52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn989:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f13?29086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm44;94?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`71d<72:0;6=u+1279f>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo::b;297?6=8r.:?8468:J204=O9:30(<:6:858 =1=5$06:>4?:283>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg22<3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj=?>6=4<:183!74=3h0D<:>;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a000=8391<7>t$016><><@8>:7E?<9:&20<<>?2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb56f>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi89h50;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl;5183>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=>=7>53;294~"6;<0246F>409K56?<,8>26494$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd3<00;6>4?:1y'563=j2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c67e?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f12e29086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm45a94?5=83:p(<=::8:8L4263A;856*>488:3>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn9:;:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e<=?1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th?8;4?:283>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg23?3:1?7>50z&270<>02B:8<5G12;8 42>20=0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`77c<72:0;6=u+1279f>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo:;0;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb562>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi89<50;194?6|,89>6464H062?M7412.:84467:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj=9j6=4<:183!74=3h0D<:>;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a06d=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd3;j0;6>4?:1y'563=j2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c60`?6=;3:1348:<>N6<81C=>74$06:><1<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl;3483>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=8:7>52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn9=8:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e<:21<7=50;2x 4522020D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f1gb29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{eo0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th?n=4?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo:m1;291?6=8r.:?84k;I375>N6;01/=975a:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=91<7*>4884f>=n?=0;6)?;9;5a?>i>;3:1(<:6:8`8?xd3jo0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==h<7>52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn9m>:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm4b094?3=83:p(<=::e9K517<@8927)?;9;c8 =1=5$06:>2d<3`=?6=4+15;93g=488:f>=zj:>o6=4<:183!74=3h0D<:>;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a71c=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd497)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==<7>53;294~"6;<0i7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f63629086=4?{%301???3A;?=6F>389'51?=1>1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c12`?6=;3:1348a?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn>?j:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm30d94?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`065<72:0;6=u+1279f>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo==1;297?6=8r.:?8468:J204=O9:30(<:6:858 =1=5$06:>4?:283>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg54<3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj:9>6=4<:183!74=3h0D<:>;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a760=8391<7>t$016><><@8>:7E?<9:&20<<>?2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb2:2>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi?5<50;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl<8283>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn>97:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm36;94?2=83:p(<=::b9K517<@8927)?;9;c8 =1=5$06:>2d<3f386=4+15;9=g=52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm1ga94?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`2ba<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg7am3:197>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54i6194?"6<00!7313=i76a63;29 42>20h07pl>8483>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c3;2?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f4>029096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e9121<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th:444?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo?7a;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb0:a>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a5=e=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd60m0;644?:1y'563=981C=9?4H01:?!7313k0(5954b78m27=83.:8448b:9j37<72-;?579m;:k47?6=,8>26:l4;h57>5<#9=31;o54i6794?"6<00!7313=i76g87;29 42>2>h07d97:18'51?=?k10c4=50;&20<<>j21vn<7?:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e90;1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th:5?4?:283>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg7>;3:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb3a3>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi>n?50;194?6|,89>6o5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd5k;0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn?m;:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm2b794?5=83:p(<=::c9K517<@8927)?;9;c8 =1=5$06:>5}#9:?1555G1538L45>3-;?5778;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e:j=1<7:50;2x 4522j1C=9?4H01:?!7313k0(5954b78m27=83.:8448b:9j37<72-;?579m;:k47?6=,8>26:l4;n;0>5<#9=315o54}c0`348:<>N6<81C=>74$06:><1<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl=b383>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c0a2?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f7d029096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e:k21<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th9n44?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo50;&20<<0j21d5>4?:%37=??e3A;?465rb3`a>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a6ge=83?1<7>t$016>a=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76g83;29 42>2>h07d9;:18'51?=?k10c4=50;&20<<>j21vn?lk:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e:k91<7:50;2x 4522030D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98m25=83.:8448b:9l=6<72-;?577m;:a6g2=8391<7>t$016>g=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl=b483>6<729q/=>;5999K517<@8927)?;9;;4?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi>lm50;194?6|,89>6o5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd5im0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==53;294~"6;<0i7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f7ga29086=4?{%301???3A;?=6F>389'51?=1>1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c151?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f60f29086=4?{%301???3A;?=6F>389'51?=1>1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c15f?6=;3:1348a?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn>8l:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm37f94?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`02`<72:0;6=u+1279f>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo=9f;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb253>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi?:?50;194?6|,89>6o5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd4>?0;6>4?:1y'563=j2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c153?6=;3:1348:<>N6<81C=>74$06:><1<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl<6983>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=54;294~"6;<0256F>409K56?<,8>26494$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>o0;3:1(<:6:6`8?j?4290/=9759c98yg5193:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb241>5<4290;w)?<5;;;?M7392B:?45+15;9=2=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo:64;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb5;:>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi84o50;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl;9c83>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c6:g?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f1?c29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e<0o1<7=50;2x 4522k1C=9?4H01:?!7313k0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`7=c<72:0;6=u+1279f>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo:n0;297?6=8r.:?8468:J204=O9:30(<:6:858 =1=5$06:>5}#9:?1o6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?l14290/=9757c98k<5=83.:8446b:9~f1?129086=4?{%301???3A;?=6F>389'51?=1>1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c6:3?6=<3:1348`?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10e:=50;&20<<0j21d5>4?:%37=??e32wi84650;194?6|,89>6464H062?M7412.:84467:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj=;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a027=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd3?;0;6>4?:1y'563=j2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c647?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f11329086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm46794?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`733<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg20?3:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb55;>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi8;l50;194?6|,89>6o5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd3>j0;6>4?:1y'563=111C=9?4H01:?!73133<7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a03b=8391<7>t$016>g=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl;6d83>6<729q/=>;5999K517<@8927)?;9;;4?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi8;h50;194?6|,89>6o5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd3?90;6>4?:1y'563=111C=9?4H01:?!73133<7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a627=83>1<7>t$016>f=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76g83;29 42>2>h07b7<:18'51?=1k10qo<82;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb350>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a622=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd5?<0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn?98:187>5<7s-;897m4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=n?:0;6)?;9;5a?>i>;3:1(<:6:8`8?xd5?10;6>4?:1y'563=111C=9?4H01:?!73133<7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a62?=83>1<7>t$016>f=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76g83;29 42>2>h07b7<:18'51?=1k10qo<=8;297?6=8r.:?8468:J204=O9:30(<:6:858 =1=5$06:>44?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo<=a;297?6=8r.:?84m;I375>N6;01/=975a:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj;8i6=4<:183!74=3337E?;1:J27<=#9=315:5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg43j3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj;>h6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi>9j50;194?6|,89>6o5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd54?:1y'563=111C=9?4H01:?!73133<7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a6t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd5i80;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==7>52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn?o<:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e:h>1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th9m84?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo5$06:>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg4f03:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb3;`>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi>4j50;694?6|,89>6n5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>o0;3:1(<:6:6`8?j?4290/=9759c98yg4>m3:187>50z&270<>12B:8<5G12;8 42>20=0(5954b78m27=83.:8448b:9j37<72-;?579m;:k47?6=,8>26:l4;n;0>5<#9=315o54}c0:b?6=;3:1348a?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn?o?:180>5<7s-;89777;I375>N6;01/=975969'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=7>52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn?7<:180>5<7s-;89777;I375>N6;01/=975969'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn?7::180>5<7s-;89777;I375>N6;01/=975969'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=53;294~"6;<0i7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f7?029086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm28:94?5=83:p(<=::8:8L4263A;856*>488:3>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn99m:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm49494?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`7N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo:7c;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb5:g>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a0=c=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd30o0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==2<7>52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn97>:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm46a94?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`73a<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg20m3:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb55e>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi85>50;194?6|,89>6o5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd3080;6>4?:1y'563=j2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c6;6?6==3:1348g?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10e:=50;&20<<0j21b;94?:%37=?1e32e2?7>5$06:>4?:283>5}#9:?1555G1538L45>3-;?5778;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e<1>1<7=50;2x 4522020D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f1>229086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm49594?5=83:p(<=::c9K517<@8927)?;9;c8 =1=5$06:>5}#9:?1555G1538L45>3-;?5778;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e<131<7=50;2x 4522k1C=9?4H01:?!7313k0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`75<#9=31;o54i6094?"6<00!73133i76sm41`94?5=83:p(<=::c9K517<@8927)?;9;c8 =1=5$06:>5}#9:?1555G1538L45>3-;?5778;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e<8;1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th?=?4?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo:>3;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb537>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a043=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd39?0;6>4?:1y'563=111C=9?4H01:?!73133<7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a041=8391<7>t$016>g=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl;0b83>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=;h7>53;294~"6;<0246F>409K56?<,8>26494$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd38l0;6>4?:1y'563=111C=9?4H01:?!73133<7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a05`=83>1<7>t$016>f=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76g83;29 42>2>h07b7<:18'51?=1k10qo?mc;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb0`g>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi=ok50;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl>bg83>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c3`4?6=;3:1348a?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e9j81<7=50;2x 4522020D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f4e429086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm3d194?5=83:p(<=::c9K517<@8927)?;9;c8 =1=5$06:>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo=i5;297?6=8r.:?84m;I375>N6;01/=975a:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj:l=6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi?k950;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c1e=?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f6`f29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e;oh1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th8i94?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo=j5;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb2g5>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a7`1=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd4m10;6>4?:1y'563=j2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c1f=?6=;3:1348a?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn>kn:187>5<7s-;89776;I375>N6;01/=975969'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3`=86=4+15;93g=488:f>=zj:oi6=49:183!74=3o0D<:>;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9j36<72-;?579m;:k40?6=,8>26:l4;h56>5<#9=31;o54o8194?"6<002n65rb2g`>5<4290;w)?<5;;;?M7392B:?45+15;9=2=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo=jd;290?6=8r.:?84l;I375>N6;01/=975a:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=91<7*>4884f>=h1:0;6)?;9;;a?>{e;ll1<7=50;2x 4522020D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f6`729086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm3g394?5=83:p(<=::c9K517<@8927)?;9;c8 =1=5$06:>5}#9:?1555G1538L45>3-;?5778;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e;o91<7=50;2x 4522k1C=9?4H01:?!7313k0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`0b1<72:0;6=u+1279===O9=;0D<=6;%37=??03-2<69m:;h52>5<#9=31;o54i6094?"6<00!73133i76sm40c94?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`760<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg25l3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj=8n6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi8?h50;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl;3183>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=8=7>52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn9==:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm42194?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`75g<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg26k3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj=;o6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi86:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl;1g83>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=9<7>54;294~"6;<0h7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98m25=83.:8448b:9l=6<72-;?577m;:a077=8391<7>t$016>g=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl;2383>1<729q/=>;5c:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3`=86=4+15;93g=488:f>=zj=886=4<:183!74=3337E?;1:J27<=#9=315:5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg25<3:1?7>50z&270<>02B:8<5G12;8 42>20=0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`763<72=0;6=u+1279g>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07d9<:18'51?=?k10c4=50;&20<<>j21vn9<8:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e<;21<7=50;2x 4522020D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f14>290?6=4?{%301?e<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!7313=i76a63;29 42>20h07pl;2`83>6<729q/=>;5999K517<@8927)?;9;;4?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi8?l50;194?6|,89>6o5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd3:j0;6>4?:1y'563=111C=9?4H01:?!73133<7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a5ad=83?1<7>t$016>a=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76g83;29 42>2>h07d9;:18'51?=?k10c4=50;&20<<>j21vn5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e9mn1<7=50;2x 45228>;7E?;1:J27<=#9=31=>h4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd6ll0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e9l;1<7=50;2x 4522k1C=9?4H01:?!7313k0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`2a7<72=0;6=u+1279g>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07d9<:18'51?=?k10c4=50;&20<<>j21vn?9l:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm26f94?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`13`<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg40n3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj;2;6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi>5?50;594?6|,89>6k5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>o0;3:1(<:6:6`8?l13290/=9757c98m23=83.:8448b:9j33<72-;?579m;:m:7?6=,8>264l4;|`1bd<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg4aj3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj;lh6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi>kj50;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl=fd83>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c0eb?6=>3:1348f?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10e:=50;&20<<0j21b;94?:%37=?1e32c<97>5$06:>2d<3f386=4+15;9=g=53;294~"6;<0246F>409K56?<,8>26494$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd48;0;684?:1y'563=l2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;h50>5<#9=31;o54i6694?"6<00!73133i76sm31194?5=83:p(<=::c9K517<@8927)?;9;c8 =1=5$06:>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo=?5;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb225>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi?=950;194?6|,89>6o5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd4810;6>4?:1y'563=j2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c3:2?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f4??29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e9031<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th:5l4?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo?6b;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb0;`>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a5t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd61l0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==58;294~"6;<0:<6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?l14290/=9757c98m22=83.:8448b:9j30<72-;?579m;:k42?6=,8>26:l4;h54>5<#9=31;o54o8194?"6<002n65rb0;4>5<4290;w)?<5;;;?M7392B:?45+15;9=2=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo=;1;297?6=8r.:?84m;I375>N6;01/=975a:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj:>96=4<:183!74=3337E?;1:J27<=#9=315:5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg53;3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj:>?6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi?9;50;194?6|,89>6o5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd42h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;h50>5<#9=31;o54o8194?"6<002n65rb3f6>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a6a0=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd5l>0;6>4?:1y'563=111C=9?4H01:?!73133<7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a6a>=8391<7>t$016>g=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl>c483>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c3`g?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f4ec29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e9jo1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th:ok4?:283>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg7c83:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj8n:6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi=i<50;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl>d283>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=53;294~"6;<0i7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f4e029086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm1b:94?5=83:p(<=::8:8L4263A;856*>488:3>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e9jk1<7=50;2x 4522020D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f4ee290?6=4?{%301?e<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!7313=i76a63;29 42>20h07pl<7c83>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c14g?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f61c29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e;>o1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th8;k4?:483>5}#9:?1h6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?l14290/=9757c98m22=83.:8448b:9l=6<72-;?577m;:a7ag=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd4lk0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn>jk:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm3eg94?3=83:p(<=::e9K517<@8927)?;9;c8 =1=5$06:>2d<3`=?6=4+15;93g=488:f>=zj:nm6=4<:183!74=3337E?;1:J27<=#9=315:5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg22l3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj=?n6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi88h50;694?6|,89>6n5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>o0;3:1(<:6:6`8?j?4290/=9759c98yg2183:1?7>50z&270<>02B:8<5G12;8 42>20=0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`724<72:0;6=u+1279f>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo:92;297?6=8r.:?8468:J204=O9:30(<:6:858 =1=5$06:>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo=>2;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb230>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a742=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd49<0;684?:1y'563=l2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;h50>5<#9=31;o54i6694?"6<00!73133i76sm30494?5=83:p(<=::8:8L4263A;856*>488:3>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn?kn:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm2d`94?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`1af<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg4bl3:1?7>50z&270<>02B:8<5G12;8 42>20=0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`1a`<72=0;6=u+1279g>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07d9<:18'51?=?k10c4=50;&20<<>j21vn?ki:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e:o:1<7=50;2x 4522020D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f7ba29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e:l:1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th9i<4?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qoN6;01/=975a:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj;o86=4<:183!74=3337E?;1:J27<=#9=315:5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg4?i3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj;2i6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi>5m50;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl=8e83>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c0;a?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f7>a290>6=4?{%301?b<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!7313=i76g84;29 42>2>h07b7<:18'51?=1k10qo<60;297?6=8r.:?8468:J204=O9:30(<:6:858 =1=5$06:>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg7f?3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj8k36=4<:183!74=3337E?;1:J27<=#9=315:5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg5am3:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb2de>5<4290;w)?<5;;;?M7392B:?45+15;9=2=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo:?0;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb522>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a054=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd38:0;6>4?:1y'563=j2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c630?6=<3:1348`?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10e:=50;&20<<0j21d5>4?:%37=??e32wi8=;50;194?6|,89>6464H062?M7412.:84467:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj8kn6=4<:183!74=3337E?;1:J27<=#9=315:5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg7fn3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj8h;6=4<:183!74=3h0D<:>;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a5g7=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd6j;0;6>4?:1y'563=j2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c3a7?6=;3:1348a?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e9k?1<7;50;2x 45220k0D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98m25=83.:8448b:9j31<72-;?579m;:m:7?6=,8>264l4;|`7f3<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg2e?3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj=h36=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi8o750;694?6|,89>6474H062?M7412.:84467:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=91<7*>4884f>=h1:0;6)?;9;;a?>{e264l4;|`0g<<72:0;6=u+1279f>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo=lf;297?6=8r.:?8468:J204=O9:30(<:6:858 =1=5$06:>5}#9:?1h6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?l14290/=9757c98m22=83.:8448b:9l=6<72-;?577m;:a7a7=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd4l;0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn>j;:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm3e794?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`0`3<72:0;6=u+1279f>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo=la;291?6=8r.:?84k;I375>N6;01/=975a:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=91<7*>4884f>=n?=0;6)?;9;5a?>i>;3:1(<:6:8`8?xd4kk0;6>4?:1y'563=j2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c1`g?6=;3:1348:<>N6<81C=>74$06:><1<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=53;294~"6;<0i7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f64029096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e;;31<7=50;2x 4522020D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f64f29086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm33`94?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`06f<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg55l3:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb20f>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi??h50;194?6|,89>6464H062?M7412.:84467:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj:9;6=4<:183!74=3h0D<:>;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a77>=8391<7>t$016><><@8>:7E?<9:&20<<>?2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb27;>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a70?=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd4=h0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==n7>53;294~"6;<0i7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f63d29086=4?{%301???3A;?=6F>389'51?=1>1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c16`?6=;3:1348a?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn>;j:180>5<7s-;89777;I375>N6;01/=975969'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=53;294~"6;<0i7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f65>29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e;:k1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th8?o4?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo=N6;01/=975a:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj:9o6=4<:183!74=3337E?;1:J27<=#9=315:5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg54m3:187>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54i6194?"6<00!73133i76sm20794?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`153<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg46?3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj;;36=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi><750;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl=1`83>6<729q/=>;5999K517<@8927)?;9;;4?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi>6464H062?M7412.:84467:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj;;h6=49:183!74=3o0D<:>;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9j36<72-;?579m;:k40?6=,8>26:l4;h56>5<#9=31;o54o8194?"6<002n65rb33g>5<4290;w)?<5;;;?M7392B:?45+15;9=2=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo?87;290?6=8r.:?84l;I375>N6;01/=975a:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=91<7*>4884f>=h1:0;6)?;9;;a?>{e9?l1<7:50;2x 4522j1C=9?4H01:?!7313k0(5954b78m27=83.:8448b:9j37<72-;?579m;:k47?6=,8>26:l4;n;0>5<#9=315o54}c347?6=<3:1348`?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10e:=50;&20<<0j21d5>4?:%37=??e32wi9>4?:383>5}#9:?1;l5G1538L45>3-;?57:=;I:3?!>52>h0(5954b78m26=83.:8448b:9l=6<72-;?577m;:a7=b=8381<7>t$016>2g<@8>:7E?<9:&20<<3:2B3<6*72;5a?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j21vn>ll:181>5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg5>>3:1>7>50z&270<0i2B:8<5G12;8 42>2=80D5>4$9093g=#0>0?o85f7183>!7313=i76a63;29 42>20h07pl7<729q/=>;57`9K517<@8927)?;9;61?M>73-296:l4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?>{e;h:1<7<50;2x 4522>k0D<:>;I30=>"6<00?>6F70:&;6?1e3-2<69m:;h53>5<#9=31;o54o8194?"6<002n65rb2c;>5<5290;w)?<5;5b?M7392B:?45+15;907=O091/4?48b:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=52;294~"6;<0409K56?<,8>269<4H928 =4=?k1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4;|`0ed<72;0;6=u+12793d=O9=;0D<=6;%37=?253A2;7)6=:6`8 =1=50;&20<<0j21d5>4?:%37=??e32wi8k4?:383>5}#9:?1;l5G1538L45>3-;?57:=;I:3?!>52>h0(5954b78m26=83.:8448b:9l=6<72-;?577m;:a7<>=8381<7>t$016>2g<@8>:7E?<9:&20<<3:2B3<6*72;5a?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j21vn8?50;094?6|,89>6:o4H062?M7412.:844;2:J;4>"?:3=i7)68:5a6?l17290/=9757c98k<5=83.:8446b:9~f3>=8381<7>t$016>2g<@8>:7E?<9:&20<<3:2B3<6*72;5a?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j21vn>m9:181>5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg5?29096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo=8:181>5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg5129096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo=::181>5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg5429096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo==:181>5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg5629096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo=?:181>5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg4a29096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg4c29096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg4e29096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg2729096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo=i:181>5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg5b29096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo=k:181>5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg5d29096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo=m:181>5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg5f29096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo=6:181>5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg5329096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo?=8;296?6=8r.:?848a:J204=O9:30(<:6:508L=6<,181;o5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8?xd6:>0;6?4?:1y'563=?h1C=9?4H01:?!7313>97E6?;%:1>2d<,1=18n;4i6294?"6<00!73133i76sm38g94?4=83:p(<=::868L4263A;856*>48876>N?82.3>77m;%:4>1e23`=;6=4+15;93g=488:f>=zj=n1<7<50;2x 45220>0D<:>;I30=>"6<00?>6F70:&;6??e3-2<69m:;h53>5<#9=31;o54o8194?"6<002n65rb2a1>5<5290;w)?<5;;7?M7392B:?45+15;907=O091/4?46b:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=52;294~"6;<0286F>409K56?<,8>269<4H928 =4=1k1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4;|`0f1<72;0;6=u+1279=1=O9=;0D<=6;%37=?253A2;7)6=:8`8 =1=50;&20<<0j21d5>4?:%37=??e32wi?o>50;094?6|,89>64:4H062?M7412.:844;2:J;4>"?:33i7)68:5a6?l17290/=9757c98k<5=83.:8446b:9~f6?d29096=4?{%301??33A;?=6F>389'51?=<;1C4=5+838:f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo=6a;296?6=8r.:?8464:J204=O9:30(<:6:508L=6<,1815o5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8?xd40o0;6>4>:2y'563=1<1C=9?4H01:?M>73-2964l4$9590f3:1<75f6383>>i>;3:17o?;5;297?6=8r.:844>2e9j35<72-;?579m;:k26<<72-;?57;8;:m:7?6=,8>264l4H00f?>{t?90;6?uQ719>513=?91v;<50;0xZ34<58>>6<<6;|q:7?6=:rT2?63>448:7>{zj:396=4=:183!74=33?7E?;1:J27<=#9=318?5G819'<7<>j2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o54}c6b>5<5290;w)?<5;;7?M7392B:?45+15;907=O091/4?46b:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=7>52;294~"6;<0286F>409K56?<,8>269<4H928 =4=1k1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4;|`0f`<72:0:6>u+1279=0=O9=;0D<=6;I:3?!>520h0(5954b78m26=831b:?4?::m:7?6=3k;?97>53;294~"6<00:>i5f7183>!7313=i76g>2883>!7313?<76a63;29 42>20h0D<;27:88463:~f6gb29096=4?{%301??33A;?=6F>389'51?=<;1C4=5+838:f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo=78;296?6=8r.:?8464:J204=O9:30(<:6:508L=6<,1815o5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8?xd40k0;6?4?:1y'563=1=1C=9?4H01:?!7313>97E6?;%:1>!73133i76sm3c:94?4=83:p(<=::868L4263A;856*>48876>N?82.3>77m;%:4>1e23`=;6=4+15;93g=488:f>=zj:k96=4=:183!74=33?7E?;1:J27<=#9=318?5G819'<7<>j2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o54}c1bg?6=;3;1?v*>348:1>N6<81C=>74H928 =4=1k1/4:4;c49j35<722c=>7>5;n;0>5<>6=4<:183!7313;9h6g80;29 42>2>h07d?=9;29 42>2<=07b7<:18'51?=1k1C=?k4;|q44?6=:rT<<63>44844>{t>;0;6?uQ639>513=9;30q~7<:181[?434;?977<;|a7f6=8391=7=t$016><3<@8>:7E?<9:J;4>"?:33i7)68:5a6?l172900e;<50;9l=6<722h:884?:283>5}#9=31=?j4i6294?"6<00;65`9283>!73133i7E?=e:9~w26=838pR:>4=066>267}Y1:16=9;5929~yg5f<3:1>7>50z&270<><2B:8<5G12;8 42>2=80D5>4$909=g=#0>0?o85f7183>!7313=i76a63;29 42>20h07pl7<729q/=>;5959K517<@8927)?;9;61?M>73-2964l4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?>{e;0>1<7<50;2x 45220>0D<:>;I30=>"6<00?>6F70:&;6??e3-2<69m:;h53>5<#9=31;o54o8194?"6<002n65rb024>5<5290;w)?<5;;7?M7392B:?45+15;907=O091/4?46b:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=52;294~"6;<0286F>409K56?<,8>269<4H928 =4=1k1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4;|`255<72;0;6=u+1279=1=O9=;0D<=6;%37=?253A2;7)6=:8`8 =1=50;&20<<0j21d5>4?:%37=??e32wi==h50;094?6|,89>64:4H062?M7412.:844;2:J;4>"?:33i7)68:5a6?l17290/=9757c98k<5=83.:8446b:9~f46b29096=4?{%301??33A;?=6F>389'51?=<;1C4=5+838:f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo??d;296?6=8r.:?8464:J204=O9:30(<:6:508L=6<,1815o5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8?xd68j0;6?4?:1y'563=1=1C=9?4H01:?!7313>97E6?;%:1>!73133i76sm11`94?4=83:p(<=::868L4263A;856*>48876>N?82.3>77m;%:4>1e23`=;6=4+15;93g=488:f>=zj8:j6=4=:183!74=33?7E?;1:J27<=#9=318?5G819'<7<>j2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o54}c33=?6=:3:1348:0>N6<81C=>74$06:>14<@1:0(5<59c9'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>5}#9:?1595G1538L45>3-;?57:=;I:3?!>520h0(5954b78m26=83.:8448b:9l=6<72-;?577m;:a553=8381<7>t$016><2<@8>:7E?<9:&20<<3:2B3<6*72;;a?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j21vn<<50;394?6|,8>26h74H01:?M1d3-296:l4$9;9`==nm00;6)?;9;g:?>{e9:0;6<4?:1y'51?=m01C=>74H6a8 =4=?k1/444j0:kf=?6=,8>26h74;|`20?6=93:1488f=>N6;01C;n5+8384f>"?133n7dk6:18'51?=m010qo?::182>5<7s-;?57k6;I30=>N0k2.3>79m;%::><`488f=>=zj8<1<7?50;2x 42>2l30D<=6;I5`?!>52>h0(575a19ja<<72-;?57k6;:a52<7280;6=u+15;9a<=O9:30D:m4$9093g=#000j=6gj9;29 42>2l307pl>8;295?6=8r.:844j9:J27<=O?j1/4?48b:&;=?g53`o26=4+15;9a<=:183!7313o27E?<9:J4g>"?:3=i7)66:`18m`?=83.:844j9:9~f4g=83;1<7>t$06:>`?<@8927E9l;%:1>2d<,131m95fe883>!7313o276sm1c83>4<729q/=975e89K56?<@>i0(5<57c9'<<5$06:>`?<3th:o7>51;294~"6<00n56F>389K3f=#0;0290/=975e898yg7c290:6=4?{%37=?c>3A;856F8c:&;6?1e3-226l84id;94?"6<00n565rb0g94?7=83:p(<:6:d;8L45>3A=h7)6=:6`8 =?=i>1bi44?:%37=?c>32wi=k4?:083>5}#9=31i45G12;8L2e<,181;o5+888b=>ob13:1(<:6:d;8?xd593:1=7>50z&20<5<#9=31i454}c01>5<6290;w)?;9;g:?M7412B>2l<0eh750;&20<26h74H01:?M1d3-296:l4$9;9a2=nm00;6)?;9;g:?>{e:=0;6<4?:1y'51?=m01C=>74H6a8 =4=?k1/444j8:kf=?6=,8>26h74;|`11?6=93:1488f=>N6;01C;n5+8384f>"?13k37dk6:18'51?=m010qo<9:182>5<7s-;?57k6;I30=>N0k2.3>79m;%::>g7488f=>=zj;=1<7?50;2x 42>2l30D<=6;I5`?!>52>h0(575b89ja<<72-;?57k6;:a6=<7280;6=u+15;9a<=O9:30D:m4$9093g=#000h;6gj9;29 42>2l307pl=9;295?6=8r.:844j9:J27<=O?j1/4?48b:&;=?ea3`o26=4+15;9a<=26=4>:183!7313o27E?<9:J4g>"?:33i7)66:b48k`?=83.:844j9:9~f1d=83;1<7>t$06:>`?<@8927E9l;%:1>!7313o276sm4d83>4<729q/=975e89K56?<@>i0(5<57c9'<<5$06:>`?<3th><7>51;294~"6<00n56F>389K3f=#0;0290/=975e898yg35290:6=4?{%37=?c>3A;856F8c:&;6?1e3-226ll4id;94?"6<00n565rb7594?7=83:p(<:6:d;8L45>3A=h7)6=:6`8 =?=im1bi44?:%37=?c>32wij:4?:083>5}#9=31i45G12;8L2e<,1815o5+888`5>ib13:1(<:6:d;8?xda03:1=7>50z&20<j2.357m<;ng:>5<#9=31i454}cdb>5<6290;w)?;9;g:?M7412B>2jk0ch750;&20<26h74H01:?M1d3-2964l4$9;9fc=hm00;6)?;9;g:?>{enj0;6<4?:1y'51?=m01C=>74H6a8 =4=1k1/444md:mf=?6=,8>26h74;|`e`?6=93:1488f=>N6;01C;n5+838:f>"?13hi7bk6:18'51?=m010qohj:182>5<7s-;?57k6;I30=>N0k2.3>77m;%::>gg488f=>=zjol1<7?50;2x 42>2l30D<=6;I5`?!>520h0(575bb9la<<72-;?57k6;:a556=83;1<7>t$06:>`?<@8927E9l;%:1>!7313o276sm11394?7=83:p(<:6:d;8L45>3A=h7)6=:8`8 =?=k91di44?:%37=?c>32wi==<50;394?6|,8>26h74H01:?M1d3-2964l4$9;9g7=hm00;6)?;9;g:?>{e9991<7?50;2x 42>2l30D<=6;I5`?!>520h0(575c59la<<72-;?57k6;:a574=83;1<7>t$06:>`?<@8927E9l;%:1>2d<,131o45fe883>!7313o276sm13194?7=83:p(<:6:d;8L45>3A=h7)6=:6`8 =?=k11bi44?:%37=?c>32wi?5:50;394?6|,8>26h74H01:?M1d3-2964l4$9;9`f=hm00;6)?;9;g:?>{e;131<7?50;2x 42>2l30D<=6;I5`?!>520h0(575dc9la<<72-;?57k6;:a7=e=83;1<7>t$06:>`?<@8927E9l;%:1>2d<,131n>5fe883>!7313o276sm39g94?7=83:p(<:6:d;8L45>3A=h7)6=:8`8 =?=lh1di44?:%37=?c>3A;9i65rb2;2>5<6290;w)?;9;g:?M7412B>2mo0ch750;&20<7<:182>5<7s-;?57k6;I30=>N0k2.3>77m;%::>a6488f=>=zj:3>6=4>:183!7313o27E?<9:J4g>"?:3=i7)66:e68m`?=83.:844j9:9~f6?0290:6=4?{%37=?c>3A;856F8c:&;6?1e3-226i<4id;94?"6<00n565rb2;:>5<6290;w)?;9;g:?M7412B>2m;0ch750;&20<7m:182>5<7s-;?57k6;I30=>N0k2.3>77m;%::>g1488f=>=zj:3o6=4>:183!7313o27E?<9:J4g>"?:33i7)66:`d8k`?=83.:844j9:9~f6?a290:6=4?{%37=?c>3A;856F8c:&;6?1e3-226i84id;94?"6<00n565rb2c2>5<6290;w)?;9;g:?M7412B>2mn0ch750;&20<o<:182>5<7s-;?57k6;I30=>N0k2.3>77m;%::>`7488f=>=zj:k>6=4>:183!7313o27E?<9:J4g>"?:33i7)66:ed8k`?=83.:844j9:9~f6g0290:6=4?{%37=?c>3A;856F8c:&;6?1e3-226h=4id;94?"6<00n565rb2c:>5<6290;w)?;9;g:?M7412B>2k80eh750;&20<om:182>5<7s-;?57k6;I30=>N0k2.3>77m;%::>a1488f=>N6:l10qo=nd;295?6=8r.:844j9:J27<=O?j1/4?46b:&;=?d?3fo26=4+15;9a<=51;294~"6<00n56F>389K3f=#0;02n6*79;a`?jc>290/=975e898yg5e93:1=7>50z&20<j2.357mk;ng:>5<#9=31i454}c1a7?6=93:1488f=>N6;01C;n5+838:f>"?13ii7bk6:18'51?=m010qo=m5;295?6=8r.:844j9:J27<=O?j1/4?48b:&;=?c53`o26=4+15;9a<=51;294~"6<00n56F>389K3f=#0;02n6*79;`5?jc>290/=975e898yg5e13:1=7>50z&20<j2.357l:;ng:>5<#9=31i454}c1af?6=93:1488f=>N6;01C;n5+8384f>"?13h?7dk6:18'51?=m010qo=md;295?6=8r.:844j9:J27<=O?j1/4?46b:&;=?b>3fo26=4+15;9a<=O9;o07pl4<729q/=975e89K56?<@>i0(5<59c9'<<5$06:>`?<@88n76sm3b394?7=83:p(<:6:d;8L45>3A=h7)6=:8`8 =?=m=1di44?:%37=?c>32wi?n=50;394?6|,8>26h74H01:?M1d3-296:l4$9;9`0=nm00;6)?;9;g:?>{e;j?1<7?50;2x 42>2l30D<=6;I5`?!>52>h0(575b19ja<<72-;?57k6;:a573=83;1<7>t$06:>`?<@8927E9l;%303?75n2.3>79m;%::>fc488f=>=zj88=6=4=:183!7313>97)?<5;5b?!>52>h0(<=8:00e?!7693;9j6F>389K<5=#0>0?o85f7183>!7313=i76a63;29 42>20h07pl>3e83>5<729q/=>;513`8L4513A;9m6B>2c80!7493oh7)?<0;ga?!74:3oo7psm12194?6=83:p(<=::010?!>02=i>7E?=a:N20g<4s-;8=7ki;%304?cb3-;8>7h?;|p57<72:qU=?522`844>;6:3o27p}>3;297~X6;279n79?;<30>`?:01<:5e89~w43=839pR<;4=3f935=:9<0n56s|1783>6}Y9?16>h480:?22?c>3ty:;7>53z\23>;5n3=;70?8:d;8yv7?2908wS?7;<13>26<5821i45rs0;94?5|V8301>?5719>5<a;g:?xu6j3:1?vP>b:?07?1734;i6h74}r3`>5<4sW;h70=;:62894e=m01v{t9l0;6>uQ1d9>73<0827:i7k6;|q2b?6=;rT:j63<7;53?87a2l30q~<>:180[4634936:>4=339a<=z{;81<7=t^30896?=?916>?4j9:p66<72:qU>>523`844>;5;3o27p}=4;297~X5<278n79?;<07>`?6=4<{_06?85d2>:01?;5e89~w70=839pR?84=2f935=::?0n56s|2683>6}Y:>16?h480:?13?c>3ty947>53z\1<>;4n3=;70<7:d;8yv4>2908wS<6;<63>26<5;31i45rs3c94?3|58kj6:=4=514>27<5:n;6:?4=2f2>26<5;k15>5rs3`94?3|58kj6::4=51`>27<5:n;6:<4=2f1>26<5;h15>5rs3a94?3|58kj6:;4=562>27<5:n;6:=4=2f0>26<5;i15>5rs3f94?3|58kj6:84=565>27<58kn6:?4=2a:>24<5;n15>5rs3g94?0|58kj6:94=56a>27<58kn6:<4=2f3>22<5:n?6:>4=3g9=6=z{;l1<7:t=0cb>2><5=?;6:?4=2a:>27<5;l15>5rs2294?2|58ki6:?4=576>27<58ni6:<4=229=6=z{:;1<7:t=0ca>24<5=?j6:?4=0fa>27<5:;15>5rs2094?2|58ki6:=4=0fa>25<5=<:6:?4=209=6=z{:91<7;t=0ca>22<5=<=6:?4=0fa>22<5:915>52115935=z{:>1<724<5:>15>5rs2794?>|58n>6:?4=0ca>23<58h<6:>4=547>24<58nh6:?4=0f1>26<58h;6:<4=279=6=z{:<1<77t=0f5>26<58ki6:84=0`;>26<58ho6:<4=0f`>24<58n:6:>4=0`2>26<5:<15>52114935=z{:=1<7lt=0f6>24<58ki6:94=0`b>27<58hh6:>4=0`g>27<58no6:<4=0af>26<58im6:<4=25g>26<58h;6:?4=259=6=z{:21<7lt=0f4>26<58ki6:64=0`:>26<58hm6:>4=0ff>26<58ih6:>4=0f3>26<58n86:?4=25f>26<58h>6:?4=2:9=6=z{:31<724<5:315>5rs2c94?4|5=9m6:<4=2c9=6=z{:h1<724<5:h15>5rs2a94?4|5=>26:<4=2a9=6=z{:n1<724<5:n15>5rs2g94?4|5=?86:<4=2g9=6=z{:l1<7:t=0cb>27<5=?36:<4=2ae>27<5:l15>5rs5294?2|58kj6:<4=57e>27<5:im6:<4=529=6=z{=;1<7:7;7;<3eb?3?348;87;7;<03=?3?34;m>7;7;<3e3?3?34;j<7;7;<3:0?3?34;j=7;7;<3f1?16349?m79>;<13b?16348;>79?;<033?17348;o79?;<3e0?1734;m579?;<027?163489=79?;<3e4??434;j?79?;<3f3?17349=n79=;<6:3?1534><>79=;<6;g?1734>3>79>;<626?17349m;79?;<1ff?1534>8?79?;<612?1534;2i79?;<175?16349oh79?;<120?1734;j;79?;<636?173499m79=;<16e?173498479=;<02=?173ty?57>53z\7=>;3i33870:6:d;8yv2f2908w0:l:6289440209019o5719~w1d=839pR9l4=5f9=6=:7}:n6h74}r6e>5<60r79?94;6:?1b3<3>279844;6:?10c<3>2799?4;6:?110<3>279954;6:?1`a<3>279544;6:?163<3>2794;4;6:?1<6<3>279on4;6:?174<3>279h=4;6:?104<3>279;l4;6:?17d<3>279?i4;6:?1ed<3>279n=4;6:?1f`<3>279?=480:?7b??43ty><7>53z\64>;293=;70;?:d;8yv3629085v3>16872>;6910?:63>18872>;?;3>=7069:54890e=64`=5d7=5479>5d6=652==75479>5c4=647=75b=75g=703=7a>=50b=50`=5479>537=535=5`0=773=05?=7`7=74g=74d=?5479>704=76`=735=02?=5`d=?9169<463:p17<72:qU9?5252844>;2:3o27p}:3;291~;50=0<<63=c3844>;5jh0<<63=8d844>;2;3387p}:c;297~;2k3l=70h::62897702>:0q~;k:18183d2==01;?5929~w0c=838p18k5929>24<092wx9k4?:3y>1c<>;27=<79=;|q54?6=:r7=<77<;<42>24:01;95e89~w3>=838p1?6=:4:893>=1:1v:750;1x97742>801?<=:63894gd2090q~6>:18;85?93=:70=89;51?851j3=:70=;1;51?87e=33870==a;52?852l3=:70=<8;52?xu?;3:1?v373;d5?8>12<2018k5759~w=2=838p15=5599><0<>;2wx4;4?:3y><327=<79>;|q;a?6=9mq6=9<56d9>1f<2<27:=?4:4:?15c<2<27:594:4:?2e4<2<27:4=4:4:?171<2<279j;4:4:?2e5<2<27:jk4:4:?141<2<279<44:4:?2b7<2<27:j:4:4:?154<2<2788:4:4:?04a<2<2789;4:4:?04d<2<278jn4:4:?0`=<2<27:i;4:4:?00g<2<278=o4:4:?074<2<2789?4:4:?067<2<278?k4:4:p1f<2027:=?4:8:?2a6<202wx5=4?:3y>7<6=1:16>>75739~wc2=838p1k;5929>764=4=g59a<=z{o21<7=t^g:8946120901k65e89~wcg=839pRko4=024><5<5ok1i45rsg`94?5|Voh01<>7:8189cd=m01vkm50;1xZce<58:264=4=ga9a<=z{on1<7=t^gf8946f20901kj5e89~wcc=839pRkk4=02a><5<5oo1i45rsgd94?5|Vol01<>l:8189c`=m01v<>?:180[77827:3ty:`?53z\246=:98:15>521119a<=z{8:?6=4>2z?7e3<0927?58482:?731<0:27?4k480:?73f<0827?463:?0b=<08278i;480:?76`<0827???480:?75c<09278hh481:?71`<0827?9k483:?0bc<0:27?n:480:p553=838p19=7:81894622>:0q~??8;296~;3;m02?63>09844>{t9931<7<5<58:26:>4}r33e?6=:r7?8:463:?24d<082wx==l50;0x912d20901<>m:628yv77k3:1>v3;508:7>;68j0<<6s|11f94?4|5=?=64=4=02g>2652z?71g<>;27::0q~?>0;296~;3>>02?63>11844>{t9881<7c0<55<5s4;:>7:8;<322??43ty:=94?:3y>542=1:16=<85709~w4722909w0?>5;;0?876>3=87p}>1683>1}:98=1j;5210:91==:=l0<>63>14844>{t9821<7:t=03;>c0<58;26864=4g934=:98>1;=5rs03:>5<4s4;:57h9;<7f>25<58;=6:<4}r32e?6=:r7?no480:?2gg<>;2wx=:01???:638961>2090q~?>d;297~;3i?02?63=00844>;59?0<<6s|10g94?0|583864=4=5;:>27<5=k;6:?4=551>27<5=;>6:>4=2df>2452z?217<0827:h4463:p574=839pR<<=;<313?1734;9>7k6;|q266<72:qU=?=4=00;>26<58886h74}r31;2wx=8?50;1x943420901:0q~?:2;296~;6=;02?63>52846>{t9<>1<79t=07`>c0<5826<5826<583=6:>4=04e>2597>56z?21a27::94:b:?22a<0927:4;480:?2==<0827::k482:p500=83?p1<;j:g48940222>:01<8i:638yv72?3:1:v3>5g8e2>;6>?0>n63>70845>;6010<<63>9`844>;6?:0<>6s|14:94?3|58<;6k84=044>0d<58226:>4=0;`>26<58=86:?4}r36=?6=ir7::<4i6:?22=<2j27:;8481:?2e2>:01<7?:60891>e2>801>h::60891572>;01<7k:62894102>;0q~?:b;293~;6>:0m:63>6`86f>;60j0<<63>91845>;30<0<=63;3:>0<=6s|17a94?4|58<>68o4=04g><554z?223<2i27:;<482:?22c<>;27:;>483:p526=838p1<88:4c894162090q~?82;290~;6>10>m63>74846>;6?>0728:7>{t9>>1<70g<58=>64=4}r342?6=:r7::l4:a:?232<>;2wx=:650;0x943d2<201<8m:818yv7013:1>v3>5e86<>;6>=0:=k5rs05b>5<5s4;>i7;7;<351?76n2wx=:l50;0x943a2<201<89:03e?xu6?j0;6?u217291==:9?=1={t9>l1<70><58q6=5>5f79>5<7=?9168:j5719>046=?816?h95719>07b=?916862909w0?70;7;?87?m3387p}>8383>7}:91:18:521919=6=z{82?6=4:{<3;7?1534;j879>;<3;a?1734;3h77<;<3b2?163ty:484?:3y>5=3=1:16=5j5709~w4>12909w0?76;;0?87?l3=97p}>8683>7}:91=15>5219f936=z{8236=4={<3;5=b=?<1v<6n:18187?i33870?7d;55?xu60k0;6?u219`9=6=:91n1;:5rs0:`>5<5s4;3o77<;<3;`?1?3ty:4k4?:3y>5<6=1:16=4=5709~w4?72909w0?61;;0?87>:3=97p}>9083>7}:90815>52181937=z{83?6=4={<3:0?`1349o979?;|q2=0<72;q6=4:5469>5<1=1:1v<79:18187>>33870?6f;52?xu6110;6?u218:9=6=:90l1;?5rs0;:>5<5s4;2577<;<3:b?143ty:5l4?:3y>5n3==7p}>9b83>7}:90i15>5218d930=z{83o6=4={<3:`??434;2j798;|q2=`<72;q6=4k5929>5<1=?;1v<7i:18187>n33870?67;52?xu6i90;6?u21`29b3=:9<91;<5rs0c2>5<5s4;j=7h9;<3b2?153ty:m?4?:3y>5d7=<>16=l:5929~w4g42909w0?n3;;0?87f<3=97p}>a483>7}:9h:18:521`:9=6=z{8k=6=4={<3b2??434;j479>;|q2e2<72;q6=l95929>5d>=?;1v5<5s4;ji77<;<3a6?153ty:mh4?:3y>5d`=1:16=o=5739~w4ga2909w0?m0;;0?87e<3=:7p}>b183>7}:9k;15>521c6937=z{8h:6=4={<3a6??434;i979=;|q2f7<72;q6=o=5929>5g3=?:1vu21d7937=:9kk15>521c1934=z{8h<6=4={<3a3??434;im79=;|q2f=<72;q6=o65929>5gg=?:1v5<5s4;ih77<;<3`5?163ty:ni4?:3y>5gc=1:16=n?5739~w4db2909w0?mf;;0?87d;3=97p}>bg83>7}:9j:15>521b0934=z{8i;6=4={<3`5??434;h>79=;|q2g4<72;q6=n<5929>5f5=?81v5<5s4;h;77<;<3`e?153ty:o:4?:3y>5f>=1:16=n75709~w4e?2909w0?l9;;0?87di3=:7p}>c883>7}:9jk15>521b`937=z{8ih6=4={<3``??434;h:79=;|q2ga<72;q6=nk5929>5f?=?;1v5<5s4;o=77<;<3`f?163ty:h<4?:3y>5a4=1:16=nl5729~w4b52909w0?k3;;0?87d03=:7p}>d283>7}:9j<15>521b:937=z{8n?6=4={<3g1??434;o579>;|q2`0<72;q6=i85929>5a>=?81v0;6?u21e:9=6=:9m31;?5rs0fb>5<4s4;n>77<;<3a6?16349o:79>;|q2`g<72;q6=il5929>5`4=?;1v5<5s4;oi77<;<3f4?153ty:hk4?:3y>5a`=1:16=h<5729~w4c72909w0?j0;;0?87b93=97p}>e083>7}:9l;15>521d0934=z{8o86=4={<3f7?`134;n:7;7;|q2a1<72;q6=h=5469>5`3=1:1v3l=70?me;53?87d83=:70?kd;52?87dl3=;7p}>e983>7}:9l<18:521d59=6=z{8o26=4={<3f=?`134;m<79>;|q2ad<72:q6=h75479>0d?=ed83>7}:9ll15>521g2937=z{8l:6=4<{<02a?1634;mi77<;<02g?153ty:j?4?:3y>5c4=n?16=k85719~w4`42909w0?i2;64?87a=3387p}>f583>7}:9o>15>521g7937=z{8l=6=4={<3e2??434;mi79>;|q2b2<72;q6=k95f79>5ce=?91v<70?ia;;0?xu6n00;6?u21g;9=6=:9ok1;?5rs0da>5<5s4;mn77<;<3ea?153ty:jn4?:3y>5ce=1:16=kk5729~w4`c2909w0?id;;0?87am3=?7p}>fg83>7}:9ol1j;5220`934=z{;:;6=4={<3eb?20348;?77<;|q144<72;q6>=?5929>655=?81v?>=:181847:338705<5s48;87:8;<03650=1:16>=65709~w7602909w07}::931j;5220c934=z{;:j6=4={<03=?20348;h77<;|q14g<72;q6>=l5929>65b=?81v?>l:181847k338705<5s48;j77<;<024?153ty9=<4?:3y>647=n?16>?:5719~w7752909w0<>1;7;?846;3387p}=1583>6}::8;18:5220d902=::8n15>5rs336>5<5s48:977<;<02e?153ty9=;4?:3y>640=1:16>7;;0?846k3=?7p}=1983>7}::8215>5220a930=z{;;26=4={<02=??4348:h79=;|q15d<72;q6>64e=?81v??m:181846j33870<>c;50?xu59j0;6?u220a9=6=::8n1;<5rs33e>5<5s48:j7h9;<1:a?173ty9>=4?:3y>64`==116>?<5929~w7462909w0<=1;;0?845:3=97p}=2283>7}::;>15>52237937=z{;8=6=4={<012?`13493979?;|q162<72;q6>?85599>67d=1:1v?<7:181845033870<=a;52?xu5:00;6?u223;9=6=::;k1;?5rs30b>5<5s489m77<;<01f?153ty9>n4?:2y>67b=1:16>o<5719>6=g=?91v?5rs312>5<5s488=7h9;<01`?163ty9??4?:2y>667==116>?k5599>665=1:1v?=;:18:844<3l=70=60;41?84?03=9706}:::>1955222:934=:::315>5rs315>5<5s48887:8;<00661=1:16>>65739~w75f2908w0<5rs31g>5<5s488h7h9;<00=?163ty9?h4?:2y>66`=1:16>o85719>6=e=?91v?:?:18184383l=70<5<4s48?=7;7;<074?3?348??77<;|q101<720q6>975f79>60d==h16>;>5739>627=?816>:=5719>61e=?916>:m5719>6cd=?916?=<5739~w72f2909w0<;9;7;?843m3387p}=4c83>7}::=h15>5225f934=z{;>h6=4={<07g??4348?h79=;|q10a<72;q6>9j5929>61c=?;1v?:::18;843n3l=70<:b;7a?84183=:70<81;51?840:3=;70<8e;53?84ai3=;70=?2;50?xu5=90;6?u225d91==::<;15>5rs365>5<0s48>>7h9;<06g?3e348=>79>;<042?173483<79?;<0eg?17349;>79;;|q116<72;q6>8<5599>602=1:1v?:8:184842=3l=70<:d;7a?841<3=:70<85;53?840n3=;707}::36=48{<06i7;m;<045?14348<879?;<0;5?15348mi79?;<131?173ty9944?:3y>60>==116>8o5929~w73a2908w0<:c;7b?841:3=970<90;;0?xu5>80;6>u224f91d=::?>1;?522709=6=z{;<86=4={<06a?3f348=877<;|q120<728?5709>602=?816>895709>60g=?816>;65929~w7012909w0<96;;0?84103=:7p}=6683>7}::?=15>5227:937=z{;<26=4={<06f?76n2799<482:p63g=838p1?;l:03e?842<3=97p}=6c83>7}::2452z?11`<69o16>8o5739~w70c2908w0<9f;;0?841?3=;70<;e;52?xu5>l0;6?u227g9=6=::?l1;?5rs353>5<4s48=:79?;<04=??4348?n79?;|q134<72;q6>:?5929>62>=?81v?9=:181840:33870<87;51?xu5?:0;6?u22619=6=::>=1;<5rs357>5<5s48<877<;<043?143ty9;84?:3y>623=1:16>:75709~w7112909w0<86;;0?84013=87p}=7683>7}::>=15>5226:937=z{;=36=4={<04:o5f79>6g1=?916>5j5719~w71e2909w0<8a;7;?84?93387p}=7b83>7}::>i15>52293934=z{;=o6=4={<04`??43483=79<;|q13`<72;q6>:k5929>6=7=?<1v?9i:181840n33870<71;57?xu5090;6?u22929=6=::1;1;;5rs3:1>5<4s483?7;7;<0;6?`1348=i79?;|q1<6<72;q6>5=5f79>63`=?81v?6::18184?:3>=70<74;;0?xu50?0;6>u22949b3=:;8k19552310934=z{;2<6=4<{<0;;<0:7?163ty9444?:5y>6d2=?916>l85709>6<3=?816>4>5929~w7>f2909w0<7a;;0?84?n3=:7p}=8c83>7}::1h15>5229d937=z{;2h6=4={<0;g??43483j79<;|q15j5929>6=`=?=1v?6j:18184?m33870<60;51?xu50o0;6?u229d9=6=::0:1;<5rs3;2>5<5s483:7;7;<0:6<4=1:16>4;5739~w7?42909w0<63;;0?84>>3=:7p}=9583>7}::0>15>52284937=z{;3>6=4={<0:1??43482;79=;|q1=3<72;q6>485929>6<>=?;1v?78:18184>?33870<68;52?xu5100;69u228;9b3=:;=31;=5231d937=::0l1;<5rs3;b>5<5s48257;7;<0b4??43ty95o4?:3y>6l85739~w7?d2909w0<6c;;0?84>m3=97p}=9e83>7}::0n15>522`2937=z{;3n6=4={<0:a??43482j79=;|q1=c<72;q6>4h5929>6d6=?81v?o>:18184f9338705rs3c0>5<5s48j?77<;<0:`?153ty9m94?:3y>6d2=1:16>l65739~w7g22909w0l3=87p}=a783>7}::h<15>5228a937=z{;k<6=4={<0b3??43482i79>;|q1e=<72;q6>l65929>6`}::hk1j;522ea937=::j:1;<522b6935=::kh1;=522`a937=::;31;=522`3935=::h91;<5228a934=::0>1;=522e5934=::lh1;=522df934=z{;ki6=4={<0be?3?348jj77<;|q1ef<72;q6>lm5929>6d`=?81v?ok:18184fl338705;<0ba?163489n79>;<0:f?17348j?79=;<0b3?163482;79>;<0g2?17348nj79>;<0gb?173ty9n<4?:3y>6g6==116>o;5929~w7d52909w07}::k915>522c6937=z{;h?6=4={<0a0??4348i979>;|q1f3<72;q6>o85929>6ge=?;1v?l8:18184e?338705<5s48i577<;<0a7?163ty9nl4?:3y>6gg=1:16>o=5729~w7de2909w07}::ki15>522c1937=z{;ho6=4={<0a`??4348i979=;|q1f`<72oq6>ok5f79>6f0=?816>o75719>6gb=?816>lm5709>6db=?916>?65739>6d4=?916>l65709>6<4=?916>4=5739>6a3=?916>ho5719>6`c=?;16>h>5719~w7da2909w07}::j:15>522b:934=z{;i:6=4={<0`5??4348h979>;|q1g7<72;q6>n<5929>6f3=?;1v?m<:18184d;338705rs3a6>5<5s48h977<;<0`2?153ty9o;4?:3y>6f0=1:16>n95739~w7e02909w06}::jk15>522c:935=::1h1;=5rs3aa>5<5s48hn7h9;<0`e?153ty9on4?:3y>6fe=n?16>no5709~w7ec290>w07;7;?87b;3??705<5s48o<7h9;<137?163ty9h<4?:2y>6a6==116>nh5599>6a4=1:1v?j<:18084c;3l=70=60;53?85?n3=;7p}=d583>7}::m9195522e:9=6=z{;n>6=4={<0g1??4348o;79=;|q1`3<72;q6>i85929>6a>=?;1v?j8:18184c?338705<5s48om7;7;<0gg??43ty9hi4?:3y>6ab=n?16?l85719~w7bb2909w07}::ml15>522d0934=z{;o;6=4={<0f4??4348n>79=;|q1a4<72;q6>h?5929>6`5=?;1v?k=:18184b:338705<5s48n87;7;<0f6`0=1:16>h65709~w7c02909w06}::l<1;=522g1935=::o:15>5rs3gb>5<5s48nm77<;<0f`?153ty9io4?:3y>6`d=1:16>hk5709~w7cd2909w07}::ln15>522dd937=z{;on6=4={<0fa??4348m<79=;|q1ac<72;q6>hh5929>6c6=?81v?h>:18184a93l=70=me;53?xu5n;0;6?u22g391==::o?15>5rs3d0>5<5s48m?77<;<0e1?163ty9j94?:3y>6c2=1:16>k;5739~w7`12908w00;6?u22g491==::o215>5rs3d:>5<5s48m:7:8;<134??43ty9jl4?:3y>6cg=1:16>kh5739~w7`e2909w07}::oi15>522gd936=z{;lo6=4={<0e`??4348mj79;;|q1b`<72;q6>kk5929>6c`=?<1v?hi:18184an33870=?0;51?xu4880;6>u22g:935=:;9:1;<5231:9=6=z{::96=4={<136??4349;479>;|q046<72;q6?==5929>751=?81v>>;:181857<33870=?6;52?xu48<0;6?u23179=6=:;9<1;?5rs225>5<5s49;:77<;<133?153ty8<:4?:3y>751=1:16?=65739~w66>2909w0=?9;d5?85?>3=;7p}<0`83>c}:;9k1j;5239c935=:;831;?5235f934=:;=o1;=5230f934=:;8o1;=52321934=:;:>1;=5237c937=:;=91;=5236`935=:;891;=5233;937=:;:n1;<5rs22a>5<5s49;m7:8;<13g??43ty875b=n?16=ko5709>5cd=?91v>>j:181857l3?370=?f;;0?xu4990;6>u231f902=:;==18:523049=6=z{:;:6=4={<125??4349:979=;|q057<72;q6?<<5929>743=?:1v>?<:181856;33870=>5;57?xu49=0;6?u23069=6=:;8<1;?5rs236>5<5s49:977<;<122?163ty8=:4?:3y>741=n?16?=m5709~w67?2909w0=>7;7;?85613387p}<1`83>3}:;8k1j;52377935=:;?o1;?52373937=:;881;=52335935=z{:;i6=4={<12f?`1348n;79?;|q05f<72;q6?777=1:1v>?k:181856l33870==1;52?xu49l0;6?u230g9=6=:;;:1;<5rs23e>5<5s49:j77<;<114?153ty8>=4?:3y>776=1:16???5739~w6452909w0==2;d5?856l3=97p}<2283>7}:;;8195523369=6=z{:8>6=4={<111?`1348j57;7;|q063<72;q6??;5599>77>=1:1v><8:181855?33870==d;51?xu4:00;6?u233;9=6=:;;l1;<5rs20b>5<5s499m77<;<11a?163ty8>o4?:3y>77d=1:16??k5739~w64d2909w0==c;;0?85483=97p}<2e83>7}:;;n15>5233:934=z{:8n6=4={<11a??43499j79=;|q06c<72;q6??h5929>766=?81v>=?:181854833870==8;51?xu4;80;6>u23239b3=::li1;=522d3935=z{:996=4={<105?3?3498:77<;|q076<72;q6?>=5929>760=?81v>=;:181854<33870=<5;51?xu4;<0;6?u23279=6=:;:<1;?5rs214>5<5s498=7:8;<10a??43ty8?54?:3y>76>=1:16?>m5709~w65>2909w0=<9;;0?854k3=97p}<3`83>7}:;:k15>5232g934=z{:9i6=4={<10f??43498i79<;|q07f<72;q6?>m5929>76b=?;1v>=k:181854l33870=5<4s498j7:8;<161?3?349?:77<;|q004<72;q6?9?5929>710=?;1v>:=:181853:33870=;5;52?xu4<:0;6?u23519=6=:;=?1;?5rs267>5<5s49?877<;<172?143ty8884?:3y>713=1:16?985709~w6202909w0=;7;d5?85003=;7p}<4983>7}:;==1955235c9=6=z{:>26=4={<17=??4349?m79=;|q00g<72;q6?9l5f79>6c2=?91v>:l:181853j3?370=:1;;0?xu45<5s49?i77<;<164?163ty88k4?:3y>71`=1:16?8>5739~w6372909w0=:0;;0?85293=97p}<5383>7}:;<81j;5235f937=z{:?86=4={<166?3?349>877<;|q010<72703=n?16?8<5469>774=<>16?=m5739~w631290=w0=:6;d5?851k3=;70=;4;53?856=3=:70==b;53?85413=;7p}<5683>7}:;<<18:5234g9=6=z{:?36=4={<16n79>;|q01<<72;q6?875929>70d=?;1v>;n:181852i33870=:e;51?xu4=k0;6?u234`9=6=:;5<5s49>o77<;<16`?153ty89i4?:3y>70b=1:16?8k5709~w63a2902w0=:f;d5?850;3=;70=9d;53?851m3=:70=91;52?853:3=:70==c;53?85203=;70=90;6?u234d91==:;?815>5rs242>5<5s49==77<;<156?153ty8:>4?:by>735=n?16?::5709>73g=?816?;h5719>726=?816?;<5709>714=?;16?77?=?816??j5709>70?=?916?>o5719~w6032909w0=93;7;?85113387p}<6483>7}:;??15>52362937=z{:<=6=4={<152??4349=579<;|q022<72;q6?;95929>73>=?81v>87:181851033870=99;52?xu4>h0;6?u237c9=6=:;?=1;<5rs24a>5<5s49=n77<;<145?163ty8:n4?:3y>73e=1:16?:?5739~w60c2909w0=9d;;0?85103=97p}<6d83>7}:;?o15>52374934=z{:5929>73?=?;1v>9>:181850933870=97;51?xu4?;0;6?u23619=6=:;>>1;?5rs256>5<2s49;57;7;<17f?20349:n7:8;<12=?16349<877<;|q033<72;q6?:95929>72?=?81v>98:181850033870=89;50?xu4?h0;6>u2365935=:;>l15>5234a934=z{:=i6=4={<14f??4349;|q03f<72;q6?:m5929>72`=?;1v>9k:181850l33870=8f;50?xu4?l0;6?u236g9=6=:;>l1;95rs2:3>5<5s493=77<;<1;7?163ty84<4?:3y>7=4=1:16?5=5739~w6>32908wS=74:?0<=<>;278494j9:p7=3=838p1>6::81896>02>;0q~=76;296~;40?02?63<86846>{t;121<7<5<5:236:>4}r1;=?6=;rT8445239`9=6=:;131i45rs2:a>5<5s493m77<;<1;f?173ty84n4?:2y]7=e<5:2o6:>4=2:`>`?5bz?2aa<0827:ik480:?7f1<0927?nn482:?160<09279=h482:?7e`<0827?nk480:?0<7<0827?n4482:?0;2wx?5k50;1xZ6>b3493j77<;<1;a?c>3ty85<4?:2y]7<7<5:3964=4=2;2>`?7>52z?1`<<>;2785?480:p7<5=839pR>7<;<1:0??43492?7k6;|q0=1<72;q6>7<2=?91v>7::180[5>=2785;480:?0=0:01?o::62896?12090q~=67;297~X41>16?465719>7<1=m01v>77:181844k3=;70=68;;0?xu4100;6>uQ38;896?f20901>76:d;8yv5>j3:1?vP<9c9>7;2785i4j9:p7<`=839pR>7i;<1b4?173492j7k6;|q0e5<72;q6>9=5719>7d6=1:1v>o>:180[5f9278m?463:?0e43ty8m94?:3y>7=5=1:16?l:5719~w6g22908wS=n5:?0e3<>;278m84j9:p7d1=839pR>o8;<1b;2wx?l750;1xZ6g>349jm79?;<1b=?c>3ty8ml4?:5y>772=?9168o>5719>74`=?916?lo5929~w6ge2908wS=nb:?0ef<>;278mo4j9:p7db=839pR>ok;<1ba??4349jh7k6;|q0e`<72;q68o:5929>7dc=?91v>oi:180[5fn278n=463:?0ec50;0x91gd20901>l?:628yv5e93:1?vP7g4=1:16?o?5e89~w6d52909w0:md;;0?85e:3=;7p}6}Y;k901>l;:81896d42l30q~=m4;296~;3jh02?63{t;k?1<7=t^2`6?85e>3=;70=m5;g:?xu4j?0;6?u22bg935=:;k<15>5rs2`4>5<4sW9i;63;4j>0n56s|3c:94?4|5=hi64=4=2`;>2653z\0f<=:;kk15>523c;9a<=z{:hj6=4={<6ag??4349im79?;|q0fg<72:qU?ol4=2``>26<5:hi6h74}r1ag?6=;2wx?oj50;1xZ6dc349ii77<;<1a`?c>3ty8nk4?:2y]7g`<5:i;64=4=2`e>`?53z\0g4=:;j815>523b39a<=z{:i96=4={<011??4349h>79?;|q0g6<72:qU?n=4=2a7>26<5:i86h74}r1`0?6=:r79h?480:?0g1<>;2wx?n;50;1xZ6e2349h:79?;<1`1?c>3ty8o;4?:`y>76`==1168o=5719>0ge=?8168lh5719>0f6=?916?>;5709>7=7=?;16=ih5719>72e=?916?n85929~w6e?2908w05;53?xu4k00;6?u23b;9=6=:;jh1;<5rs2ab>5<5s49hm77<;<1`g?153ty8oo4?:3y>7fd=1:16?nk5709~w6ed2909w0=lc;;0?85dl3=:7p}7}:;jn15>523bg937=z{:im6=4={<1`b??4349o:79=;|q0`5<72;q6?i>5929>7fe=?81v>j>:18185c933870=la;52?xu4l;0;6?u23e09=6=:;jk1;?5rs2f0>5<5s49o?77<;<1`e?143ty8h94?:3y>7a2=1:16?no5759~w6b22909w0=k5;;0?85dl3=97p}7}:;m<15>523b`937=z{:n<6=4:{<1g3?`134>2<79?;<624?15349mn79?;<611?173ty8h54?:6y>7a>=n?1684l5719>022=?8168=l5709>7`g=?;1687cc=?81v>j6:18185c03><70=kf;;0?xu4lh0;6?u23ec9=6=:;mo1;?5rs2fa>5<5s49on77<;<1ga?143ty8hn4?:3y>7ae=1:16?ik5759~w6bc2909w0=kd;;0?85cn3=97p}7}:;mo15>523ed934=z{:o;6=4;{<63i=79>;<6`6?1634>i:79?;|q0a4<72;q6?h?5f79>051=?91v>k=:18185b93?370=i4;;0?xu4m:0;6?u23d19=6=:;li1;<5rs2g7>5<5s49n877<;<1ff?143ty8i84?:3y>7`3=1:16?hl5759~w6c12909w0=j6;;0?85bj3=>7p}7}:;l=15>523g1937=z{:o36=4={<1f7``=?81v>kn:18185bi33870=jd;51?xu4mk0;6?u23d`9=6=:;o81;?5rs2g`>5<5s49no77<;<1e0?163ty8ii4?:3y>7`b=1:16?hh5739~w6cb2909w0=je;;0?85b03=97p}7}:;ll15>523g2937=z{:l;6=4={<1e4??4349m=79=;|q0b4<72;q6?k?5929>7c4=?81v>h=:18185a:33870=i3;52?xu4n:0;6?u23g19=6=:;o>1;?5rs2d6>5<5s49m977<;<1e5?163ty8j;4?:3y>7c0=1:16?h75739~w6`02909w0=i7;;0?85bi3=:7p}7}:;o215>523dc936=z{:l26=4={<1e=??4349nh79>;|q0bd<72;q6?ko5929>7`b=?:1v>hm:18185aj33870=jb;52?xu4nj0;6?u23ga9b3=:<9<1;=5rs2dg>5<5s49mo7:8;<631??43ty8jh4?:3y>7cc=1:168=:5739~w6`a2909w0=if;;0?827;3=:7p};0183>7}:<9:15>52411937=z{=::6=4={<635??434>;879<;|q747<72;q68=<5929>053=?;1v9><:181827;33870:?4;52?xu38=0;6?u24169=6=:<9?1;<5rs525>5<5s4>;:77<;<63051=1:168=65739~w16>2909w0:?9;d5?82f=3=;7p};0`83>7}:<931955241d9=6=z{=:i6=4={<63f??434>::79=;|q74f<72;q68=m5929>05`=?:1v9>k:181827l33870:?e;51?xu38l0;6?u241g9=6=:<9l1;?5rs533>5<5s4>:<77<;<623?163ty?=<4?:3y>047=1:168<95739~w1752909w0:>2;;0?827l3=97p};1283>7}:<8915>5241d934=z{=;?6=4={<620??434>;o79>;|q750<72;q68<;5929>05e=?;1v9?9:181826>33870:?e;52?xu39>0;6?u24059=6=:<9n1;<5rs53;>5<6>r7?=54i6:?7eg<0827?:9481:?720<0827?95481:?71<<0827?9>481:?711<0827?8h481:?70c<0827?84481:?70d<0827?89481:?700<0827??k481:?705<0827??l481:?77g<0827??8481:?773<0827?9i480:?71c<0:2wx8<750;0x917?2<2019v3;1`8:7>;39o0<>6s|40`94?4|5=;i64=4=50:>25:o7>52z?75f<>;27?>o482:p04b=838p19?k:81891452>;0q~:>e;296~;39l02?63;23847>{t<8l1<7<5<5=886:?4}r614?6=:r7?>=463:?766<0:2wx8??50;0x9146209019<;:638yv25:3:1>v3;238:7>;3:j0<>6s|43194?4|5=8864=4=505>27987>52z?761<>;27?>:482:p073=838p19<::81891472>80q~:=6;296~;3:?02?63;29846>{t<;=1<7<5<5=826:?4}r615463:?76d<092wx8?750;0x914>209019v3;2`8:7>;3:k0<=6s|43`94?4|5=8i64=4=50`>279h7>52z?76a<>;27?>=481:p07c=838p1990q~:=f;296~;3:o02?63;27847>{t<::1<7<5<5=826:<4}r605?6=:r7??<463:?764<092wx8><50;0x9155209019<>:608yv24;3:1>v3;328:7>;3:=0<>6s|42694?4|5=9>64=4=51;>27897>52z?773<>;27??:482:p060=838p19=8:818915?2>80q~:<9;296~;3;h02?63;3e845>{t<:k1<7<5<5=9h6:<4}r60f?6=:r7??n463:?77a<0:2wx8>k50;0x915a209019:=:638yv24n3:1>v3;418:7>;3<80<>6s|45294?4|5=>:64=4=561>24??7>52z?701<>;27?8:481:p012=838p19:::81891212>80q~:;5;296~;3{t<=21<7<5<5=>h6:?4}r67=?6=:r7?8l463:?70g<0:2wx89o50;0x912e209019:l:608yv23l3:1>v3;4d8:7>;3=80<=6s|45g94?4|5=>m64=4=573>24?j7>52z?715<>;27?9<482:p004=838p19;<:81891312>;0q~::3;296~;3==02?63;54846>{t<<>1<7<5<5=?=6:<4}r663?6=:r7?95463:?71g<092wx88650;0x913>209019;n:608yv2213:1>v3;5`8:7>;3=k0<>6s|44a94?4|5=?o64=4=543>27>h7>52z?71`<>;27?:=482:p00c=838p19;i:81891052>80q~::f;296~;3>902?63;60846>{t<5<5=<96:?4}r657?6=:r7?:9463:?722<092wx8;:50;0x910220901989:608yv21=3:1>v3;678:7>;3>>0<>6s|47:94?70s4>=47h9;<6b6?1734>2879?;<6:=?1534>2o79?;<65e?1634><=79?;<641?1734><479>;<6;2?1734>3i79?;<6:5?1734>::79>;<1f7?16349ni79?;<1e=?17349n879?;<1f=?1634>9j79?;<605?1734>:h79?;<1gg?17349mj79>;|q72<<72;q68;65599>026=1:1v98n:181821i33870:9c;52?xu3>k0;6?u247`9=6=:5<5s4>=o77<;<644?163ty?:i4?:3y>03b=1:168;k5739~w10b2909w0:9e;;0?821n3=97p};6g83>7}:52462937=z{==:6=4={<645??434><;79=;|q737<72;q68:<5929>03`=?81v99<:181820;33870:88;51?xu3?=0;6?u24669=6=:5<5s4><977<;<65f?163ty?;;4?:3y>020=1:168;l5739~w1102909w0:87;;0?821k3=97p};7983>7}:<>215>5247g934=z{==26=4>0z?73<27?m>481:?7=d<0827?5h481:?72d<0:27?;;480:?73g<0827?4i480:?73c<0927?=>480:?0b3<08278i5481:?75f<0827?>?482:?0`g<0827?<=480:p02g=838p1996:4:891>f2090q~:8b;296~;3?k02?63;7d846>{t<>i1<7<5<5=296::4}r64`?6=:r7?;i463:?7<<<0:2wx8:k50;0x911b2090196<:638yv20n3:1>v3;7g8:7>;30:0<>6s|49294?4|5=2;64=4=5:7>273=7>52z?7<4<>;27?49482:p0=4=838p196=:81891>?2>80q~:73;296~;30:02?63;8`845>{t<1>1<7<5<5=2>6:<4}r6;1?6=:r7?48463:?7<2<0:2wx85850;0x91>12090199i:608yv2??3:1>v3;868:7>;3010<=6s|49:94?4|5=2364=4=5::>27357>52z?7<<<>;27?4l482:p0=d=838p196m:81891>02>;0q~:7c;296~;30j02?63;81845>{t<1n1<7<5<5=2;6:<4}r6;a?6=:r7?4h463:?7<4<092wx85h50;0x91>a2090196>:608yv2>83:1>v3;918:7>;30;0<>6s|48394?4|5=3:64=4=5:1>252>7>5dz?7=727?5i480:?736<0827?;:481:?73`<0927?=9480:?0a6<0:278jl480:?0a0<0827?=h480:?76=<09278hl480:?744<082wx84=50;0x91?52<201977:818yv2><3:1>v3;958:7>;31l0<>6s|48794?4|5=3>64=4=5;5>242:7>52z?7=3<>;27?5:481:p0<1=838p1978:81891??2>80q~:69;296~;31002?63;9g845>{t<0k1<7<5<5=3m6:<4}r6:f?6=:r7?5o463:?7e5<0:2wx84m50;0x91?d2090197::618yv2>l3:1>v3;9e8:7>;31>0272j7>52z?7=c<>;27?5;481:p0d6=838p19o?:81891?22>;0q~:n1;296~;3i;02?63;a2846>{t1<7<5<5=k=6:<4}r6b3?6=;r7?m:4i6:?2<6<0927?n5480:p0d>=838p19o8:4:891g42090q~:n9;296~;3i00m:63<9b844>{t0><5=ki64=4}r6b`?6=:r7?mn480:?7f4<>;2wx8lk50;0x91gb209019l>:608yv2fn3:1>v3;ag8:7>;3j8022i>7>52z?7f6<>;27?n9482:p0g3=838p19l9:81891d>2>;0q~:m6;296~;3j>02?63;b8847>{t<5<5=hj6:<4}r6a:019m=:818yv2en3:1>v3;bg8:7>;3k;0<>6s|4b294?4|5=i;64=4=5a1>25h=7>52z?7g4<>;27?o?484:p573=839pR<<:;<311?c>34;9:79?;|q263<728q6=?85929~yk730j0;63h7>51zJ27<=Nm00:w<4rZ7f95~>>2twe=96j:182M7412Cn57?t1;Y2a<6s131qp`>49d94?7|@8927Dk6:0y2>x\1l3;p444r}o37=5<728qC=>74Id;95~7=uS93:1=vF>389Ja<<6s80vV;j51z::>x{i9=396=4>{I30=>Ob13;p=7sU6e82=?=utd:84=50;3xL45>3@o26:|X5`?7|000vqc?;9583>4}O9:30Eh751z39y_0c28q357srn06:1?6=9rB:?45Fe8824{9;9yxh6<0=1<7?tH01:?Lc>28q:6pT9d;3x<<4}?13wvb<:69;295~N6;01Bi44>{08~^3b=9r226psa15;b>5<6sA;856Gj9;3x5?{]>m0:w575}|l204}62tP=h7?t888~yk731j0;62h7>51zJ27<=Nm00:w<4rZ7f95~>>2twe=97j:182M7412Cn57?t1;Y2a<6s131qp`>48d94?7|@8927Dk6:0y2>x\1l3;p444r}o37e5<728qC=>74Id;95~7=uS389Ja<<6s80vV;j51z::>x{i9=k96=4>{I30=>Ob13;p=7sU6e82=?=utd:8l=50;3xL45>3td:8l:50;3xL45>3td:8l;50;3xL45>3td:8l850;3xL45>3td:8l950;3xL45>3td:8l650;3xL45>3td:8l750;3xL45>3td:8lo50;3xL45>3td:8ll50;3xL45>3td:8lm50;3xL45>3td:8lj50;3xL45>3td:8lk50;3xL45>3td:8lh50;3xL45>3td:8o>50;3xL45>3td:8o?50;3xL45>3td:8o<50;3xL45>3td:8o=50;3xL45>3td:8o:50;3xL45>3td:8o;50;3xL45>3td:8o850;3xL45>3td:8o950;3xL45>3td:8o650;3xL45>3td:8o750;3xL45>3td:8oo50;gxL45>3td:8ol50;3xL45>3@o264}O9:30qc?;be83>4}O9:30Eh751z09y_0c28q357srn06aa?6=9rB:?45rn06ab?6=9rB:?45Fe8824x\1l38p=?m5888~yk73k;0;68:tH01:?xh628q:6pT>2b8204=uS2b8;=?{zf8>h87>51zJ27<=zf8>h97>52zJ27<=zf8>h:7>52zJ27<=zf8>h;7>51zJ27<=zf8>h47>51zJ27<=zf8>h57>51zJ27<=zf8>hm7>51zJ27<=Nm00:w<4rZ7f95~>>2twe=9mm:180M7412we=9ml:182M7412we=9mk:182M7412we=9mj:186M7412we=9mi:180M7412we=9j?:180M7412we=9j>:182`~N6;01vb<:k2;290~N6;01vb<:k3;295~N6;01vb<:k4;295~N6;01vb<:k5;295~N6;01Bi44>{38~^3b=9r226psa15f5>5<6sA;856Gj9;3x6?{]>m0:w575}|l20a1=83;pD<=6;Hg:>4}52tP=h7?t888~yk73l10;6o57>51zJ27<=Nm00:w?4rZ7f95~>>2twe=9jn:182M7412Cn57?t2;Y2a<6s131qp`>4e`94?7|@8927Dk6:0y1>x\1l3;p444r}o37`f<728qC=>74Id;95~4=uS389Ja<<6s;0vV;j51z::>x{i9=nn6=4>{I30=>Ob13;p>7sU6e82=?=utd:8ih50;3xL45>3@o264}O9:30Eh751z09y_0c28q357srn06f5?6=9rB:?45rn06f6?6=9rB:?45rn06f7?6=9rB:?45rn06f0?6=9rB:?45rn06f1?6=9rB:?45rn06f2?6=9rB:?45rn06f3?6=9rB:?45rn06f290:wE?<9:Kf=?7|93wQ:i4>{9;9yxh61<7:tH01:?xh6=9?1<71<71<7?tH01:?xh6=;?1<7?tH01:?xh6=;<1<7?tH01:?xh6=;=1<7?tH01:?xh6=;21<7?tH01:?xh6=;31<7?tH01:?xh6=;k1<7?tH01:?xh6=;h1<7?tH01:?xh6=;i1<7?tH01:?xh6=;n1<71<7?tH01:?xh6=:?1<7?tH01:?xh6=:<1<7?tH01:?xh6=:=1<7?tH01:?xh6=:21<7?tH01:?xh6=:31<7?tH01:?xh6=:k1<7?tH01:?xh6=:h1<7?tH01:?xh6=:i1<7?tH01:?xh6=:n1<7?tH01:?xh6=:o1<7?tH01:?xh6=:l1<7?tH01:?xh6==:1<7?tH01:?xh6==;1<7?tH01:?xh6==81<7?tH01:?xh6==91<7?tH01:?xh6==>1<7?tH01:?xh6==?1<7?tH01:?xh6==<1<7?tH01:?xh6===1<7?tH01:?xh6==21<7?tH01:?xh6==31<7?tH01:?xh6==k1<7?tH01:?xh6==h1<7?tH01:?xh6==i1<7?tH01:?xh6==n1<7?tH01:?xh6==o1<7?tH01:?xh6==l1<7?tH01:?xh6=<:1<7?tH01:?xh6=<;1<7?tH01:?xh6=<81<7?tH01:?xh6=<91<7?tH01:?xh6=<>1<7?tH01:?xh6=1<7:1<7;1<7?tH01:?xh6=>81<7?tH01:?xh6=>91<7?tH01:?xh6=>>1<7?tH01:?xh6=>?1<7<1<7=1<7?tH01:?xh6=>21<7?tH01:?xh6=>31<7k1<7h1<7?tH01:?xh6=>i1<7?tH01:?xh6=>n1<7o1<7l1<7?tH01:?xh6=1:1<7?tH01:?xh6=1;1<7?tH01:?xh6=181<7?tH01:?xh6=191<71<71<7?tH01:?xh6=0?1<7?tH01:?xh6=0<1<7?tH01:?xh6=0=1<7?tH01:?xh6=021<7=tH01:?xh6=031<7?<{I30=>{i9<3j6=4<{I30=>{i9<3i6=4:{I30=>{i9<3h6=49{I30=>{i9<3o6=4={I30=>{i9<3n6=4={I30=>{i9<3m6=4>{I30=>{i9{i9{i9{i9{I30=>{i9{i96=4<{I30=>{i9{i9{i9{i9{I30=>{i9{I30=>{i9{I30=>{i9{i9{i9{i9{i9{i9{i9{i9{i9{i96=4={I30=>{i9{i9{I30=>{i9{I30=>{i9{I30=>{i9{i9{i9{i9{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i96=4>{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{i9{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{i9{i9{i9{i9{I30=>{i96=4>{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{i9{i96=4>{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{i9{i9{i96=4>{I30=>{i9{I30=>{i9{I30=>{i9{i9{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9?:;6=4>{I30=>{i9?::6=4>{I30=>{i9?:96=4>{I30=>{i9?:86=4>{I30=>{i9?:?6=4>{I30=>{i9?:>6=4<{I30=>{i9?:=6=4<{I30=>{i9?:<6=4>{I30=>{i9?:36=4>{I30=>{i9?:26=4>{I30=>{i9?:j6=4>{I30=>{i9?:i6=4>{I30=>{i9?:h6=4>{I30=>{i9?:o6=4>{I30=>{i9?:n6=4>{I30=>{i9?:m6=4>{I30=>{i9?;;6=4<{I30=>{i9?;:6=4<{I30=>{i9?;96=47{I30=>{i9?;86=4<{I30=>{i9?;?6=4<{I30=>{i9?;>6=4:{I30=>{i9?;=6=4;{I30=>{i9?;<6=4<{I30=>{i9?;36=4>{I30=>{i9?;26=4>{I30=>{i9?;j6=4>{I30=>{i9?;i6=4<{I30=>{i9?;h6=4<{I30=>{i9?;o6=4<{I30=>{i9?;n6=4<{I30=>{i9?;m6=4>{I30=>{i9?8;6=4>{I30=>{i9?8:6=4>{I30=>{i9?896=4>{I30=>{i9?886=4;{I30=>{i9?8?6=4<{I30=>{i9?8>6=4>{I30=>{i9?8=6=4>{I30=>{i9?8<6=4>{I30=>{i9?836=4>{I30=>{i9?826=4>{I30=>{i9?8j6=4>{I30=>{i9?8i6=4>{I30=>{i9?8h6=4>{I30=>{i9?8o6=4>{I30=>{i9?8n6=4;{I30=>{i9?8m6=4<{I30=>{i9?9;6=4>{I30=>{i9?9:6=4>{I30=>{i9?996=4<{I30=>{i9?986=4={I30=>{i9?9?6=4={I30=>{i9?9>6=4>{I30=>{i9?9=6=4>{I30=>{i9?9<6=4>{I30=>{i9?936=4>{I30=>{i9?926=4>{I30=>{i9?9j6=4>{I30=>{i9?9i6=4>{I30=>{i9?9h6=4>{I30=>{i9?9o6=4>{I30=>{i9?9n6=4>{I30=>{i9?9m6=4>{I30=>{i9?>;6=4>{I30=>{i9?>:6=4>{I30=>{i9?>96=4<{I30=>{i9?>86=4={I30=>{i9?>?6=4={I30=>{i9?>>6=4={I30=>{i9?>=6=4={I30=>{i9?><6=4<{I30=>{i9?>36=4>{I30=>{i9?>26=4>{I30=>{i9?>j6=4>{I30=>{i9?>i6=4>{I30=>{i9?>h6=4>{I30=>{i9?>o6=4<{I30=>{i9?>n6=4<{I30=>{i9?>m6=4<{I30=>{i9??;6=4={I30=>{i9??:6=4={I30=>{i9??96=4>{I30=>{i9??86=4>{I30=>{i9???6=4>{I30=>{i9??>6=4>{I30=>{i9??=6=4={I30=>{i9??<6=4={I30=>{i9??36=4:{I30=>{i9??26=4<{I30=>{i9??j6=4>{I30=>{i9??i6=4>{I30=>{i9??h6=4>{I30=>{i9??o6=4>{I30=>{i9??n6=4>{I30=>{i9??m6=4>{I30=>{i9?<;6=4>{I30=>{i9?<:6=4>{I30=>{i9?<96=4>{I30=>{i9?<86=4={I30=>{i9?{i9?<>6=4>{I30=>{i9?<=6=4>{I30=>{i9?<<6=4>{I30=>{i9?<36=4={I30=>{i9?<26=4>{I30=>{i9?{I30=>{i9?{I30=>{i9?{I30=>{i9?{I30=>{i9?{I30=>{i9?{i9?=;6=4;{I30=>{i9?=:6=4>{I30=>{i9?=96=4>{I30=>{i9?=86=4>{I30=>{i9?=?6=4>{I30=>{i9?=>6=4>{I30=>{i9?==6=4={I30=>{i9?=<6=4={I30=>{i9?=36=4>{I30=>{i9?=26=4={I30=>{i9?=j6=4={I30=>{i9?=i6=4>{I30=>{i9?=h6=4>{I30=>{i9?=o6=4>{I30=>{i9?=n6=4>{I30=>{i9?=m6=4={I30=>{i9?2;6=4={I30=>{i9?2:6=49{I30=>{i9?296=4={I30=>{i9?286=4={I30=>{i9?2?6=4>{I30=>{i9?2>6=4>{I30=>{i9?2=6=4>{I30=>{i9?2<6=4>{I30=>{i9?236=4>{I30=>{i9?226=4>{I30=>{i9?2j6=4<{I30=>{i9?2i6=4<{I30=>{i9?2h6=4>{I30=>{i9?2o6=4<{I30=>{i9?2n6=4<{I30=>{i9?2m6=4>{I30=>{i9?3;6=4>{I30=>{i9?3:6=4>{I30=>{i9?396=4>{I30=>{i9?386=4>{I30=>{i9?3?6=4>{I30=>{i9?3>6=4>{I30=>{i9?3=6=4>{I30=>{i9?3<6=4>{I30=>{i9?336=4>{I30=>{i9?326=4>{I30=>{i9?3j6=4>{I30=>{i9?3i6=4>{I30=>{i9?3h6=4:{I30=>{i9?3o6=4>{I30=>{i9?3n6=4>{I30=>{i9?3m6=4>{I30=>{i9?k;6=4>{I30=>{i9?k:6=4>{I30=>{i9?k96=4>{I30=>{i9?k86=4>{I30=>{i9?k?6=4>{I30=>{i9?k>6=4>{I30=>{i9?k=6=4>{I30=>Ob13;p>7sU6e82=?=utd::l950;3xL45>3td::l650;3xL45>3td::l750;3xL45>3td::lo50;3xL45>3@o264}O9:30qc?9ab83>4}O9:30Eh751z39y_0c28q357srn04b`?6=9rB:?45rn04ba?6=:rB:?45Fe8826{9;9yxh6>k:1<7?tH01:?xh6>k;1<7?tH01:?Lc>28q96pT9d;3x<<4?:0yK56?4}?13wvb<8m4;295~N6;01vb<8m5;295~N6;01Bi44>{08~^3b=9r226psa17`5>5<6sA;856sa17`4>5<6sA;856Gj9;3x6?{]>m0:w575}|l22g>=83;pD<=6;Hg:>4}52tP=h7?t888~yk71j00;651zJ27<=Nm00:w<4rZ7f95~>>2twe=;lm:182M7412we=;ll:182M7412Cn57?t2;Y2a<6s131qp`>6cf94?7|@8927Dk6:0y1>x\1l3;p444r}o35f`<728qC=>74}o35fc<728qC=>74Id;95~4=uS389Ja<<6s80vV;j51z::>x{i9?i:6=4>{I30=>{i9?i96=4>{I30=>Ob13;p=7sU6e82=?=utd::n=50;3xL45>3td::n:50;0xL45>3@o264}O9:30Eh751z09y_0c28q357srn04`2?6=9rB:?45rn04`3?6=9rB:?45Fe8827290:wE?<9:Kf=?7|:3wQ:i4>{9;9yxh6>jk1<7?tH01:?xh6>jh1<7?tH01:?Lc>28q96pT9d;3x<<4}?13wvb<8le;295~N6;01vb<8lf;295~N6;01Bi44>{38~^3b=9r226psa17f3>5<6sA;856sa17f2>5<6sA;856Gj9;3x6?{]>m0:w575}|l22a4=83;pD<=6;|l22a5=83;pD<=6;Hg:>4}62tP=h7?t888~yk71l=0;652zJ27<=Nm00:w>4rZ7f95~>>2twe=;j8:182M7412Cn57?t2;Y2a<6s131qp`>6e:94?7|@8927p`>6e;94?7|@8927Dk6:0y2>x\1l3;p444r}o35`d<728qC=>74}o35`g<728qC=>74Id;95~7=uSvF>389~j40cl3:1=vF>389~j40cm3:1=vF>389~j40cn3:1=vF>389~j40b83:1=vF>389~j40b93:1=vF>389~j40b:3:1=vF>389~j40b;3:1=vF>389~j40b<3:1=vF>389~j40b=3:1=vF>389~j40b>3:1=vF>389~j40b?3:1=vF>389~j40b03:1=vF>389~j40b13:1=vF>389~j40bi3:1=vF>389~j40bj3:1?vF>389~j40bk3:1>vF>389~j40bl3:1>vF>389~j40bm3:1=vF>389~j40bn3:1=vF>389~j40a83:1=vF>389~j40a93:1=vF>389~j40a:3:1=vF>389~j40a;3:1=vF>389~j40a<3:1?vF>389~j40a=3:1?vF>389~j40a>3:1=vF>389~j40a?3:1=vF>389~j40a03:1=vF>389~j40a13:1=vF>389~j40ai3:1=vF>389~j40aj3:1=vF>389~j40ak3:1=vF>389~j40al3:1=vF>389~j40am3:1=vF>389~j40an3:1=vF>389~j41783:1=vF>389~j41793:1=vF>389~j417:3:1=vF>389~j417;3:1=vF>389~j417<3:1=vF>389~j417=3:1=vF>389~j417>3:1=vF>389~j417?3:1=vF>389~j41703:1=vF>389~j41713:1=vF>389~j417i3:1=vF>389~j417j3:1=vF>389~j417k3:1=vF>389~j417l3:1=vF>389~j417m3:1>vF>389~j417n3:1>vF>389~j41683:1=vF>389~j41693:1=vF>389~j416:3:1=vF>389~j416;3:1=vF>389~j416<3:1=vF>389~j416=3:1=vF>389~j416>3:1=vF>389~j416?3:1=vF>389~j41603:1=vF>389~j41613:1?vF>389~j416i3:1?vF>389~j416j3:1=vF>389~j416k3:1=vF>389~j416l3:1=vF>389~j416m3:1=vF>389~j416n3:1=vF>389~j41583:1=vF>389~j41593:1=vF>389~j415:3:1=vF>389~j415;3:1=vF>389~j415<3:1=vF>389~j415=3:1=vF>389~j415>3:1=vF>389~j415?3:1?vF>389~j41503:1?vF>389~j41513:1=vF>389~j415i3:1=vF>389~j415j3:1=vF>389~j415k3:1=vF>389~j415l3:1=vF>389~j415m3:1=vF>389~j415n3:1=vF>389~j41483:1=vF>389~j41493:1=vF>389~j414:3:1=vF>389~j414;3:1=vF>389~j414<3:1=vF>389~j414=3:1=vF>389~j414>3:1=vF>389~j414?3:1=vF>389~j41403:1=vF>389~j41413:1=vF>389~j414i3:1=vF>389~j414j3:1=vF>389~j414k3:1=vF>389~j414l3:1=vF>389~j414m3:1=vF>389~j414n3:1=vF>389~j41383:1=vF>389~j41393:1=vF>389~j413:3:1=vF>389~j413;3:1=vF>389~j413<3:1=vF>389~j413=3:1=vF>389~j413>3:1=vF>389~j413?3:1=vF>389~j41303:1=vF>389~j41313:1=vF>389~j413i3:1=vF>389~j413j3:1=vF>389~j413k3:1=vF>389~j413l3:1=vF>389~j413m3:1=vF>389~j413n3:1=vF>389~j41283:1=vF>389~j41293:1=vF>389~j412:3:1=vF>389~j412;3:1=vF>389~j412<3:1=vF>389~j412=3:1=vF>389~j412>3:1=vF>389~j412?3:1=vF>389~j41203:1=vF>389~j41213:1=vF>389~j412i3:1=vF>389~j412j3:1=vF>389~j412k3:1=vF>389~j412l3:1=vF>389~j412m3:1=vF>389~j412n3:1=vF>389~j41183:1=vF>389~j41193:1=vF>389~j411:3:1?vF>389~j411;3:1?vF>389~j411<3:1=vF>389~j411=3:1=vF>389~j411>3:1=vF>389~j411?3:1=vF>389~j41103:1=vF>389~j41113:1=vF>389~j411i3:1=vF>389~j411j3:1=vF>389~j411k3:1=vF>389~j411l3:1=vF>389~j411m3:1=vF>389~j411n3:1=vF>389~j41083:1=vF>389~j41093:1=vF>389~j410:3:1?vF>389~j410;3:1?vF>389~j410<3:1=vF>389~j410=3:1=vF>389~j410>3:1=vF>389~j410?3:1=vF>389~j41003:1=vF>389~j41013:1=vF>389~j410i3:1=vF>389~j410j3:1=vF>389~j410k3:1=vF>389~j410l3:1=vF>389~j410m3:1=vF>389~j410n3:1=vF>389~j41?83:1=vF>389~j41?93:1=vF>389~j41?:3:1=vF>389~j41?;3:1=vF>389~j41?<3:1=vF>389~j41?=3:1=vF>389~j41?>3:1=vF>389~j41??3:1=vF>389~j41?03:1=vF>389~j41?13:1=vF>389~j41?i3:1?vF>389~j41?j3:1?vF>389~j41?k3:1=vF>389~j41?l3:1=vF>389~j41?m3:1=vF>389~j41?n3:1=vF>389~j41>83:1=vF>389~j41>93:1=vF>389~j41>:3:1=vF>389~j41>;3:1=vF>389~j41><3:1=vF>389~j41>=3:1=vF>389~j41>>3:1=vF>389~j41>?3:1=vF>389~j41>03:1=vF>389~j41>13:1=vF>389~j41>i3:1?vF>389~j41>j3:1?vF>389~j41>k3:1?vF>389~j41>l3:1?vF>389~j41>m3:1=vF>389~j41>n3:1=vF>389~j41f83:1=vF>389~j41f93:1=vF>389~j41f:3:1=vF>389~j41f;3:1=vF>389~j41f<3:1=vF>389~j41f=3:1=vF>389~j41f>3:1=vF>389~j41f?3:1=vF>389~j41f03:1=vF>389~j41f13:1=vF>389~j41fi3:1=vF>389~j2>=839pqc9=:182xh>?3:1?vsa9083>4}zfk<1<7=t}o`3>5<6stdo97>53zmgc<728qvbk:50;1xykcb290:wp`>0883>4}zf8;n6=4>{|l276<728qvb<:7:182xh6=m0;65<6std:4:4?:0y~j4?d290:wp`>bc83>6}zf8h>6=4>{|l2`5<728qvb5<6std9>94?:0y~j75>290:wp`=4d83>4}zf;<86=4>{|l13=<728qvb?6k:182xh5i;0;65<4std9no4?:0y~j7c72908wp`=d`83>4}zf;lm6=4<{|l1b<<728qvb>?j:180xh4910;65<4std8?:4?:0y~j63d2908wp`<5783>4}zf:=:6=4>{|l0<3<728qvb>o::180xh41o0;65<4std8nh4?:0y~j6c42908wp`4}zf=:96=4<{|l0bf<728qvb9<>:180xh39k0;65<4std??l4?:0y~j13a2908wp`;5883>4}zf==n6=4<{|l73=<728qvb97<:182xh3i10;65<4std?o<4?:0y~j1c12908wp`;e183>4}zf<:>6=4<{|l7bc<728qvb8<;:180xh29l0;65<4std>?i4?:0y~j0052908wp`:5b83>4}zf<2:6=4<{|l63g<728qvb8o?:180xh21h0;65<4std>n44?:0y~j0bb2908wp`:d983>4}zf5<4std=?84?:0y~j33f2908wp`95583>4}zf?=26=4<{|l536<728qvb;77:180xh11;0;65<4std=n<4?:0y~j3b12908wp`9d183>4}zf?l>6=4<{|l5ac<728qvb:?;:180xh08l0;65<4std<>i4?:0y~j2352908wp`84b83>4}zf>=:6=4<{|l42g<728qvb:7?:180xh00h0;65<4std4}zf>oo6=4<{|l4a2<728qvb5>=:182xh?9>0;65<6std38<4?:0y~j=31290:wp`76c83>4}zf12j6=4<{|l;<1<728qvb5o6:180xh?i:0;65<4std3o?4?:0y~j=c02908wp`7e083>4}zf0:=6=4<{|l:45<728qvb4<::180xh>9o0;65<4std2?h4?:0y~j<042908wp`65e83>4}zf0296=4<{|l:3f<728qvb4o>:180xh>1k0;65<4std2nl4?:0y~j4}zf0ln6=4<{|l:b=<728qvb<9nb;295~\1l3;p444rH808yk70ij0;6kfc56yEFEs9wKL]ur@A \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngr b/cpld/XC95144XL/WarpSE.ngr new file mode 100644 index 0000000..5c3b112 --- /dev/null +++ b/cpld/XC95144XL/WarpSE.ngr @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$56`==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q02$%#_OB.w`8*+)Pi{zXI#x>;138544<9;0DYY^ZT;PB847=87h0=?4]A=32>58f38<1M1<<:9<24>712@D[YY4N<319<;7<:h19=7O32082:46<:80BB][[:@>15?79m2886LQISC>17?799=19?7GAPTV9EZ@TJ5886<0>;2d87?OIX\^1_Z2=:1<:?63=L5>1<3m45;FbpdG;<3:556;5ER>7>58b3<0BB][[:E>7>586:2?1EC^ZT;FbpdG;<3:5j6;5OTVSQQ3=Y5>1<3j45;Pfwpjs4=0;2k5::^cg`56785>1<3?46094<>>=L5=1<3m48;FbpdG;?3:5i665IORVP?B;?3:5=?57:HLSQQg9;>JSSX\^1]1950?37?=g9;>Zgcl9:;<1950?78E9776<1J049B84?9<2K7=3;4A=03:2=F4;;1=3;4A=02:0=F4;85;6O3228;:0=F4;9586O32?68E959<2K783:4A=7=0>G;>7>0M1914:C?<;2\c:COA5Yj}q:;<=j4AMG3[hs89:;=h5NLD2\ip~789::=i5NLD2\ip~789:9==5NLD2\ip~789:Te`~;;@NF51=FFM8=7L@K2C35?DHC:K8?7L@K379BJA5E9?1JBI=M279BJA5E;=1JBI:9;@LG0G713HDO8O<9;@LG0G513HDO8O:9;@LG1G413HDO9O=9;@LG1G213HDO9O;;;@LG<1=F[{lh7L]}f^llp56798o0M^|i_omw4566W`g{SL]}f^llp5679830MRH\B=33:<=FWOYI027;?89B[CUE48?556OPFR@?538>3HUM_O2>7?;8EZ@TJ5;3245N_GQA84?902KTJ^L31?;8EZ@TJ58;245N_GQA877912KTJ^L3231:==FWOYI0>07;@]EWG:3611JSK]M<4<;?DYA[K6=255N_GQA828?3HUM_O27>99B[CUE404?7Lmcr048EfjuW@D]Sdc_@aovZOI^8>0NLM[e:@BGQYneyUIMNZ>5:@BGQt33KOY^85MESP32>DBZ[:y96LJRS35?GCUZ8x27OK]R^KLFVc1H@F<:EO37?FJL:EKCVLXN:5LLJ]JKG5ET>2Igil|>e:Aoadt6Whdo<=>?239@h`gu9Vkeh=>?0^kntZEkmhx:Sl`k012356=Ddb?0OaeJn0:8GimBfVCEZRgbp^Aoo@hXAG\:;6Mck^DPI423:1=C484?7I2=>59G86813M6?6=0;;E>7:1=C4<4?7I29>79G82<76=1O0:0:;EVBGN773M^JOFQfmq]GPDEL9>1OXLMDrg58@QGDC{xm7IZNCJpq[kis89::>95KT@AHvwYig}:;<?0034?Agsi5:5;6Jnt`>2:0=Ci}kJ46Jnt`C?4;>Bf|hK787>18:FbpdG;<720HlznA=7=<>Bf|hK7:3o4D`vbE91=8720HlznA=5=3>Bn{hhgik5Kircah`Yffm:;<=??;EkpegjbWhdo<=>?1028@lufjeoTmcj?012155=Cazki`hQnne234556;2Nbllce^cm`5678Vcf|<5J2:GP1>CT494>7H]31?78AV:56<1N_1=17:GP81<76<1N_1:1a:GP[dhc89:;=l5JS^cm`5678Vcf|RK\_`lg45679h1N_Road12354g?00]jiuYB[Vkeh=>?10f8AVYdeyUn}=>?0328AVYdeyUn}=>?0^kntZCTWjg{Sh?0122`>CTWjg{Sh?01314>CTWjg{Sh?013\mhvXMZUha}Qjq12354??00:8AVYhz9:;?0103?@RFKBUjbi>?01]jiuYB\HI@Sl`k0123546?149F[LIE02OemobjTs08Aw545IFe3.Mk4602LMh<#Fn2;8BCb6%@d8=45IFe3.Mk5512LMh<#Fn21:?C@c9$Ce8<64FGf2)Lh2j2LMh<#Fn^knt1=ANm8<7KHk2,Km<>@Al;'Bb<74FGf1)Lh6911MJi<"Io0:?C@c:$Ce><64FGf1)Lh412LMh?#Fn23;?C@c:$Ce845IFe0.Mk2602LMh?#Fn4;8BCb5%@d>=55IFe0.Mk0e3OLo> Ga_hos0>@Al:=0JKj<-Hl;?C@c;$Ce=45IFe1.Mk7602LMh>#Fn3;8BCb4%@d9=55IFe1.Mk5>3OLo? Ga30:8BCb4%@d?56HId2/Jj17?3OLo? Ga5c9EBa5*AgUba}=4FR@0?CUJk2LXAR>"p`pfjqe@TEV8&|l|jnu38C6=@FM;0E?5F039J57=N:;1B??5F439J17=N>;1B;95FABQ6?LGD[8?0ELM\249JEFU402CJO^Qfmq68MKOS;2CEZ85FO@AW`>OHIJ^Tmcj?01214>OHIJ^Tmcj?012\mhvXAFKHXRoad12344bOHJLXYi6G@BDPQ[hs89:;j6G@BDPQ[hs89:;=<>4IN@FVWYj}q:;<=??119JKGCUZVg~t=>?003e?LIEM[XTaxv?012155=NGKOY^Rczx123477a3@EII_\Pmtz34564991BCOK]R^ov|5678:;m7DAMESP\ip~789:?==5FOCGQVZkrp9:;<9?i;HMAAWTXe|r;<=>:119JKGCUZVg~t=>?04324>OHJLXYS`{w012324773@EII_\Pmtz345609o1BCOK]R^ov|56781;;7DAMESP\ip~789:3=<>4IN@FVWYj}q:;<=7>139JKGCUZVg~t=>?0^knta=NGKOY^Ra}012365=NGKOY^Ra}0123[lkwW@EII_\Pos2345733@EIA95FOCQ7?LID[<1BCN]>5:KLGV423@EH_>m4INAP[dhc89:;=h5FOBQ\ekb789:Te`~PINAP[dhc89:;=k5FOBQ\ghvXmx:;<=<;;HM@WZejxVoz<=>?_hos[LID[Vif|Rk~01235c=NGJYTo`~Pep2344433@EH_Rmbp^gr4566W`g{SDALS^antZcv89::=k5FOBQ\ghvXmx:;=_hos[LID[Vif|Rk~01215c=NGJYTo`~Pep2346433@EH_Rmbp^gr4564W`g{SDALS^antZcv89:8=k5FOBQ\ghvXmx:;<9<;;HM@WZejxVoz<=>;_hos[LID[Vif|Rk~01275c=NGJYTo`~Pep2340433@EH_Rmbp^gr4562W`g{SDALS^antZcv89:>=k5FOBQ\ghvXmx:;<;<;;HM@WZejxVoz<=>9_hos[LID[Vif|Rk~01255==NGJYTe`~;;HMO4f=NGE:Taxv?012g?LIK8Vg~t=>?00g8MJJ7Wds<=>?10g8MJJ7Wds<=>?20f8MJJ7Wds<=>?3028MJJ7Wds<=>?_hos0>OHD8i0EBB>_omw45669l1BCA?Pnnv3457XadzTEBB>_omw45669<1BCAJ\6:KLTRET9;1BC]YLS^kntZOHX^IX=85FOSGR`>OHZL[Tmcj?01214>OHZL[Tmcj?012\mhvXAFXN]Road12344b1:KLVR6Xe|r;<=>Pilrg?LIU_9Uecy>?0003?LIU_9Uecy>?00]jiuYNG[];Sca{01225f=NG[];Sb|?0122a>OHZ^:Tc>?01]jiuYNG[];Sb|?0122g>OHZ^:Tc>?003f?LIU_9Ud~=>?1^kntZOHZ^:Tc>?0036?LIU_8n0EB\X1^cm`5678;:0EB\X1^cm`5678Vcf|RG@RV3\ekb789::o6G@RV3\kw6789;n7DA]W0]lv5678Vcf|RG@RV3\kw6789;<7DA]e`fzb>OHZlkouRoad123472OHZlkouRa}012367=NG[ojhtQ`r1234ZojxVCD^hoky^mq45679:1BC^k4INQ\ghvXmx:;<=k4INQ\ghvXmx:;<k4INQ\ghvXmx:;<9k4INQ\ghvXmx:;<8k4INQ\ghvXmx:;<;:4INW3g>OH]9Ufyu>?01f8MJS7Wds<=>?1d9JKP6Xe|r;<=>>1d9JKP6Xe|r;<=>=1e9JKP6Xe|r;<=><119JKP6Xe|r;<=>Pilr7?LIR9j1BCX?Pnnv34576m2CDY?1048MUJ5z890Ec><;Hl27>Oi::1Bb>=4Io60?Lh2;2Ce:h5DEDR\LLCUI[ICU:5COFK@EIbJWEVH^_Y\F1e9OTHYDG\D_I_Q>d:NSIZEH]G^N^R<7;MRN[A@AW01G\@QKFG]2e>JWEVNMJR??a:NSIZBANV;:m6B_M^FEBZ75i2F[ARJIF^30e>JWEVNMJR?;a:NSIZBANV;>m6B_M^FEBZ71i2F[ARJIF^34e>JWEVNMJR?7a:NSIZBANV;256B_M^FEBZ4f3EZFSIHI_32b?IVJWMLMS??n;MRN[A@AW;8j7A^B_EDE[75f3EZFSIHI_36b?IVJWMLMS?;n;MRN[A@AW;k0@]CPDGD\7=g>1e9NeabXWhno<=>?119Nkqnbzkej~i\@MDL12>Kh|aoynbo}dSMNAKYneyUFcyfjrcmbvaTHELD:i6Cwos]Qavsk|5;5i6Cwos]Qavsk|585i6Cwos]Qavsk|595i6Cwos]Qavsk|5>5i6Cwos]Qavsk|5?5i6Cwos]Qavsk|5<5i6Cwos]Qavsk|5=5>6@?2:L26>H5:2D886@@R668JJT?92E?7BJLSd9L@FUXadzTCIM\129LV73IU;<1D^>L>5:MQ7G443FX??6A]529LV35>e:Mmg`Yffm:;<=Qfmq]LjfcXign;<=>>b:Mmg`Yhz9:;<<:4Otv0a>Ir|:Uba}Q@uu120>Ir|=o0Cxz;_hos[Jss<8>0Cxz:e:Mvp0YneyUDyy;>4:Mvp3c>3^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD08TVbVTWjg{Sh?010g?UUXkdzTi|>?02f8TVYdeyUei=>?059Sewmb3YkygRgbp^Rbvn763X>0]1>14:S?5;20]1:14:S?1;2W;?7k0]R?Pos23457f3XU:Sb|?012\mhvXYV;Tc>?0136?WG;87<0^L2>0?:8VD:693:5:6\N<03=1>TF484>7_O32?78VD:46<1YM1:15:PB80823[K7:3;4R@>4:0=UI52596\N<8<0?WGJ=2XJAN]>0:PBIFUXGoy`lw@fg05?WGJKZUDzh|caxMebZojxVXJAN]POwgqhdHno;m7_OBCR]Lr`tkipEe>95]ALAP[JpbzekrCcQfmq]QEHETWF|n~aovOo3g?WGJKZUjbi>?0103?WGJKZUjbi>?01]jiuYUIDIXSl`k01235a=UIDIXSl`k012265=UIDIXSl`k0122[lkwW[KFO^Qnne23447682XJAN]Pilr\VDKD[8<0^LCKIR58VDKCAZ;m7_OBDHQ2[hs89:;==5]ALFJW4Yj}q:;<=?>1:PBIAOT9Vg~t=>?00325>TFEMCX=Rczx123477682XJAIG\1^ov|5678:;:7_OBDHQ2[hs89:;?;SCN@LU6Wds<=>?4032?WGJL@Y:S`{w012314763[KFHD]>_lw{4567>8;;7_OBDHQ2[hs89:;;<=4R@OGMV7Xe|r;<=>Pilr4?WGJL@Y9j6\NMEKP6Zgil9:;Pilr\VDKCAZ8Tmcj?0122b>TFEMCX>Road123572?5]ALFJW7Yhz9:;?1008VDKCAZUba}Q]ALFJW4>TFE[ojhtQnne2345YneyUYM@\jae{\ekb789::==5]ALPfeaXign;<=?=6:PBIWcflpUjbi>?00]jiuYUIDXnmiwPaof34576991YM@\jae{\ekb78989:6\NMSgb`|Yffm:;2XJA_kndx]bja678:Uba}Q]ALPfeaXign;<==>119QEHTbimsTmcj?01612>TFE[ojhtQnne2341YneyUYM@\jae{\ekb789>:==5]ALPfeaXign;<=;=6:PBIWcflpUjbi>?04]jiuYUIDXnmiwPaof34536991YM@\jae{\ip~789::=6\NMSgb`|Yj}q:;<=?>2:PBIWcflpUfyu>?013257=UIDXnmiwPmtz3456598;0^LC]e`fz[hs89:;?<:4R@OQadb~Wds<=>?_hosb>TFE[ojhtQ`r123472?01g8VDUBDVg~t=>?00d8VDUBDVg~t=>?003e?WGTMEUfyu>?0102a>TF[LFTaxv?0120b>TF[LFTaxv?01205c=UIZOGS`{w012304cTFW:Ufyu>?0132a>TFW:Ufyu>?0102`>TFW:Ufyu>?01124>TFW:Ufyu>?01]jiueTFW=Ufyu>?013f?WGX?003f?WGX?033g?WGX?0233?WGX?0^kntf=UIV?Taxv?012g?WGX=Vg~t=>?00g8VDY2Wds<=>?10g8VDY2Wds<=>?20f8VDY2Wds<=>?3028VDY2Wds<=>?_hosg>TFW?Ufyu>?01f8VDY1Wds<=>?1d9QEZ0Xe|r;<=>>1d9QEZ0Xe|r;<=>=1e9QEZ0Xe|r;<=><119QEZ0Xe|r;<=>Pilr`?WGX?Vg~t=>?0e9QEZ1Xe|r;<=>>e:PB[2Yj}q:;<=?>e:PB[2Yj}q:;<=<>d:PB[2Yj}q:;<==>0:PB[2Yj}q:;<=Qfmqa8VDY?Whdo<=>?1d9QEZ>Xign;<=>Pilr\VDY?Whdo<=>?1b9QEZ>Xe|r;<=>k;SC\4R@];[hs89:;Sdcc:PB[TB[Meeik5]ERFlj`Yffm:;<=<;;SGP@jhbWhdo<=>?_hos[WCTLfdnSl`k01235f=UMZUba}Q]ER36?WCTz9n0^H]}0^llp5679;:0^H]}0^llp5679Vcf|R\JSs2\jjr789;:96\JSs36?WCTz;?0^H]}f49QAVtul2XN_|Pnnv3457582XN_|Pnnv3457XadzT^H]}r^llp56798?0^BCLSe9QKHETWhdo<=>?219QKHETWhdo<=>?_hos[WIJKZUjbi>?013g?WIJKZUjbi>?0003?WIJKZUjbi>?00]jiuYUGDIXSl`k01225a=UGDIXSl`k012165=UGDIXSl`k0121[lkwW[EFO^Qnne23477682XDAN]Pclr\at67898=7_ABCR]`iuYby9:;?01324>THEJYTo`~Pep2344413[EFO^Qlmq]fu5679Vcf|R\@MBQ\ghvXmx:;<0:PLIFUXadzT^BCLS008VVbTTWjg{Sh?010g?WUXkdzTi|>?02f8VVYdeyUn}=>?4e9QWZejxVoz<=>:d:PP[fkwWl{;<=8<;SVO1>Tbims=7_kndx24?Wcflp:y:6\jae{23>Tbims:~;5]e`fz62=Umhnr>o4Rdcg}ZOHJZ30^hoky^PBIa=UmhnrSl`k012365=UmhnrSl`k0123[lkwW[ojhtQnne23457682XnmiwPilr\V`gcq8i0^hoky^mq45679l1Yiljv_np3456XadzT^hoky^mq45679j1Yiljv_np34576m2XnmiwPos2344YneyUYiljv_np34576k2XnmiwPos23477b3[ojhtQ`r1236ZojxVXnmiwPos2347713[omMne9;SgeGkr>3[omOcz30?;8V``Df}6:245]egAmp94912XnjN`{<2<:?WcaKg~78374Rdd@jq:2601YikMat=4=f>TbnJd0:4?>89QacEi|5=5;6\jfEmma44Pilr\V``CggoTo`~Pep23457a3[omHb`j_omw4566:=1YikJ`nd]mkq6788Uba}Q]egFlj`Yig}:;<2:PfbWcvW`g{S_kiRds2=>Tbn\xlicz>8:PfbPt`mg~Te`~PRddVvbci|8<0^h}zlu;8V`urd}6;245]erwop97912Xnxb{<3<:?Wct}e~7?3l4Rdqvhq:329427_k|umv?0;?89Qavsk|5<5n6\jstnw82<7601Yi~{ct=5=63=UmzgxR>Pnnv3456XadzT^h}zlu]3[kis89:;=;5\CRK@W44<[JYBO^Qfmq]PGVOD[8h0_DIMUR]JJCIf3ZCLSO[\IEZa?VO@WK_XBLCJ5:QT85823Z]7=394SV>1>5823Z]7>3:4Sbnq0>Ud{}k0_cj]ALAPSW753Zdo^LCLSVP\ekb789:9m6]adSCNGVQUWhdo<=>?_hos[VhcZHGH_Z\Paof345669;1Xbi\NMBQTVZgil9:;=?o4SofQEHET_[Ujbi>?00]jiuYTfmXJAN]XR^cm`56798;>7^`kR@O@WRTXkdzTi|>?0113?VhcZHGH_Z\Pclr\at6789Uba}Q\nePBIFUPZVif|Rk~0123543<[gnYM@M\WS]`iuYby9:;=>>4SofQEHET_[Uha}Qjq1235ZojxVYeh_OBCRUQ[fkwWl{;<=?>149PjaTFEJY\^Rmbp^gr4565;91Xbi\NMBQTVZejxVoz<=>=_hos[VhcZHGH_Z\Pclr\at678;;:96]adSCNGVQUWjg{Sh?01104>Uil[KFO^Y]_bos[`w7899Te`~PSofQEHET_[Uha}Qjq12374723Zdo^LCLSVP\ghvXmx:;<9=?;RlgVDKD[^XTo`~Pep2341YneyUXbi\NMBQTVZejxVoz<=>;1078WkbUIDIX[_Qlmq]fu567=::0_cj]ALAPSWYdeyUn}=>?5^kntZUil[KFO^Y]_bos[`w789?:=l5\nePBIFUPZVcf|R]adSCNGVQU98;0_cj]ALAPSWYhz9:;?0^kntZUil[KFO^Y]_np34566981Xbi\NMBQTVZiu89::>55\nePBIFUPZVey<=>>_hos[VhcZHGH_Z\Pos23447692Yeh_OBCRUQ[jt7898946]adSCNGVQUWfx;<=5[ilglqqGXkdzTi|>?010`?QojmfMRmbp^gr4567W`g{SYgbenwwEZejxVoz<=>?1028Plkbg|~JSca{012263=SadodyyOPnnv3457XadzTXdcjotvB[kis89::=55[ilglqqDe3]cfib{{BQpf55=SadodyyLPaof34565>2^bahaztC]bja6789Uba}Q[ilglqqDXign;<=>>7:VqmAgsi:1]ON74VHGT[Q_WM:1]\Lm4VQC\mhvX^YK:96X_Asd6?SVFz{n0Z]O}r^llp5679;:0Z]O}r^llp5679Vcf|RX_Asp\jjr789;:m6XfdSCNGVQUl2\bh_OBCRUQ21m602\bh_OBCRUQ21mXkdzTi|>?0115?SocZHGH_Z\94j]`iuYby9:;=aTo`~Pep2344513_co^LCLSVP50nYdeyUn}=>?1^kntZPnl[KFO^Y]65i\ghvXmx:;<?01]jiuYQamXJAN]XR^antZcv89:;=<;4VhfQEHET_[Uha}Qjq123566<^`nYM@M\WS]`iuYby9:;=Rgbp^Tj`WGJKZ]YSnc_ds345769<1]ei\NMBQTVZejxVoz<=>=319UmaTFEJY\^Rmbp^gr4565W`g{S[gkR@O@WRTXkdzTi|>?03321>Pnl[KFO^Y]_bos[`w78998<6XfdSCNGVQUWjg{Sh?011\mhvX^`nYM@M\WS]`iuYby9:;??5228RlbUIDIX[_Qlmq]fu567=Vcf|RXfdSCNGVQUWjg{Sh?017250=QamXJAN]XR^antZcv89:=?=5YiePBIFUPZVif|Rk~0125[lkwW_co^LCLSVP\ghvXmx:;<;?>5:Tj`WGJKZ]YSnc_ds3451482\bh_OBCRUQ[fkwWl{;<=9Pilr\RlbUIDIX[_Qlmq]fu567?8;>7[gkR@O@WRTXkdzTi|>?0913?SocZHGH_Z\Pclr\at6781Uba}QYiePBIFUPZVif|Rk~012;543<^`nYM@M\WS]`iuYby9:;5>>4VhfQEHET_[Uha}Qjq123=ZojxV\bh_OBCRUQ[fkwWl{;<=7>149UmaTFEJY\^Rmbp^gr4577;91]ei\NMBQTVZejxVoz<=??_hos[SocZHGH_Z\Pclr\at6799;:96XfdSCNGVQUWjg{Sh?00304>Pnl[KFO^Y]_bos[`w788;Te`~PVhfQEHET_[Uha}Qjq122547f3_co^LCLSVP\mhvX^`nYM@M\WS325>Pnl[KFO^Y]_np34566:2\bh_OBCRUQ[jt789::=?5YiePBIFUPZVey<=>?2008RlbUIDIX[_Q`r12346723_co^LCLSVP\kw6789Uba}84W`psW@7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML0^cjVCo==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo78\j:76?1Sc1>1179[k969:?1Sc1>1389[k969W`g{?6V|t89[wqAJgmogn6Qnde2345:76k1Tmij?012?5;d?<35n6Qnde2345:26k1Tmij?012?2;b?<683:g=Ximn;<=>37?:8[dhc89:;=;5Paof3456XadzTSl`k01235==Xign;<=?>6:]bja6788Uba}QPaof3457602Ujbi>?0335?Zgil9:;>Rgbp^]bja678;;37Road123740<_hos[Zgil9:;?<64_`lg45639?1Tmcj?016\mhvXWhdo<=>;199\ekb789?::6Qnne2340YneyUTmcj?0172<>Yj}q:;<=94_np34566<2Ud~=>?0^kntZYhz9:;<<94_np34576<2Ud~=>?1^kntZYhz9:;=<;4aeffv`=ffm:;<=Q`r123474Pos2345YneyUjbi>?01]lv5678890ocz8;bmvjqcu:2ix?6jif89gmwcd|`ee?6h|bc9ewgYig}:;<=?l;gqa[kis89:;Sdc_gqa[kis89:;=95foco7?lie{01eMIaztMG35==iIMe~xAK?_hos[kGCg|~GI=?>0:lB@jssDL:Tc>?0105?kGCg|~GI=Q`r1234ZojxVdJHb{{LD2\kw6789;27cOKotvOA47?3gKOcxzCE0]jiuYiIMe~xAK>129mEV1?0001?kGTg|~Tbbz?013\mhvXfHYdyyQaou23447c3gKXcxzPos2345473gKXcxzPos2345YneyUeM^azt^mq45679>1eMb{{OD37?kGh}}ENSdc_oClqqIB9<1eNH\]9:lAAWTXNZH27cLJRS]JKGbhC\HI@SK]Ma:lGPDELW@EIi6`KT@AH[dhc89:;>?5aDUC@OZgil9:;?179m@lhKMm1eHd`CE^mq4567:91eHd`CE^mq4567W`g{ScJfnMG\kw6789;=7cJfnNG26>hCagENSdc_oFjjJC6?2dOcxz@Eg9m@jssGLUjbi>?0107?kBh}}ENSl`k0123[lkwWgNdyyAJ_`lg45679o1eHb{{OD]mkq67888?7cJ`uuMF[kis89::Sdc_oFlqqIBWge<=>>1d9m@jssGLUd~=>?0308jAir|FOTc>?01]jiuYiLfCHQ`r12344395aLEQ;?kJC[VLXN55aLEQ\MJD6<2dGH^QFOC]mHAUXAFHdyyl4nMFP[LIEg|~h7cBKS^cm`56788o0bAJ\_`lg4567W`g{ScBKS^cm`56788i0bAJ\_`lg45669l1e@I]Paof3457XadzTbAJ\_`lg45669j1e@I]Pnnv34576m2dGH^Qaou2344YneyUe@I]Pnnv34576j2dGH^Q`r12344ehKLZUd~=>?10a8jIBTWfx;<=?Pilr\jIBTWfx;<=?>4:lOS@ehH~lxgmt>>1:lLr`tkip:Tmcj?0121<>hH~lxgmt>Paof3456XadzTbBxjrmcz4Zgil9:;<<74nNtfvig~9>1e^LCCWD58jWGJ]^O?7c\NSb9mVDUXign;<=>>e:lQEVYffm:;<=Qfmq]mVDUXign;<=>>e:lQEVYneyUe^L]>b:lQEVYhz9:;<?00g8jPQBWhdo<=>?_hos[kSPMVkeh=>?00g8jPQBW`g{Sc[XE068jSKF02d]ALQFOC37?kPJIVCDNR`YM@]JKGir|k1eZ@OPIN@lqqe?01]bja6789;<7}ac_bnh=>vhdViggh`7;qmo[vekz11{caQ|sdv;?uiu|Viggl5osv\gimbf01{czPd`vb<>vhz}Ud|l84pnpw[tdh40>0=7)=75;14b>{Ti;084i4=288271>>i10935l6521f05>pA:lo1<7?51;2xWd5=;1n1>?75126;=d>=:9n8=6*<5382fa=#:;k189l4b25f>5<3j38;6:7tL2:1>4}#:;k189o4}M1;7?7|,=>>6;5r$265>61b3S386>u6:03956un:02951>i3:m0;66g;1783>>i3980;66a;0983>>o4kl0;66a;0783>>i4l?0;66a>o38l0;66g>i4jo0;66a>i>i3:17d=ja;29?j27?3:17d:=e;29?j26?3:17b=ie;29?j5e?3:17b=mb;29?l5bk3:17d7k:188m6b42900e4k50;9l05`=831bi54?::m0``<722e8ii4?::m766<722e8i<4?::m0a6<722c??>4?::m0ga<722e9884?:%01g?43<2d9>o4?;:m106<72-89o7<;4:l16g<632e98h4?:%01g?43<2d9>o4=;:m10a<72-89o7<;4:l16g<432e98n4?:%01g?43<2d9>o4;;:m10g<72-89o7<;4:l16g<232e98l4?:%01g?43<2d9>o49;:m10<<72-89o7<;4:l16g<032e9854?:%01g?43<2d9>o47;:m102<72-89o7<;4:l16g<>32e98;4?:%01g?43<2d9>o4n;:m107<72-89o7<;4:l16g7>5$30`>27o1n3:1(?o4<;:k5g?6=,;8h6:?4n30a>1=h5:k0>76g9a;29 74d2>;0b?n481:l16g<032c=47>5$30`>27o1>3:1(?o4m;:k50?6=,;8h6:?4n30a>f=h5:k0o76g8a;29 74d2>;0b?n481:l16g5$30`>274;h54>5<#:;i1;<5a23`954=<1<7*=2b845>h5:k0:>65f7483>!45k3=:7c<=b;30?>o0<3:1(?07d9<:18'67e=?81e>?l51498m3b=83.9>n481:l16g<6>21b??o50;&16f<4:01e>?l50:9j77>=83.9>n4<289m67d=921b??950;&16f<4:01e>?l52:9a7=2=83;1<7>t$265>6363A93<6a=2983>>{e;hl1<7?l:2;90`}K;181=vF>bb9~H6>42;qe8985369'013=>2w/?985a59Y=6<6sj0vV><;:0ya>xo>13:17b?83;29?j55:3:17b==d;29?l1c2900e9>j:188k4342900e9==:188k7372900en45$30`>6eo403:1(?850;&16f<4k2d9>o4;;:k01?6=,;8h6>m4n30a>0=1<7*=2b80g>h5:k0=76g<3;29 74d2:i0b?n45$30`>6eo5n3:1(?o4l;:k1`?6=,;8h6>m4n30a>a=h5:k0n76g;4;29 74d2:i0b?3290:6=4?{%172?5292B84=5`23:94?=zj=:96=4::385I5?:3;pD?97?4}%172?7d<2cjh7>5;n001?6=3`k36=44i`;94?=n?k0;66l8:186>5<7s-9?:7kj;I1;4>J40;0:w)?=c;676>{n110;66gn0;29?l?32900elj50;9l663=831vn:m50;094?6|,:>=6<o69l0;66a=0483>>{ti10;6?uQa99>3??33ty52z\4f>;0k3;:i6s|1gd94?4|5>0j<638c;031>{ti00;6?uQa89>3???3ty9<=4?:3y>3?44=2T9?85rs322>5<5sWko7095ae9~yg27=3:197=56zN0<7<6sA;io6sC39195~"3<<0:7p*<4782gc=nim0;66a=3483>>of03:17do6:188md6=831i;7>55;294~"4n4;439~m<>=831bm=4?::k:0?6=3`ko6=44o316>5<51;294~"45<7s-9?:7?=d:J0<5=n98o1<75`21794?=z{h21<7<2wxm44?:3y]e<=:ih0:=h5rs0de>5<5s4=15552a`8140=z{;:;6=4={<1;5?76k27<6l>4}r035?6=:r7<6?=:;_001>{t:981<75;h0f>5<>o493:17d==:188m65=831b?94?::k01?6=3`9=6=44i2:94?=n;h0;66g5<7s-9?:7;i;I1;4>o69o0;66g>2183>>o6:80;66g>2383>>o6::0;66g>2583>>o6:<0;66g>2783>>i58<0;66sm13;94??=83:p(>:9:4d8L6>73`;:j7>5;h314?6=3`;9=7>5;h316?6=3`;9?7>5;h310?6=3`;997>5;h312?6=3f8;97>5;|`26d<72:0;6=u+35490c=O;1:0e::188yv75?3:1>vP>269>57g=:9?0q~:;:181[2334;947?>f:p00<72;qU885213:95767}Y:l16=?651308yv4a2909wS5<5sW9:70?=8;311>{t;;0;6?uQ339>57>=9;<0q~=<:181[5434;957?>f:p71<72;qU?95213;95766=4={_16?87513;9=6s|3783>7}Y;?16=?751308yv5?2909wS=7;<31=?75;2wx?l4?:3y]7d=:9;31=?:4}r1a>5<5sW9i70?=9;311>{t;m0;6?uQ3e9>57?=9;<0q~?=8;296~;6:109<85213c954`52z?26<<58<16=?o51328yxd6>>0;6n4<:dy'710=9?=0c<;<:188m4302900e><=:188m7c62900e<;6:188m43e2900e<;k:188m43a2900e<8>:188m4042900e<8::188m63>2900n<;;:18:>5<7s-9?:7<>1:J0<5=n98l1<75f13294?=n9;;1<75f13094?=n9;91<75f13694?=n9;?1<75f13494?=h:9?1<75rb076>5<3290;w)=;6;03f>N4091b=5<7s-9?:75<5sW;>?63>578140=z{8?<6=4={_363>;6==0:>=5rs201>5<5sW99>63>55825c=z{;o:6=4={_0f5>;6==0:><5rs07:>5<5sW;>563>558266=z{8?i6=4={_36f>;6==0:>?5rs07g>5<5sW;>h63>558261=z{8?m6=4={_36b>;6==0:>;5rs042>5<5sW;==63>558260=z{8<86=4={_357>;6=<0:>=5rs046>5<5sW;=963>54825c=z{:?26=4={_16=>;6=<0:><5rs077>5<5s4;>87578265=zuk95d;19b~"41<75f37494?=n;?21<75f37c94?=n;?i1<75f37g94?=n;>:1<75f36094?=n;>>1<75f36494?=e;>k1<7750;2x 6212;;:7E=70:k25c<722c:>=4?::k264<722c:>?4?::k266<722c:>94?::k260<722c:>;4?::m140<722wi?:l50;794?6|,:>=6?>j;I1;4>o69o0;66g>2183>>o6:80;66g>2383>>i58<0;66sm36a94?5=83:p(>:9:32;?M5?82c:=k4?::k265<722e9<84?::p72?=838pR>96;<14g?47=2wx?;>50;0xZ6073498=;<14e?76n2wx?;:50;0xZ60334989;<14e?75;2wx?;650;0xZ60?3498n;<14e?75<2wx?;m50;0xZ60d3498j;<14e?75=2wx?:>50;0xZ617349f:p724=838pR>9=;<14f?7592wx?::50;0xZ61334999;<14f?75:2wx?:o50;0x961f2;:>70=8c;32b>{t;>h1<77623496=4?{%172?3>3A93<6g>1g83>>o6:90;66g>2083>>o6:;0;66a=0483>>{e:<81<7=50;2x 6212<;0D>6?;h32b?6=3`;9<7>5;n031?6=3th9994?:583>5}#;=<19>5G3928m47a2900e<::188yg4213:1?7>50z&003<5811C?5>4i03e>5<5<j7>55;294~"4;6F<819j54`=831b=?>50;9j577=831b=?<50;9l653=831vn>97:180>5<7s-9?:7:i;I1;4>o69o0;66g>2183>>i58<0;66sm32g94?5=83:p(>:9:5d8L6>73`;:j7>5;h314?6=3f8;97>5;|`1a0<72=0;6=u+354965d<@:2;7d?>f;29?l7583:17d?=1;29?j47=3:17pl<2283>0<729q/?985599K7=65<5<6=44}c340?6=;3:1N4091b==;50;9~f16329086=4?{%172?2a3A93<6g>1g83>>o6:90;66a=0483>>{e;6?;h32b?6=3`;9<7>5;n031?6=3th89h4?:483>5}#;=<19;5G3928m47a2900e<5<2290;w)=;6;7;?M5?82c:=k4?::k265<722c:><4?::k267<722e9<84?::a733=83?1<7>t$265>0?<@:2;7d?>f;29?l7583:17d?=1;29?l75:3:17b>0;684?:1y'710==11C?5>4i03e>5<5<5<55;294~"446F<819j54`=831b=?>50;9j577=831b=?<50;9l653=831vn>8m:186>5<7s-9?:7;8;I1;4>o69o0;66g>2183>>o6:80;66g>2383>>i58<0;66sm37f94?3=83:p(>:9:4:8L6>73`;:j7>5;h314?6=3`;9=7>5;h316?6=3f8;97>5;|`02c<72<0;6=u+354912=O;1:0e:188m4452900c?>::188yg5093:197>50z&003<202B84=5f10d94?=n9;:1<75f13394?=n9;81<75`21794?=zj:=86=4::183!53>3?<7E=70:k25c<722c:>=4?::k264<722c:>?4?::m140<722wi?:;50;794?6|,:>=6894H2:3?l76n3:17d?=0;29?l7593:17d?=2;29?j47=3:17pl<7683>0<729q/?985579K7=65<5<6=44}c107?6==3:1N4091b==;50;9~f652290>6=4?{%172?303A93<6g>1g83>>o6:90;66g>2083>>o6:;0;66a=0483>>{e;:=1<7;50;2x 6212<<0D>6?;h32b?6=3`;9<7>5;h315?6=3`;9>7>5;n031?6=3th8?44?:483>5}#;=<1945G3928m47a2900e<5<2290;w)=;6;7;?M5?82c:=k4?::k265<722c:><4?::k267<722e9<84?::a6`4=83?1<7>t$265>0?<@:2;7d?>f;29?l7583:17d?=1;29?l75:3:17b4i03e>5<5<5<m7>55;294~"446F<819j54`=831b=?>50;9j577=831b=?<50;9l653=831vn<;l:186>5<7s-9?:7;7;I1;4>o69o0;66g>2183>>o6:80;66g>2383>>i58<0;66sm14g94?3=83:p(>:9:458L6>73`;:j7>5;h314?6=3`;9=7>5;h316?6=3f8;97>5;|`225<72<0;6=u+35491==O;1:0e:188m4452900c?>::188yg71:3:197>50z&003<2?2B84=5f10d94?=n9;:1<75f13394?=n9;81<75`21794?=zj83?<7E=70:k25c<722c:>=4?::k264<722c:>?4?::m140<722wi=;850;794?6|,:>=6884H2:3?l76n3:17d?=0;29?l7593:17d?=2;29?j47=3:17pl=5783>6<729q/?9854g9K7=65<6=44}c06N4091b==;50;9~f627290?6=4?{%172?47j2B84=5f10d94?=n9;:1<75f13394?=h:9?1<75rb20e>5<4290;w)=;6;6e?M5?82c:=k4?::k265<722e9<84?::a714=83>1<7>t$265>76e3A93<6g>1g83>>o6:90;66g>2083>>i58<0;66sm32394?5=83:p(>:9:5d8L6>73`;:j7>5;h314?6=3f8;97>5;|`001<72:0;6=u+354965><@:2;7d?>f;29?l7583:17b4?:1y'710==91C?5>4i03e>5<5<53;294~"450;9l653=831vn?k?:180>5<7s-9?:7;?;I1;4>o69o0;66g>2183>>i58<0;66sm14:94?5=83:p(>:9:5d8L6>73`;:j7>5;h314?6=3f8;97>5;|q:=?6=;rT2563;038b<>;38<0j46s|16194?4|V8=870?84;031>{t;;81<7=t^201?871?399>63<228140=z{:8o6=4={_11`>;4;l09<85rs6f94?5|V>n019>::`;8916328;m7p};0d83>7}Y<9o019>=:6`8yv72;3:1>vP>529>531=9<90q~:<2;297~X3;;16?8h510d8941328;m7p}=5183>6}Y:<:01?;6:326?852n3;9<6s|1g494?2|V8l=70;5ll0:>=5214:957652z\1`d=::l?1>=;4}r313?6=:rT:>:5213`957157>52z?222<6=016=8o52178yv72j3:1>v3>66821g=:9=;4}r36`?6=:r7:::4>5e9>50c=:9?0q~?:f;296~;6>>0:9k52172965352z?222<6>816=;<52178yv71;3:1>v3>668226=:9?>1>=;4}r351?6=:r7:::4>649>530=:9?0q~52z?1a0<6:916>ik52178yv4cn3:1>v3=e48264=::l:1>=;4}r032?6=052=9;:01?jl:003?87203;:j6s|32094?4|5:986?>:;<174?76n2wx?>:50;0x96522;:>70=;0;314>{t;:<1<7762349?<7?=1:p76>=838p1>=6:326?853:3;:j6s|32c94?4|5:9i6?>:;<176?7582wx?>m50;0x965c2;:>70=;2;315>{t;;o1<7762349?87?>f:p766=838p1>=>:326?853<3;9<6s|41094?4|5=:96?=:;<631?gc3ty?<84?:5y>053=::?01?;9:003?84203;:j63=e1825c=z{;o:6=4<{<353?4b9279i?4=049>6ac=98l0q~n7>52z?01c<6:816?8m52178yv52l3:1>v3<5g8267=:;=;4}r363?6=:r7:::4>569>50>=:9?0q~<:5;296~;5=00:=k522449653;7>52z?11<<6:916>8652178yv5013:1>v3<7e803<=:;>21=?>4}r637?6=:r7?;n:180852n38;963<79825c=:;:o1=77`=9;:0q~=;1;296~;4<;09<852323957652z?07`<6:916?9:52178yv5183:1>v3<7e8025=:;?;1>=;4}r067?6=:r79994=049>60>=9;:0q~=92;296~;4?m08:?52371965352z?03a<4>=16?;;52178yv51>3:1>v3<7e8023=:;?=1>=;4}r1573?=:9?0q~=9a;296~;4?m08:l5237`965352z?03a<4?=16?:;52178yv5213:1>v3>66801<=:;>21>=;4}r142?6=;r78;i4<779>721=:9?01>j0;6>u236f973e<5::;<105?76n2wx?;k50;0x961c2:{t;>:1<7617349<=79k:251?850;38;96s|24394?5|5;?96?>:;<340?7582799;4>1g9~w6b=83lpR>j4=00a>6b<5;:<6<<>;<066?758279994>1g9>775=9;:01?k=:001?84b<3;9>63>5`8267=:944534;=<7?=2:?227<6:;16=;:51308940128897)==6;1`?k55=3:0q~=m:18e[5e34;9n7=m;<033?75:2799?4>1g9>602=9;:01><<:001?84b:3;9=63=e58264=:94=07`>44734;>i7?>f:?225<6:816=;<513389403288:70?96;315>"4:?08o6`<2482?xu4i3:1jvP219>602=9;;01><<:03e?852k3;9<63=e38265=::l>1=?>4=07b>47a34;>o7?=1:?21`<6:816=;>51328940528;m70?94;314>;6>?0:>=5+33497f=i;;?1>6s|3983>`}Y;116=?l5399>651=98l01><<:002?852k3;:j63=e3825c=::l>1=44634;>o7?>f:?21`<6:916=;>510d89405288;70?94;32b>;6>?0:=k5+33497f=i;;?1?6s|3783>6}Y;?16=?l5379>70c=9;80(><9:2a8j6422=1v>;50;1xZ63<588i6>;4=27f>4463-99:7=l;o111?3"4:?08o6`<2485?xu4;3:1?vP<3:?26g<4;2789h4>1g9'770=;j1e??;57:p77<72lqU??5213`977=:;?;1=??4=240>447349=97?=1:?022<6:916?;751328960e28;m70=9d;316>;4>o0:>?523639574<5:=86<<=;<141?75:278;:4>239'770=;j1e??;58:p74<72lqU?<5213`974=:;?;1=?>4=240>47a349=97?=2:?022<6:;16?;751308960e288970=9d;314>;4>o0:=k523639577<5:=86<<>;<141?759278;:4>209'770=;j1e??;59:p75<72lqU?=5213`975=:;?;1=?<4=240>445349=97?=0:?022<69o16?;751338960e288:70=9d;315>;4>o0:><523639576<5:=86219'770=;j1e??;5a:p6c<72lqU>k5213`96c=:;?;1=446349=97?>f:?022<6:816?;7510d8960e288;70=9d;32b>;4>o0:>=52363954`<5:=86<1g9'770=;j1e??;5b:p6`<721qU>h5213`96`=:;:91=?<4=216>4453498;7?=2:?07<<6:816?>l51338965c288;7)==6;1`?k55=3i0q~209>761=9;;01>=6:003?854j3;9<63<3e825c=#;;<1?n5a3379`>{t<<0;65uQ449>57d=<<16?>=510d89652288;70=<7;314>;4;00:=k5232`9574<5:9o6<<=;%112?5d3g9997k4}r67>5?70?=b;67?854;3;9<63<34825c=:;:=1=4453498n7?>f:?07a<6:81/??853b9m773=n2wx>=>50;0x96>32;8370:?2;cg?x{e<=91<7=;:23912}K;181=vF>bb9~H6>42;qe8985439'013=>2w/?98525d8^<5=:r31j7sU33696~g=m3wb544?::k051<722e8<<4?::m75`<722c8ni4?::m754<722e?<54?::m0`3<722c8=?4?::k4`?6=3f9mi7>5;h1ff?6=3`>8>7>5;h621?6=3`8><7>5;n63b?6=3`8om7>5;n0fb?6=3f9mm7>5;n071?6=,;8h6?:;;o01f?6<3f8??7>5$30`>7233g89n7?4;n07a?6=,;8h6?:;;o01f?4<3f8?h7>5$30`>7233g89n7=4;n07g?6=,;8h6?:;;o01f?2<3f8?n7>5$30`>7233g89n7;4;n07e?6=,;8h6?:;;o01f?0<3f8?57>5$30`>7233g89n794;n07<3f8?;7>5$30`>7233g89n774;n072?6=,;8h6?:;;o01f?g<3f8?>7>5$30`>7233g89n7l4;h1b>5<#:;i1?45a23`94>=n;10;6)<=c;1:?k45j3;07d=9:18'67e=;01e>?l52:9j70<72-89o7=6;o01f?5<3`9?6=4+23a97<=i:;h1865f3283>!45k3927c<=b;78?l55290/>?m5389m67d=>21b?<4?:%01g?5>3g89n794;h13>5<#:;i1?45a23`9<>=n:o0;6)<=c;1:?k45j3307d?l5a:9j6a<72-89o7=6;o01f?d<3`>>6=4+23a97<=i:;h1o65f4583>!45k3927c<=b;f8?l24290/>?m5389m67d=m21b8?4?:%01g?5>3g89n7h4;h62>5<#:;i1?45a23`955=h5:k0:=65f3g83>!45k3927c<=b;31?>o4m3:1(??l51598f7c1290:<7?511yO7=4=9rB:nn5rL2:0>4}#<=?196s+354954?<750;9l64d=831d>??50;9l675=831d>?;50;9l670=831ij=4?:282>6}K;181=vF>bb9~ 6212ll0elj50;9l663=831bm54?::`4>5<4290;w)=;6;gg?M5?82F84?4>{%31g?23:2wb594?::kb`?6=3f8897>5;|qbv38:316?[44=2wx>=>50;0xZdb<5>0jh6srbgf94?5=939p@>6=:0yK5ge5<6=44i`:94?=e?3:1?7>50z&003>{ti10;6?uQa99>3??33ty:jk4?:3y>3?44=2T9?85rs323>5<5sWko7095ae9~yg77j3:1?7?53zN0<7<6sA;io6s+3549ac=nim0;66a=3483>>of03:17o950;194?6|,:>=6hj4H2:3?I5?:3;p(<<3:17dok:188k7522900q~o7:181[g?34=1595rs0de>5<5s4=1>>;4^316?xu5890;6?uQae9>3?gc3twijn4?:984>`}#;=<1jn5f1c794?=n9k31<75f11`94?=nn90;66gid;29?l7e?3:17d?ma;29?j`62900nkl50;794?6|,:>=6894H2:3?l76n3:17d?=0;29?l7593:17d?=2;29?j47=3:17pli3;297?6=8r.88;4:0:J0<5=n98l1<75f13294?=h:9?1<75rbg794?5=83:p(>:9:5d8L6>73`;:j7>5;h314?6=3f8;97>5;|`e3?6==3:1N4091b==;50;9~fc>=8391<7>t$265>07<@:2;7d?>f;29?l7583:17b50z&003<3n2B84=5f10d94?=n9;:1<75`21794?=zjok1<7;50;2x 6212;:n7E=70:k25c<722c:>=4?::k264<722c:>?4?::m140<722wx=o;50;1xZ4d234li6<4473ty:n44?:2y]5g?<5oh1=??4=g5957453z\24g=:nk0:=k52f28265=z{o:1<7;t^g289cd=9;801k=510d89c3=9;:01k651328yv`c2908wShk;47a34l3652z\2fd=:n>0:><5rsg394?4|Vo;01ko52178yv`52909w0hm:326?8`f28;m7p}i3;296~;a;38;963ia;314>{tn=0;6?u2f48140=:nh0:><5rsg494?4|5o31>=;4=gc957476234l26n:18:>35;h3a3?6=3`;i97>5;h3a2?6=3`;i47>5;h33f?6=3`lo6=44ogg94?=e9931<7:50;2x 6212<>0D>6?;h32b?6=3`;9<7>5;h315?6=3f8;97>5;|`245<72?0;6=u+35491f=O;1:0e:188m4452900e<<<:188k7622900qo??2;297?6=8r.88;4:0:J0<5=n98l1<75f13294?=h:9?1<75rb027>5<4290;w)=;6;73?M5?82c:=k4?::k265<722e9<84?::a550=83>1<7>t$265>02<@:2;7d?>f;29?l7583:17d?=1;29?j47=3:17pl>0983>3<729q/?98521d8L6>73`;:j7>5;h314?6=3`;9=7>5;h316?6=3`;9?7>5;n031?6=3tym<7>54z\e4>;6800:>=521129576<58:?644634;;:7?=1:p5g1=839pR1g9~w4d22908wS?m5:?245<6:;16==851328yv7e>3:1>vP>b79>556=9;90q~?m8;296~X6j116==>51338yv77j3:1>vP>0c9>554=9;:0q~hk:187[`c34;;>7?>f:?241<6:916==8510d8yv`b2909wShj;<3355?=:9?01<>7:03e?xu6890;6?u21129653<58:36<0283>7}:99>1>=;4=02;>4453ty:<84?:3y>550=:9?01<>7:000?x{e98<1<7655;ax 62128;=7d?m5;29?l7e?3:17d??b;29?l`72900e=68l4H2:3?l76n3:17d?=0;29?l7593:17d?=2;29?l75;3:17b4i03e>5<5<5<6=44}c324?6=;3:1N4091b==;50;9~f475290=6=4?{%172?3d3A93<6g>1g83>>o6:90;66g>2083>>o6:;0;66g>2283>>i58<0;66sm10694?3=83:p(>:9:32f?M5?82c:=k4?::k265<722c:><4?::k267<722e9<84?::p5g3=83>pR209>544=9;90q~?m7;297~X6j>16=<;51338947528897p}>0c83>1}Y99h0113825c=z{o:1<7;t^g28947228;m70??e;316>;6990:=k52100957753z\2f<=:98?1=?=4=02f>4443tymh7>53z\e`>;68l0:=k52102957652z\2fd=:9881=?>4}r33g?6=:rT:52z?250<58<16=<:510d8yv77m3:1>v3>0d8140=:98>1=?>4}r33b?6=:r7:==4=049>542=9;;0q~?>1;296~;69;09<85210695745}#;=<1985G3928m47a2900e<::188yg46i3:187>50z&003<2<2B84=5f10d94?=n9;:1<75f13394?=h:9?1<75rb33`>5<3290;w)=;6;70?M5?82c:=k4?::k265<722c:><4?::m140<722wi>=68:4H2:3?l76n3:17d?=0;29?l7593:17b4i03e>5<5<6=44}c016?6=<3:1N4091b=5<7s-9?:7;;;I1;4>o69o0;66g>2183>>o6:80;66a=0483>>{e:;=1<7=50;2x 6212<:0D>6?;h32b?6=3`;9<7>5;n031?6=3tym<7>5cz?e4?44=27mo7h?;<33e?`734;::7h?;<02209>64e=9;:01??j:03e?84583;:j63=238264=::;>1=47a3tymh7>5cz?e`?44=27mo7hk;<33e?`c34;::7hk;<02219>64e=98l01??j:002?84583;9<63=238265=::;>1=?>4=304>4473ty:55d=::?01km511`8946f28:i70?>6;33f>;5910:=k5220c954`<5;;h6<<>;<02a?758279>=4>209>674=98l01?<;:002?xu6j<0;69uQ1c789ce=9k?01<>n:0`6?876>3;i96s|1c494?4|V8h=70??a;3a2>{t9k=1<7:t^0`4?8`d28h<70??a;3a3>;69?0:n:5rs0`;>5<5sW;i463>0`82f==z{8h26=4;{_3a=>;ak3;i563>0`82f<=:98<1=o74}r3ae?6=;rT:nl52fb82fd=:98<1=oo4}rca>5<3sWki70h?:`:89cb=i116==l5a99~w7702909wS<>7:?15=<58<1v??6:181[461279=l4=049~w77e2909wS<>b:?15f<58<1v??k:181[46l279=h4=049~w77a2909wS<>f:?165<58<1v?<>:181[459279>?4=049~w7442909wS<=3:?161<58<1v?<9:181[45>279>:4=049~wc7=838p1k>5ae9>bfbam:`f8947128:h7ps|a983>7}Yi116=<65ac9~w7702909wS<>7:?25=<59>1v??6:181[46127:=54=189~w77e2909wS<>b:?25=<59k1v??k:181[46l27:=54=1e9~w77a2909wS<>f:?25=<59o1v?<>:181[45927:=54=209~w7442909wS<=3:?25=<5::1v?<::181[45=27:=54=249~w7412909wS<=6:?25=<5:?1vb49~w4d12909wS?m6:?25=<6j?1vb69~w4d?2909wS?m8:?25=<6j11vb89~w4df2909wS?ma:?25=<6jh1vqo<>2;291?4==rF84?4>{I3ag>{K;191mv*;4486?!23<39i<6*;3b8b`>"3;l09?85+42c9e==i<:<1=6*;3c8b=>h3;>0:7):;0;11f>h3;10;7p*<4782`d=ni10;66gn9;29?lgc2900c?=::188m64e2900n:4?:481>0}K;181=vF>bb9~ 62128i87dok:188k7522900el650;9je<<722cj<7>5;c594?3=83:p(>:9:dg8L6>73E93>7?t$00`>1253tc247>5;hc3>5<1<75fae83>>i5;<0;66sm39394?7=83:p(>:9:03g?M5?82e:=n4?::pe=<72;qUm5527;;7?xuf13:1>vPn9:?4><>52z?0<4<69j16;7o?;|q145<72;q6;7<<5:\170=z{;::6=4={_cg?81=im1vqo=n7;297?6=8r.88;4=089K7=65<6=44}rc;>5<5sWk37095a99~wd?=838pRl74=2c4>4473ty9?84?:3y]663<5>09?85rs20a>5<4sW99n638:`f896g028;m7p}7}:?3k270=n7;031>{zj;<<6=4::386I5?:3;pD?97;4$567>6d73->8o7ok;%60a?44=2.??l4n8:l773<63->8n7o6;o603?7<,=>;6>5}#;=<1ih5G3928H6>528q/=?m54508yl??2900el>50;9j=1<722cjh7>5;n001?6=3th84<4?:083>5}#;=<1=5<5sWk27095999~w4`a2909w0=71;32g>;02h:0q~7S<<5:p657=838pRlj4=68b`>{zj:k<6=4<:183!53>38;56F<819j54`=831b=?>50;9l653=831vl650;0xZd><5>0j46s|a883>7}Yi016?l951328yv44=3:1>vP=349>3?44=2wx??l50;1xZ64e34=1mi523`5954`52z?4>d?<5:k<6?>:;|a3c<72:0:6>uC39095~N6jj1v@>6<:0y'013==2w/?9851da8mdb=831d>>;50;9je=<722h<6=4<:183!53>3oo7E=70:k:0?6=3`ko6=44o316>5<528qC=om4}M1;7?7|,=>>685r$265>4cc3`ko6=44o316>5<2b8707=za0>1<75fae83>>i5;<0;66s|a983>7}Yi116;77;;|q2bc<72;q6;7<<5:\170=z{;:;6=4={_cg?81=im1vqo<74;297?7=;rF84?4>{I3ag>{K;191=v*;4486?x"4>i5;<0;66gn8;29?g1=8391<7>t$265>`b<@:2;7A=72;3x 44d2=>97pg64;29?lgc2900c?=::188yvg?2909wSo7;<59=1=z{8lm6=4={<596637p}=0183>7}Yim16;7ok;|a60d=8391=7=tL2:1>4}O9ki0qA=73;3x 1222<1v(>:9:0ge?lgc2900c?=::188md>=831i;7>53;294~"4n4;439~m<2=831bmi4?::m170<722wxm54?:3y]e==:?33?7p}>fg83>7}:?38896P=349~w7672909wSok;<59ea=zuk9:=7>53;397~J40;0:wE?mc:O7=5=9r.?884:;|&003<6n91bmi4?::m170<722cj47>5;c594?5=83:p(>:9:df8L6>73E93>7?t$00`>1253tc287>5;hcg>5<6=44}rc;>5<5sWk37095959~w4`a2909w0952278Z7523ty9<=4?:3y]ea=:?3ko7psm3e494?5=:3>p@>6=:0yK5ge0=z,:>=65<6=44i`:94?=e?3:1?7>50z&003>ofl3:17b<<5;29?xdfk3:1>7>50z&003<6:m1C?5>4i03f>5<6=44}rc;>5<5sWk370ol:03f?xu6no0;6?u27;;7?8gd2;:>7p}=0183>7}:?38896P=349~w7662909wSok;<59ea=zuk83=7>58;19e~"4<1<75f26:94?=n:l21<75f26094?=n:>k1<75m29294?3=83:p(>:9:4;8L6>73`;:j7>5;h314?6=3`;9=7>5;h316?6=3f8;97>5;|`13`<72=0;6=u+354911=O;1:0e:188k7622900qo<8f;297?6=8r.88;4=099K7=65<6=44}r04g?6=:rT9;n5226d965352z\1a2=::1:1=?<4}r0;6?6=:rT94?52292954`52z\133=::1:1=?>4}r0452z\1a==::>o1=??4}r046?6=:rT9;?5226g957652z\13d=::>o1=62`=98l0q~<8e;296~;5?l09<85226d9576a}#;=<1>5j4o3:6>5<5<5<5<5<3?;7E=70:k25c<722c:>=4?::m140<722wi>5950;194?6|,:>=6?>7;I1;4>o69o0;66g>2183>>i58<0;66sm29:94?5=83:p(>:9:5d8L6>73`;:j7>5;h314?6=3f8;97>5;|`1::188yg4?j3:1:7>50z&003<58o1C?5>4i03e>5<5<5<6=44}r0;1?6=:rT9485229`965352z\1a2=::121=:84=3:`>4473ty9i44?:3y]6`?<5;2i6<ho4=3:a>4463ty9ii4?:3y]6`b<5;2i6<<=;|q1a=<72;qU>h64=3:b>47a3ty9;?4?:3y]624<5;2j6<5m5217897>0288;7p}>fg83>7}::1=1>=;4=3:;>4473ty9<=4?:3y>6=>=:9?01?6m:03e?xu5000;6?u229c9653<5;2i6<<<;|a630=83i1;7?={%172?41>2e99n4?::k1a2<722c94?4?::k133<722c9;54?::k1a<<722c9il4?::k1ag<722c9in4?::k1a=<722c9;?4?::k13d<722h9:84?:583>5}#;=<1995G3928m47a2900e<::188yg42m3:1?7>50z&003<5811C?5>4i03e>5<5<j7>53;294~"450;9l653=831vn?8>:180>5<7s-9?:7;?;I1;4>o69o0;66g>2183>>i58<0;66sm27094?5=83:p(>:9:32;?M5?82c:=k4?::k265<722e9<84?::a635=8391<7>t$265>1`<@:2;7d?>f;29?l7583:17b=0;6:4?:1y'710=:8:0D>6?;h32b?6=3`;9<7>5;h315?6=3`;9>7>5;h317?6=3`;987>5;n031?6=3ty99n4?:3y]60e<5;:;|q1a2<72;qU>h94=37e>47a3ty94?4?:2y]6=4<5;<>6:850;0xZ711348=97?=0:p62>=838pR?97;<051?7592wx>h750;0xZ7c>348=87?=0:p6`g=838pR?kn;<050?7592wx>hl50;0xZ7ce348=87?=2:p6`e=838pR?kl;<050?75;2wx>h650;0xZ7c?348=?7?>f:p624=839pR?9=;<055?76n279:?4>1g9~w71f2909wS<8a:?124<6:91v?;k:181841=38;963=5d8265=z{8lm6=4={<06a?47=2799k4>219~w7672909w0<:f;031>;5>=0:=k5rs343>5<5s48==7>:181841:38;963=628265=z{;:96=4={<057?47=279:94>259~yg4>;3:187=56z&003<51:1d>9<50;9j6=2=831b?:4?::k1`?6=3k82>7>53;294~"450;9l653=831vn?7?:180>5<7s-9?:7;?;I1;4>o69o0;66g>2183>>i58<0;66sm28394?5=83:p(>:9:32;?M5?82c:=k4?::k265<722e9<84?::p614=838pR?:=;<0:5?47=2wx>5:50;1xZ7>33482>7?>f:?1=5<69o1v>950;0xZ61<5;396<1g9~w7>a2909w0<60;031>;5180:>=5r}c0:=?6=<391:v*<4781=<=h:=<1<75f29694?=n;l0;66g=e;29?g4>03:1?7>50z&003<3n2B84=5f10d94?=n9;:1<75`21794?=zj;3=6=4<:183!53>3?;7E=70:k25c<722c:>=4?::m140<722wi>4950;194?6|,:>=6?>7;I1;4>o69o0;66g>2183>>i58<0;66s|25494?4|V;>=70<67;031>{t:1>1<7=t^3:7?84>03;:j63=97825c=z{:o1<7219~w7?32909w0<68;031>;51>0:=k5rs3;6>5<5s482:7r.88;4=9g9l611=831b>5:50;9j7c<722c9j7>5;c0:a?6=;3:1N4091b==;50;9~f7?d29086=4?{%172?373A93<6g>1g83>>o6:90;66a=0483>>{e:0n1<7=50;2x 6212;:37E=70:k25c<722c:>=4?::m140<722wx>9950;0xZ7203482h71g9~w6`=838pR>h4=3;f>4473ty9j7>52z\1b>;51j0:>=5rs3;b>5<5s482i7k38;963=9e8265=zuk8j97>54;192~"41<75f4183>>o483:17o5<4290;w)=;6;73?M5?82c:=k4?::k265<722e9<84?::a6d5=8391<7>t$265>76?3A93<6g>1g83>>o6:90;66a=0483>>{t:=21<7;5i;0:=k5rs5294?4|V=:01?o;:003?xu483:1>vP<0:?1e7<6:91v?o?:18184f<38;963=a2825c=z{;k:6=4={<0b6?47=279m>4>219~yg4fj3:187=56z&003<5ik1d>9750;9j6=2=831b8<4?::k05?6=3k8jm7>53;294~"450;9l653=831vn?o7:180>5<7s-9?:7;?;I1;4>o69o0;66g>2183>>i58<0;66sm2`;94?5=83:p(>:9:32;?M5?82c:=k4?::k265<722e9<84?::p61?=838pR?:6;<0b=?47=2wx>5:50;1xZ7>3348jm7?>f:?1e=<69o1v9?50;0xZ17<5;kj6<1g9~w7g02909w0;5i00:>=5r}c0a5?6=<391:v*<4781f4=h:=k1<75f29694?=n<;0;66g<2;29?g4e83:1?7>50z&003<3n2B84=5f10d94?=n9;:1<75`21794?=zj;kn6=4<:183!53>3?;7E=70:k25c<722c:>=4?::m140<722wi>lh50;194?6|,:>=6?>7;I1;4>o69o0;66g>2183>>i58<0;66s|25c94?4|V;>j70{t:1>1<7=t^3:7?84e83;:j63=ad825c=z{=81<7219~w7gd2909w0;5io0:=k5rs3cg>5<5s48ji7r.88;4=b69l61d=831b>5:50;9j06<722c8?7>5;c0a2?6=;3:1N4091b==;50;9~f7d329086=4?{%172?373A93<6g>1g83>>o6:90;66a=0483>>{e:k?1<7=50;2x 6212;:37E=70:k25c<722c:>=4?::m140<722wx>9l50;0xZ72e348i971g9~w15=838pR9=4=3`5>4473ty8?7>52z\07>;5j=0:>=5rs3`1>5<5s48i:754;192~"41<75f4583>>o4<3:17o5<4290;w)=;6;73?M5?82c:=k4?::k265<722e9<84?::a6gd=8391<7>t$265>76?3A93<6g>1g83>>o6:90;66a=0483>>{t:=i1<7;5jh0:=k5rs5694?4|V=>01?ll:003?xu4<3:1>vP<4:?1fd<6:91v?l7:18184ek38;963=bc825c=z{;h26=4={<0ae?47=279no4>219~yg4d=3:187=56z&003<5k<1d>9j50;9j6gc=831b884?::k01?6=3k8h87>53;294~"450;9l653=831vn?m=:180>5<7s-9?:7;?;I1;4>o69o0;66g>2183>>i58<0;66sm2b194?5=83:p(>:9:32;?M5?82c:=k4?::k265<722e9<84?::p61b=838pR?:k;<0`7?47=2wx>ok50;1xZ7db348h87?>f:?1g7<69o1v9;50;0xZ13<5;i?6<4>1g9~w7e62909w0;5k:0:>=5r}c0`f?6=<391:v*<4781gg=h:=o1<75f29694?=n;10;66g<6;29?g4di3:1?7>50z&003<3n2B84=5f10d94?=n9;:1<75`21794?=zj;i36=4<:183!53>3?;7E=70:k25c<722c:>=4?::m140<722wi>n750;194?6|,:>=6?>7;I1;4>o69o0;66g>2183>>i58<0;66s|25g94?4|V;>n70{t:1>1<7=t^3:7?84di3;:j63=c9825c=z{:21<7279o54>219~w7e12909w0;5k00:=k5rs3a4>5<5s48h475<6=44}c620?6=:3:12e9K7=65<52;294~"4i5G3928m47b2900c?>::188yg2793:1>7>50z&003<6:m1C?5>4i03f>5<6=44}c63f?6=:3:10;296?6=8r.88;4>2e9K7=65<53;294~"44;297?6=8r.88;4;f:J0<5=n98l1<75f13294?=h:9?1<75rb34g>5<4290;w)=;6;03<>N4091b==;50;9~f7da29086=4?{%172?2a3A93<6g>1g83>>o6:90;66a=0483>>{e<891<7=50;2x 6212<;0D>6?;h32b?6=3`;9<7>5;n031?6=3th?>=4?:483>5}#;=<19l5G3928m47a2900e<5<4290;w)=;6;73?M5?82c:=k4?::k265<722e9<84?::a05g=83>1<7>t$265>02<@:2;7d?>f;29?l7583:17d?=1;29?j47=3:17pl;0e83>6<729q/?98521:8L6>73`;:j7>5;h314?6=3f8;97>5;|`12<<72<0;6=u+354913=O;1:0e:188m4452900c?>::188yg41j3:187>50z&003<2:2B84=5f10d94?=n9;:1<75f13394?=h:9?1<75rb352>5<2290;w)=;6;7;?M5?82c:=k4?::k265<722c:><4?::k267<722e9<84?::a625=8391<7>t$265>06<@:2;7d?>f;29?l7583:17b4i03e>5<5<6=44}c0;7?6=<3:1:188k7622900qo<87;290?6=8r.88;4:3:J0<5=n98l1<75f13294?=n9;;1<75`21794?=zj;=26=4;:183!53>3?97E=70:k25c<722c:>=4?::k264<722e9<84?::a62d=8391<7>t$265>1`<@:2;7d?>f;29?l7583:17b6?;h32a?6=3f8;97>5;|q:=?6=irT2563=e78b<>;59;0j463=668b<>;0n3k370<9f;c;?84?<3k370<:b;c;?85693k370=k6;c;?xu49=0;65uQ306897c128h270<99;314>;5>k0:>=522619576<5;=>6<219~w17b2909wS:>e:?764<58<1v>lk:185[5el27?=>4>1g9>076=98l01>hl:03e?82783;:j63;0`8265=z{=;:6=4={_625>;39=09<85rs52;>5<5sW>;463;0c8140=z{:n=6=4={_1g2>;4l?09?85rs231>5<4sW9:>63=e782f3=::>;1=?<4}r5g>5;59;08>o52275977d<5>l1mi522639577<5;=86209>62?=98l01?9m:03e?xu4nl0;6?uQ3gg891662;:>7p}7}Y;lh01>hl:002?xu3;;0;68uQ42089174288;70:=0;314>;4nj0:>=524129576:97>52z\750=:<;:1=??4}r064?6=jrT99=522d495g1<5;lj6219>05g=9;;01?86:001?84093;:j63=74825c=::>=1=?>4=35:>4473ty?:;|q1`d<72;qU>io4=533>47b3ty9ik4?:3y]6``<5;lj6?>:;|q0bd<72;qU?ko4=2dg>7623ty53z?1a3<6j116;k4=349>627=9;:0q~<:a;290~;5>l09<8524329574<5:lh6<<=;<63e?76n2wx>;650;0x970c28;m70<99;031>{t:?k1<7447348=n7>>;<125?44=27?219~w6`a2909w0:?1;32a>;38909<85rs53e>5<5s4>9=7?>e:?765<58<1v?lj:18184d=38ii63=bg8140=z{;?i6=4={<06f?44=279:h4>1g9~w7002909w0<97;001>;5>l0:>=5rs3g4>5<1s48n:7<>7:?1<4<5m>16>5j52d5897012;o<70<>4;32b>;5>00:=k5rs3g;>5<2s48n:7<>9:?1<4<5m116>5j52d:897012;o370<9b;32b>{t:l31<7=t=3g5>77e3483h7630=:lk0q~<82;291~;50809;?5229f9624<5;<=6?9=;<047?47=2794>4>219~w7ce2909w0;5>?09io5rs357>5<5s48<97389=63=6781af=z{;==6=4;{<0;5?40>2794i4=779>630=:><01?98:326?xu5mm0;6?u22d49675<5;2o6?kk;|q13=<72:q6>5?526:897012;=370<89;031>{t:881<7;t=3g5>4df348:>7<<5:?12<<6:816>;l51338971028;m7p}=7`83>6}::1;1>:o4=345>71f3487}:;on1=7623ty?<44?:3y>05g=:9?019>k:03e?xu5>j0;6?u22759e<=::?n1>=;4}r1g3?6=:r78h;4nd:?0`=<58<1v?6;:18`84?<388963=9281<1=::031>5:4=3;e>7>3348j97<74:?1eg<50=16>o?5296897d02;2?70;5kk0949522cd954`<5:n365:5ae9>6=b=:1?0q~<>3;296~;59;0j563=158140=z{;296=4;{<0;5?4?:2794i4=839>630=:1801?6<:326?xu38j0;6?u241`954c<5=:o6?>:;|q11f<72;q6>8l5ae9>630=:o09?8522gc957652z?12c4;32a>;39:09<85rs36f>5<5sW8?i63=cc810`=#;;<1>9:4n206>7=z{;>o6=4={_07`>;5k<098i5+33496126>5rs36`>5<5sW8?o63=be810f=#;;<1>9:4n206>1=z{;>i6=4={_07f>;5j>098o5+3349612685rs36b>5<5sW8?m63=b0810d=#;;<1>9:4n206>3=z{;>26=4={_07=>;5ik09845+33496126:5rs36;>5<5sW8?463=a4810==#;;<1>9:4n206>==z{;><6=4={_073>;51o098:5+3349612645rs365>5<5sW8?:63=988103=#;;<1>9:4n206>d=z{;>96=4={_076>;51:098?5+33496126o5rs2c94?4|V:k0R?:<;%112?5>3g9997>4}r1;>5<5sW93703927c==5;38yv512908wS=9;_071>;5kk08:6*<2780=>h4:<097p}<5;296~X4=279o84<5:&063<412d8>84<;|q00?6=:rT8863=be800>"4:?0856`<2487?xu4;3:1>vP<3:?1f2<4;2.8>;4<9:l060<23ty8>7>52z\06>;5j808>6*<2780=>h4:<0=7p}<1;296~X49279mo4<1:&063<412d8>848;|q04?6=:rT8<63=a4804>"4:?0856`<248;?xu5n3:1>vP=f:?1=c<5n2.8>;4<9:l060<>3ty9i7>52z\1a>;51009i6*<2780=>h4:<0j7p}=d;296~X5l2795>4=d:&063<412d8>84m;|q71?6=:rT?963=c4871>"4:?0856`<248`?xu3<3:1>vP;4:?1fa<3<2.8>;4<9:l06052z\77>;5j>0??6*<2780=>h4:<0n7p};2;296~X3:279n<4;2:&063<412d8>84i;|q75?6=:rT?=63=ac875>"4:?0856`<24824>{t<90;6?uQ419>6d3=<91/??85389m773=981v>h50;0xZ6`<5;3m6>h4$205>6?6<<4}r1f>5<5sW9n70<69;1f?!55>3927c==5;30?xu4?3:1>vP<7:?1=6<4?2.8>;4<9:l060<6<2wvn>l9:1827?402:?p@>6=:0yK5ge03<,=>>6;5r$265>4353`326=44i01`>5<5<7483>>o4mk0;66g>5283>>i60;0;66g;3383>>o39<0;66a>6983>>i5mo0;66a>i6i<0;66a;6;29?j2b2900n?47?tH0``?xJ40:0:w):;5;68y!53>3;:m6gn8;29?j46?3:17b<>9;29?j46j3:17b<>d;29?j46n3:17d?m5;29?l7e>3:17d?m7;29?l7e03:17d?m9;29?g7603:1n775fzN0<7<6sA;io6s+354967b6=44i0`5>5<5<6<62:qG?5<51zJ2ff=z,:>=6hh4i`f94?=h::?1<75fa983>>d029086=4?{%172?cc3A93<6B<8382!75k3>?>6sf9583>>ofl3:17b<<5;29?xuf03:1>vPn8:?4><252z?4>7523W8896s|21294?4|Vhn01:4nd:~fcb=8391=7=tL2:1>4}O9ki0q)=;6;ge?lgc2900c?=::188md>=831i;7>53;294~"4n4;439~m<2=831bmi4?::m170<722wxm54?:3y]e==:?33?7p}>fg83>7}:?38896P=349~w7672909wSok;<59ea=zuklh6=4;:386!53>3lh7dh?:188m4d>2900ekj50;9lb4<722hm87>53;294~"450;9l653=831vnk=50;194?6|,:>=6?>7;I1;4>o69o0;66g>2183>>i58<0;66s|f183>7}Yn916j94>1g9~w4d>2909wS?m9:?e0?7582wxji4?:3y]ba=:n:0:>=5rsg394?4|Vo;01k=52178yv`52909w0h;:326?8`428;m7psm11c94?>=>3np(>:9:02b?l7e=3:17dh?:188mcb=831b=o750;9j5g0=831b=o950;9j5g>=831djh4?::`24<<72:0;6=u+354915=O;1:0e::188yg7783:1?7>50z&003<282B84=5f10d94?=n9;:1<75`21794?=zj8:96=4<:183!53>3?;7E=70:k25c<722c:>=4?::m140<722wi==:50;694?6|,:>=68=4H2:3?l76n3:17d?=0;29?l7593:17b4i03e>5<5<5<56;294~"4::188yv7e=3:1>vP>b49>55?=9;:0q~h?:186[`734;;57?>f:?245<69o16==:513289461288;7p}id;290~Xal27:<=4>219>554=9;:01<>;:03e?xu6j00;6>uQ1c;8946528;m70??4;315>{t9k<1<73;9=6s|1c594?4|V8h<70??6;316>{t9k21<73;:j6s|fd83>7}Ynl16==652178yv`a2909w0??9;031>;6810:=k5rs023>5<5s4;;<7>:181877:38;963>098264=z{8:86=4={<330?47=27:<54>239~w4622909w0??6;031>;6810:>>5r}c02N4091b==;50;9~f77f29086=4?{%172?2a3A93<6g>1g83>>o6:90;66a=0483>>{e:8i1<7=50;2x 6212<:0D>6?;h32b?6=3`;9<7>5;n031?6=3th9=h4?:283>5}#;=<19<5G3928m47a2900e<5<6=44}rd3>5c6<58:j6k>4=33;>47a348:m7?=0:?15f<6:916>8;314>;59h0:=k5220a954`<5;;n62wx=o950;0xZ4d034;;m7?m7:p5g>=838pR34lh60;6?uQ2058977?2;:>7p}=1883>7}Y:8301??n:326?xu59k0;6?uQ20`8977d2;:>7p}=1e83>7}Y:8n01??j:326?xu59o0;6?uQ20d897472;:>7p}i1;296~;a83ko70hl:g38yv`b2909w0hk:`f8946f2oo0qp}n8;296~Xf027:=54nb:p641=838pR??8;<32<750;0xZ77>34;:47<>9:p64d=838pR??m;<32d:p64`=838pR??i;<322wx=o950;0xZ4d034;:47?m7:p5g>=838pR34;:47?m9:~f45e29086<4<{M1;6?7|@8hh7pB<8282!23=3>0q)=;6;3`2>ofl3:17b<<5;29?lg?2900n:4?:283>5}#;=<1ii5G3928H6>528q/=?m54508yl?32900elj50;9l663=831vl650;0xZd><5>0286s|1gd94?4|5>09?85Q2278yv4783:1>vPnd:?4>db0}K;181=vF>bb9~H6>428q/89;54:'710=9j=0elj50;9l663=831bm54?::kb=?6=3`k;6=44b683>0<729q/?985ed9K7=6>o><3:17dok:188k7522900qo=71;295?6=8r.88;4>1e9K7=65<5sWk37095959~wd?=838pRl74=68:<>{t9ol1<747d34=1m=5rs323>5<5s4=1>>;4^316?xu5880;6?uQae9>3?gc3twi=k<50;696?2|D:2967):3;0(9:?:20a?k2403;0q)=;6;3`<>of03:17dok:188k7522900e>uC39095~N6jj1v(>:9:0a0?lgc2900c?=::188md>=831i;7>53;294~"45;n001?6=3tyj47>52z\b<>;020>0q~?if;296~;02;9>7S<<5:p656=838pRlj4=68b`>{zj:3j6=4=:183!53>3;9h6F<819j54c=831d>=;50;9~wd>=838pRl64=68b<>{t::?1<713:1>v38:`f896?f2;:>7psma483>1<62:qG?5<51zJ2ff=zD:2865u+45790>"3<=08n=5+42a9ea=#<:o1>>;4$51b>d>4e>3`k36=44i`f94?=h::?1<75f33`94?=e?3:1?7?53zN0<7<6sA;io6s+35495f55<7s-9?:7kk;I1;4>o><3:17dok:188k7522900q~o7:181[g?34=1595rs0de>5<5s4=1>>;4^316?xu5890;6?uQae9>3?gc3twxm54?:3y]e==:?3k37p}=3483>7}Y::?01:4=349~w64e2909wS==b:?4>db55;091~J40;0:wE?mc:O7=5=ir.?884;;%670?5e82.??n4nd:&77`<5;<1/8>o5a99m060=92.??o4n9:l772<63->?=7=;5:l77<<63t.88;4>c`9je=<722cj57>5;hcg>5<6=44i266>5<0;684=:4yO7=4=9rB:nn5r$265>4e43`ko6=44o316>5<>of83:17o950;794?6|,:>=6hk4H2:3?l??2900el>50;9j=1<722cjh7>5;n001?6=3th84<4?:083>5}#;=<1=5<5sWk27095999~w4`a2909w0=71;32g>;02h:0q~7S<<5:p657=838pRlj4=68b`>{zj:k26=4<:183!53>38;46F<819j54`=831b=?>50;9l653=831vl650;0xZd><5>0j46s|a883>7}Yi016?l751328yv44=3:1>vP=349>3?44=2wx?9;50;1xZ62234=1mi523`;954`52z?4>d?<5:k26?>:;|a53`=83?1>7;tL2:1>4}O9ki0qA=73;3x 1222=1v(>:9:0a4?lgc2900c?=::188md>=831bm44?::kb4?6=3k=1<7;50;2x 6212lo0D>6?;h;;>5<>ofl3:17b<<5;29?xd4080;6<4?:1y'710=98n0D>6?;n32g?6=3tyj47>52z\b<>;020>0q~o6:181[g>34=1555rs0de>5<5s493=7?>c:?4>d652z?4>7523W8896s|21394?4|Vhn01:4nd:~f4gd290>6?4:{M1;6?7|@8hh7pB<8282!23=3>0q)=;6;3`3>ofl3:17b<<5;29?lg?2900el750;9je5<722h<6=4::183!53>3on7E=70:k:>{e;1;1<7?50;2x 62128;o7E=70:m25f<722wxm54?:3y]e==:?33?7p}n9;296~Xf127<6464}r3eb?6=:r784<4>1b9>3?g73ty9<=4?:3y>3?44=2T9?85rs322>5<5sWko7095ae9~yg70=3:1?7?53zN0<7<6sA;io6sC39195~"3<<0?7p*<4782af=nim0;66a=3483>>of03:17o950;194?6|,:>=6hj4H2:3?l?32900elj50;9l663=831vl650;0xZd><5>0286s|1gd94?4|5>09?85Q2278yv4783:1>vPnd:?4>db0}K;181=vF>bb9~H6>428q/89;54:'710=9j=0elj50;9l663=831bm54?::kb=?6=3`k;6=44b683>0<729q/?985ed9K7=6>o><3:17dok:188k7522900qo=71;295?6=8r.88;4>1e9K7=65<5sWk37095959~wd?=838pRl74=68:<>{t9ol1<747d34=1m=5rs323>5<5s4=1>>;4^316?xu5880;6?uQae9>3?gc3twi8;4?:282>6}K;181=vF>bb9~H6>428q/89;54:'710=9li0elj50;9l663=831bm54?::`4>5<4290;w)=;6;gg?M5?82c287>5;hcg>5<6=44}rc;>5<5sWk37095959~w4`a2909w0952278Z7523ty9<=4?:3y]ea=:?3ko7psm17:94?3=:3?p@>6=:0yK5ge1=z,:>=65<6=44i`:94?=ni00;66gn0;29?g1=83?1<7>t$265>`c<@:2;7d77:188md6=831b594?::kb`?6=3f8897>5;|`0<4<7280;6=u+354954b<@:2;7b?>c;29?xuf03:1>vPn8:?4><2v38:316?[44=2wx>=?50;0xZdb<5>0jh6srb0c6>5<22;0>wA=72;3xL4dd3tF84>4>{%671?25;hc;>5<>d0290>6=4?{%172?cb3A93<6g68;29?lg72900e4:50;9jea<722e9?84?::a7=7=83;1<7>t$265>47c3A93<6a>1b83>>{ti10;6?uQa99>3??33tyj57>52z\b=>;02020q~?if;296~;4080:=n527;c3?xu5890;6?u27;001>X5;<1v?>>:181[gc34=1mi5r}c026?6==3819vB<8382M7ek2wG?5=5az&700<33->?87=m0:&77f851:&77g;%674?55j2d??54?;|&003<6kk1bm54?::kb=?6=3`ko6=44o316>5<0<52=65<6=44i`:94?=ni00;66gn0;29?g1=83?1<7>t$265>`c<@:2;7A=72;3x 44d2=>97pg68;29?lg72900e4:50;9jea<722e9?84?::a7=7=83;1<7>t$265>47c3A93<6a>1b83>>{ti10;6?uQa99>3??33tyj57>52z\b=>;02020q~?if;296~;4080:=n527;c3?xu5890;6?u27;001>X5;<1v?>>:181[gc34=1mi5r}c1b3?6=;3:1::188yvg?2909wSo7;<59e==z{h31<77}Y::?01:4=349~w64e2908wS==b:?4>db<5:k<66<0sE93>7?tH0``?xJ40:0jw):;5;68 1232:h;7):h3;?0:7):of03:17do6:188mdb=831d>>;50;9j77d=831i;7>55;091~J40;0:wE?mc:'710=9j90elj50;9l663=831bm54?::kb=?6=3`k;6=44b683>0<729q/?985ed9K7=6>o><3:17dok:188k7522900qo=71;295?6=8r.88;4>1e9K7=65<5sWk37095959~wd?=838pRl74=68:<>{t9ol1<747d34=1m=5rs323>5<5s4=1>>;4^316?xu5880;6?uQae9>3?gc3twi?4o50;194?6|,:>=69h4H2:3?l76n3:17d?=0;29?j47=3:17pl6<729q/?98521;8L6>73`;:j7>5;h314?6=3f8;97>5;|qb2909wSo6;<1b3?7582wxmi4?:3y]ea=:;0k1=?>4}r001?6=:rT9?8527;001>{t;;h1<7=t^20a?85>i3;:j63=;4}r1b2?6=:r7<6l74=2c4>7623twi4=4?:480>2}K;181=vF>bb9~H6>42hq/89;54:&701<4j91/8>m5ae9'06c=::?0(9=n:`:8j151281/8>l5a89m061=92.?8=4<2c9m06>=82w/?9851ba8md>=831bm44?::kb`?6=3f8897>5;h11f?6=3k=1<7;52;7xH6>528qC=om4}%172?7d;2cjh7>5;n001?6=3`k36=44i`;94?=ni90;66l8:186>5<7s-9?:7kj;I1;4>o>03:17do?:188m<2=831bmi4?::m170<722wi?5?50;394?6|,:>=6i69j0;66s|a983>7}Yi116;77;;|qb=?6=:rTj5638:8:8yv7an3:1>v3<80825f=:?3k;7p}=0183>7}:?38896P=349~w7662909wSok;<59ea=zuk92m7>53;294~"450;9l653=831vn>o8:180>5<7s-9?:7i3;9<6s|22794?4|V;9>70952278yv55j3:1?vP<2c9>7o8:03e?xu4100;6?u27;cg?85>i38;96s|3`494?4|5>0j56357;79f~"4>o6??0;66g=2d83>>o5:o0;66g>3c83>>d6?o0;6>4?:1y'710==91C?5>4i03e>5<5<53;294~"45<4290;w)=;6;73?M5?82c:=k4?::k265<722e9<84?::a52c=83>1<7>t$265>76e3A93<6g>1g83>>o6:90;66g>2083>>i58<0;66s|16:94?4|V8=370?8e;031>{t::;1<76}Y7783>7}Y9><01<9i:003?xu5:l0;6?uQ23g8941b288;7p}=2g83>7}Y:;l01<9k:003?xu6;k0;6?uQ12`8941c28;m7p}>7883>7}:9>l1>=;4=05b>4473ty:jk4?:3y>52g=:9?01<9m:003?xu5890;6?u216`9653<58=n6:9:0:b?j7?=3:17d:j:188m4?62900e9==:188f4>>29086=4?{%172?2a3A93<6g>1g83>>o6:90;66a=0483>>{e91=1<7=50;2x 6212<:0D>6?;h32b?6=3`;9<7>5;n031?6=3th:454?:283>5}#;=<1>=64H2:3?l76n3:17d?=0;29?j47=3:17p}>8483>7}Y91?01<67:326?xu3m3:1?vP;e:?2<<<69o16=59510d8yv7>93:1>vP>909>5=?=9;:0q~:<2;296~X3;;16=5951328yv7?>3:1>v3>888140=:9121=5=>=9;:0qpl>6d83>1<42?q/?98517g8k40>2900e9k50;9j53`=831b?hl50;9a53b=8391<7>t$265>1`<@:2;7d?>f;29?l7583:17bk0;6>4?:1y'710==81C?5>4i03e>5<5<53;294~"4016=;m52178yv2b2908wS:j;<35`?76n27::o4>1g9~w40a2909wS?9f:?22a<6:91v>km:181[5bj27::o4>219~w40f2909w0?9d;031>;6>j0:=k5rs04a>5<5s4;=n7r.88;4>ac9l5d0=831b8h4?::k2ef<722c?=84?::`2ed<72:0;6=u+35490c=O;1:0e::188yg7f03:1?7>50z&003<292B84=5f10d94?=n9;:1<75`21794?=zj8k26=4<:183!53>38;46F<819j54`=831b=?>50;9l653=831v27:m44=049~w1c=839pR9k4=0cb>47a34;j47?>f:p5de=838pR:;<3b=?7582wvn?hn:187>5<7s-9?:73?87E=70:k25c<722c:>=4?::k264<722e9<84?::a5<5=83<1<7>t$265>0d<@:2;7d?>f;29?l7583:17d?=1;29?l75:3:17d?=3;29?j47=3:17pln7;297?6=8r.88;4;f:J0<5=n98l1<75f13294?=h:9?1<75rb337>5<4290;w)=;6;6e?M5?82c:=k4?::k265<722e9<84?::a5<>=83?1<7>t$265>0><@:2;7d?>f;29?l7583:17d?=1;29?l75:3:17b4?:1y'710==91C?5>4i03e>5<5<54;294~"4::188yg70?3:187>50z&003<2;2B84=5f10d94?=n9;:1<75f13394?=h:9?1<75rb0:f>5<3290;w)=;6;03`>N4091b=5<7s-9?:7;?;I1;4>o69o0;66g>2183>>i58<0;66sm18294?5=83:p(>:9:32;?M5?82c:=k4?::k265<722e9<84?::a640=8391<7>t$265>76?3A93<6g>1g83>>o6:90;66a=0483>>{e90i1<7=50;2x 6212;:37E=70:k25c<722c:>=4?::m140<722wi=k:50;094?6|,:>=6<o69l0;66a=0483>>{e9>;1<7<50;2x 621288o7E=70:k25`<722e9<84?::a5dc=8381<7>t$265>44c3A93<6g>1d83>>i58<0;66sm19a94?4=83:p(>:9:00g?M5?82c:=h4?::m140<722wi=4o50;094?6|,:>=6<o69l0;66a=0483>>{t100;67o7;<3:2?g?342;6l64}r30g?6=;rT:?n52818b`>;61h0:=h5rs050>5<5sW;;6;k0jh6s|3cf94?4|V:ho70=j2;32b>{t090;6?uQ819><5<5;<1v:j50;:xZ2b<5;8o6<5<4:k16=4=513389410288:70?7e;32b>{t9>?1<7;6?80:=h5rs070>5?63=2e82f2=::ok1=44734;2?7?=3:?151<69o16=:95132894>b288;7p}>8383>7}Y91801<6=:316?xu3;;0;69uQ420894?62hn01<6n:511?85b:3;9=6s|40794?5|V=;>70?nb;621>;6il0:=h5rs04;>5<5sW;=463>698170=z{;om6=4={_0fb>;5nh09<85rs2g2>5<5sW9n=636=4={_3b1>;6i<09?85rs5494?4|V=<019852278yv2b290hwS:j;<01`?7e=27?i7<<5:?2<5<3m27:4l4;e:?22`<3m27:mo4;e:?2=6<69o16m:4>219>5<>=9;:01?h6:03e?87?<3;:j6s|18;94?4|583=6lj4=0;b>7623ty:m;4?:3y>5d3=im16=ll51`48yv7>:3:1?v3>908b=>;61:09<8521g6954c52z?2<1<58<16=4>510d8yv74j3:18v3=2e82f<=:9:h1>>;4=0:3>45e34;247?>f:p520=838p1<6?:055?870?38;96s|a783>7}:i<08>o52a68140=z{;;96=49{<01`?7e0279=?4=349>5<5=9;:01<77:002?870?3;:j63>8d8264=z{h?1<75<5s4;m>7==b:?2b1<58<1v??::181846<3;9<63=178140=z{;996=4={<01`?46n27:5=4>219~w4?02908w0?66;c:?8>72h301<77:326?xu6?90;6?u217d9ea=:9>;1>=;4}r3:f?6=:r7:554>239>58b8140=z{;8n6=48{<01`?46?27?:7ok;<3534;j97o6;<3;4?45m27j;7?>f:?2=0<69o1v<7;:18187>;3;9>63>948140=z{;8m6=4<{<01`?46127:4=4=2g9>5<3=9;:0q~<<0;297~;5:m09=o521879577<583h6<?j520f894>72;9:70<>6;32b>;61j0:=k5rs0;2>5<5s4;2=7<<5:?24;031>{t9o81<7:t=0d1>75234>n6>::;<35b?g>34;jo7o6;|q22c<72;q6=;h52278940b286883>7}:9?21mi5217g953?52z?2<7849~w41?2909w0?85;cg?87?83;<46s|19f94?4|582n6?>:;<3;0?7582wx>k650;1x97`f288:70;59?0:>=5rs0:e>5<5s4;2<7{t9hi1<775234;jn7?nc:p5<0=838p1<79:316?84ai3;9<6srb2`6>5<613>868ltL2:1>4}O9ki0qA=73;0xj1212?k0(9:::79~ 62128?:7W==4;3x5<5<6=44i2f:>5<5<5<?1<75`3bc94?=n1?0;66g65;29?j5bj3:17d?82;29?j26=3:17d=l4;29?l44;3:17d?nf;29?l5dk3:17b=ke;29?j5b;3:17b:9:188k1452900n<7k:1824?7=99qG?5<51zJ2ff=zD:286{#;=<1=2900e<750;9l64d=831d>??50;9l675=831d>?;50;9ab5<72:0:6>uC39095~N6jj1v(>:9:dd8mdb=831d>>;50;9je=<722h<6=4<:183!53>3oo7E=70:N0<7<6s-;9o7:;2:j=1<722cjh7>5;n001?6=3tyj47>52z\b<>;020>0q~?if;296~;02;9>7S<<5:p656=838pRlj4=68b`>{zjon1<7=51;1xH6>528qC=om4}%172?ca3`ko6=44o316>5<2b8707=za0>1<75fae83>>i5;<0;66s|a983>7}Yi116;77;;|q2bc<72;q6;7<<5:\170=z{;:;6=4={_cg?81=im1vqo??b;297?7=;rF84?4>{I3ag>{#;=<1ik5fae83>>i5;<0;66gn8;29?g1=8391<7>t$265>`b<@:2;7A=72;3x 44d2=>97pg64;29?lgc2900c?=::188yvg?2909wSo7;<59=1=z{8lm6=4={<596637p}=0183>7}Yim16;7ok;|abf<72=086;u+3549bf=n99h1<75ffe83>>oa83:17bh>:188fc0=8391<7>t$265>06<@:2;7d?>f;29?l7583:17b50z&003<3n2B84=5f10d94?=n9;:1<75`21794?=zjo?1<7=50;2x 6212;:37E=70:k25c<722c:>=4?::m140<722wx==l50;1xZ46e34l=647a3tymh7>52z\e`>;a>3;9<6s|f183>7}Yn916j>4>219~wc7=838pRk?4=g7965376234l>6n:18:>05;h33f?6=3`l;6=44i0`;>5<5<6?;h32b?6=3`;9<7>5;n031?6=3th:<=4?:283>5}#;=<19=5G3928m47a2900e<5<1290;w)=;6;7g?M5?82c:=k4?::k265<722c:><4?::k267<722c:>>4?::m140<722wi==850;794?6|,:>=6?>j;I1;4>o69o0;66g>2183>>o6:80;66g>2383>>i58<0;66s|fe83>1}Ynm16==9513289465288;70??4;317>{t9k=1<7;68;0:=k5rsg294?4|Vo:01<>?:03e?xu6j10;6?uQ1c:8946328;m7p}>b883>7}Y9k301<>;:003?xu6jh0;6?uQ1cc89463288:7p}>bc83>7}Y9kh01<>;:001?xuam3:1>vPie:?243<58<1vkh50;0x94602;:>70??6;32b>{t99:1<776234;;:7?=0:p557=838p1<>=:326?877>3;9=6s|11194?4|58:?6?>:;<332?75:2wvn16:ke`?6=3`l;6=44i02a>5<5<5<3>m7E=70:k25c<722c:>=4?::m140<722wi==k50;694?6|,:>=68:4H2:3?l76n3:17d?=0;29?l7593:17b4i03e>5<5<5<6=44}c326?6=<3:1:188k7622900q~hk:187[`c34;:?7?>f:?24`<6:916=<>510d8yv`7290?wSh?;<327?75827:

1g9>546=9;;0q~??b;296~X68k16==k51338yv7e?3:1>vP>b69>546=9;:0q~?m5;296~X6j<16=<>51308yv7e>3:1>vP>b79>546=9;90q~??c;296~X68j16=<<52178yv77l3:1>v3>128140=:9881=544=9;:0q~??f;296~;69909<85210095775}#;=<1985G3928m47a2900e<::188yg46i3:187>50z&003<2<2B84=5f10d94?=n9;:1<75f13394?=h:9?1<75rb33`>5<3290;w)=;6;70?M5?82c:=k4?::k265<722c:><4?::m140<722wi>=68:4H2:3?l76n3:17d?=0;29?l7593:17b4i03e>5<5<6=44}c016?6=<3:1N4091b=5<7s-9?:7;<;I1;4>o69o0;66g>2183>>o6:80;66a=0483>>{tn90;6ou2f18170=:nj0m<63>0`8e4>;69?0m<63=198264=::8k1=47a348:i7?>f:?165<6:816>?<513389743288;7p}id;29f~;al388963ic;dg?877i3lo70?>6;dg?84603;9<63=1`8265=::8i1=?>4=33f>4463489<7?=0:?167<6:916>?:510d8yv77j3:1nv3>0c8170=:nj0:m;<02209>64e=9;;01??j:003?84583;:j63=23825c=::;>1=??4}r3a1?6=:rT:n85210495g352z\2f3=:98<1=o84}r3a3?6=;rT:n:5211c95g1<58;=64d?3ty:n44?:3y]5g?<58:j64df3ty:no4?:3y]5gd<58:j6m:`:8yv46?3:1>vP=169>64>=:9?0q~<>9;296~X59016>vP=1c9>64e=:9?0q~<>d;296~X59m16>vP=1g9>676=:9?0q~<=1;296~X5:816>?<52178yv45;3:1>vP=229>672=:9?0q~h>:1818`72hn01km5f09~wcc=838p1kj5ae9>55g=nl1v<>l:181877j3ko70?>6;33g>{z{h21<77;296~X59>16=<652058yv4613:1>vP=189>54>=:830q~<>b;296~X59k16=<6520`8yv46l3:1>vP=1e9>54>=:8n0q~<>f;296~X59o16=<6520d8yv4593:1>vP=209>54>=:;;0q~<=3;296~X5::16=<652318yv45=3:1>vP=249>54>=:;?0q~?m5;296~X6j<16=<651c78yv7e>3:1>vP>b79>54>=9k<0q~?m7;296~X6j>16=<651c58yv7e03:1>vP>b99>54>=9k20q~?m9;296~X6j016=<651c;8yv7ei3:1>vP>b`9>54>=9kk0q~?mb;296~X6jk16=<651c`8yxd6080;6>4=:5yO7=4=9rB:nn5rL2:0>4}#<=?1?6s+35495fb5<7s-9?:7kk;I1;4>J40;0:w)?=c;676>{n1=0;66gnd;29?j44=3:17plnc;296?6=8r.88;4>2e9K7=65<fg83>7}:?33?70ol:326?xu5890;6?u27;001>X5;<1v?>>:181[gc34=1mi5r}c`a>5<42808wA=72;3xL4dd3tF84>4>{%671?55;hc;>5<0;6>4?:1y'710=mm1C?5>4i8694?=nim0;66a=3483>>{ti10;6?uQa99>3??33ty:jk4?:3y>3?44=2T9?85rs323>5<5sWko7095ae9~yg52>3:1?7?53zN0<7<6sA;io6sC39195~"3<<087p*<4782af=nim0;66a=3483>>of03:17o950;194?6|,:>=6hj4H2:3?l?32900elj50;9l663=831vl650;0xZd><5>0286s|1gd94?4|5>09?85Q2278yv4783:1>vPnd:?4>db53;397~J40;0:wE?mc:O7=5=9r.?884<;|&003<6mj1bmi4?::m170<722cj47>5;c594?5=83:p(>:9:df8L6>73`3?6=44i`f94?=h::?1<75rs`:94?4|Vh201:464:p5c`=838p1:4=349]66352z\b`>;02hn0qpl=d683>6<62:qG?5<51zJ2ff=zD:286{#;=<1=hm4i`f94?=h::?1<75fa983>>d029086=4?{%172?cc3A93<6g64;29?lgc2900c?=::188yvg?2909wSo7;<59=1=z{8lm6=4={<596637p}=0183>7}Yim16;7ok;|afd<72:0969uC39095~N6jj1v@>6<:0y'013=;2w/?9851b78mdb=831d>>;50;9je=<722h<6=4<:183!53>3oo7E=70:k:0?6=3`ko6=44o316>5<3;9h6F<819j54c=831d>=;50;9~wd>=838pRl64=`a954c52z?4><2<5hi1>=;4}r034?6=:r7<6?=:;_001>{t:9;1<7;::180>7<3sE93>7?tH0``?xJ40:0:w):;5;18y!53>3;h96gnd;29?j44=3:17do7:188f2<72:0;6=u+3549aa=O;1:0e4:50;9jea<722e9?84?::aef<72;0;6=u+354957b<@:2;7d?>e;29?j47=3:17p}n8;296~Xf027jo7?>e:p5c`=838p1:464:?bg?47=2wx>=>50;0x92<5;<1U>>;4}r035?6=:rTjh638:`f8yxd??3:1?7<54zN0<7<6sA;io6sC39195~"3<<087p*<4782g0=nim0;66a=3483>>of03:17o950;194?6|,:>=6hj4H2:3?l?32900elj50;9l663=831vnlm50;094?6|,:>=6<o69l0;66a=0483>>{ti10;6?uQa99>ef<69l1vp@>6=:0yK5ge6=z,:>=65<6=44i`:94?=e?3:1?7>50z&003>ofl3:17b<<5;29?xdfk3:1>7>50z&003<6:m1C?5>4i03f>5<6=44}rc;>5<5sWk370ol:03f?xu6no0;6?u27;;7?8gd2;:>7p}=0183>7}:?38896P=349~w7662909wSok;<59ea=zukoj6=4<:387I5?:3;pD?97=4}%172?7d=2cjh7>5;n001?6=3`k36=44b683>6<729q/?985ee9K7=61<75fae83>>i5;<0;66smab83>7<729q/?98513f8L6>73`;:i7>5;n031?6=3tyj47>52z\b<>;fk3;:i6s|1gd94?4|5>02863nc;031>{t:9:1<7eb9jea<722e9?84?::kb6?;h;7>5<<2wx=kh50;0x92<5;<1U>>;4}r034?6=:rTjh638:`f8yxdc:3:147<5dzN0<7<6sA;io6sC3919<~"3<<087):;4;1ba>"4j80?8:5+42g9663<,=9j6l64n515>4=#<=:1??l4n51;>4=z,:>=6;[;0>4}6k3wQ??:52z3f>76=u`99o7>5;hc;>5<5<#:;i1>>o4n30a>5=5<#:;i1>>o4n30a>7=54o315>5<#:;i1>>o4n30a>1=0;6i49:dyO7=4=9rB:nn5rL2:0>2}#<=>1?o>4$51`>db<,=9n6?=:;%60e?g?3g>8:7?4$563>64e3g>847?4}%172?7dm2P2?71>v?j:059yl55k3:17do7:188m64e2900c?=m:18'67e=::k0b?290/>?m522c8j74e2810c?=7:18'67e=::k0b??m522c8j74e2:10c?=9:18'67e=::k0b?n4m2:l16g<732ci=7>5$30`>g46`=2c81?>ofn3:1(?o4;;:`4>5<22:046{%670?5e82.??n4nd:&77`<5;<1/8>o5a99m060=92.?8=4<2c9m06>=92.?8<4<449m06?=92w/?9851e28md>=831bmi4?::m170<722c8884?::k06g<722h<6=4<:080I5?:3;pD5;c594?5=83:p(>:9:df8L6>73`3?6=44i`f94?=h::?1<75rs`:94?4|Vh201:464:p5c`=838p1:4=349]66352z\b`>;02hn0qpl<9`83>6<729q/?985519K7=65<6=44}c1bg?6=;3:1::188yvg?2909wSo7;<59e==z{hn1<77}Y::?01:4=349~w6222909wS=;5:?0ef<69o1v>1g9~w6ge2909w0=6a;314>;4ij09<85rs2;:>5<5s4=1mi5238c9653?87=m0:&77f851:&705<4:k1e8>651:'710=9j20el650;9jea<722e9?84?::k06g<722h<6=4<:080I5?:3;pD5;c594?5=83:p(>:9:df8L6>73`3?6=44i`f94?=h::?1<75rs`:94?4|Vh201:464:p5c`=838p1:4=349]66352z\b`>;02hn0qpl<9`83>6<729q/?985519K7=65<6=44}rc;>5<5sWk37095a99~wdb=838pRlj4=2;b>4473ty9?84?:3y]663<5>09?85rs20a>5<5sW99n63<9`825c=z{:326=4={<59ea=:;0k1>=;4}|`g>5<32;0>wA=72;3xL4dd3tF84>48{%670?5e82.??n4nd:&77`<5;<1/8>o5a99m060=92.?8=4<2c9m06>=92w/?9851b:8md>=831bmi4?::m170<722c8>o4?::`4>5<42808wA=72;3xL4dd3t.88;4>c29jea<722e9?84?::kb6?;h;7>5<<2wx=kh50;0x92<5;<1U>>;4}r034?6=:rTjh638:`f8yxd41h0;6>4?:1y'710==91C?5>4i03e>5<5<>;4=68170=z{:8i6=4={_11f>;41h0:=k5rs2;:>5<5s4=1mi5238c96537>54;091~J40;0:wE?mc:O7=5=?r.?894k52278 15f2h20b9=9:09'016=;;h0b9=7:09~ 62128i37do7:188mdb=831d>>;50;9j77d=831i;7>53;397~J40;0:wE?mc:'710=9j90elj50;9l663=831bm54?::`4>5<4290;w)=;6;gg?M5?82c287>5;hcg>5<6=44}rc;>5<5sWk37095959~w4`a2909w0952278Z7523ty9<=4?:3y]ea=:?3ko7psm38c94?5=83:p(>:9:428L6>73`;:j7>5;h314?6=3f8;97>5;|qb>;50;0xZ75234=1>>;4}r11f?6=:rT8>o5238c954`52z?4>db<5:3j6?>:;|a50<72=0968uC39095~N6jj1v@>6<:6y'012=;k:0(9=l:`f8 15b2;9>7):3;0(9:?:20a?k2403;0q)=;6;3`<>of03:17dok:188k7522900e>uC39095~N6jj1v(>:9:0a0?lgc2900c?=::188md>=831i;7>53;294~"45;n001?6=3tyj47>52z\b<>;020>0q~?if;296~;02;9>7S<<5:p656=838pRlj4=68b`>{zj:3j6=4<:183!53>3?;7E=70:k25c<722c:>=4?::m140<722wxm54?:3y]e==:?3k37p}nd;296~Xfl2785l4>219~w7522909wS<<5:?4>7523ty8>o4?:3y]77d<5:3j6:180>5<7s-9?:75<4sW99o638:266?84393;9<6s|a983>3}Yi116;7o7;<:9e==:l3k370?=:`:8943=i11v>9>50;7x9=<4:k16h7==b:?26?55j27:97==b:?104<58<1v?=m:181[44j27:97<<5:&063<5;h1e??;50:p66?=838pR?=6;<31>7523-99:7<<5m09?85+334966g6?5rs314>5<5sW88;637:316?!55>388m6`<2480?xu5;?0;6?uQ224892<5;<1/??8522c8j6422=1vo=50;0xZg5<58?1mi5+3349f7=i;;?1<6s|b083>7}Yj816=?4nd:&06384>;|qa4?6=:rTi<63k:`f8 6412k80b><::39~wd`=838pRlh4=98b`>"4:?0i>6`<2480?xufm3:1>vPne:?4>db<,:8=6o<4n206>1=zuk;m97>5a;:9`~J40;0:wE?mc:O7=5==r.?8944d=uS9987=h;831<7*=2b805g=i:;h1>65`30:94?"5:j08=o5a23`97>=h;8=1<7*=2b805g=i:;h1865fc783>!45k3i>7c<=b;28?le3290/>?m5c49m67d=921bo>4?:%01g?e23g89n7<4;ha1>5<#:;i1o85a23`97>=nk80;6)<=c;a6?k45j3>07o?i8;297?7=;rF84?4>{I3ag>{#;=<1=k?4icg94?=njo0;66a<1783>>d40j0;6>4<:4y'710=;1i0eok50;9jfc<722e8=;4?::`0<<<72:0;6=u+354915=O;1:0e::188yg5?i3:1?7>50z&003<282B84=5f10d94?=n9;:1<75`21794?=zj:2i6=4<:183!53>38;46F<819j54`=831b=?>50;9l653=831vok50;1xZgc<5:226<4473ty8=;4?:3y]740<5:2i6?>:;|q0<=<72;q6?575217896>e28;m7p}<8883>7}:;1k1>=;4=2:a>4473twxnh4?:3y]f`=:;1i1nh5rscd94?4|Vkl01>6l:cd8yv56>3:1>vP<179>7=e=;8<0qpl>f883>6<62:qG?5<51zJ2ff=z,:>=6;h`f>5<t$265>06<@:2;7d?>f;29?l7583:17b4?:1y'710==91C?5>4i03e>5<5<53;294~"4=838p1>66:326?85?j3;:j6s|39;94?4|5:2j6?>:;<1;f?7582wvok50;0xZgc<5:2h6ok4}r`e>5<5sWhm70=7c;`e?xu49?0;6?uQ304896>d2:;=7psm1gc94?5=939p@>6=:0yK5ge5<393o6gme;29?lda2900c>?9:188f6>>29086=4?{%172?373A93<6g>1g83>>o6:90;66a=0483>>{e;1k1<7=50;2x 6212<:0D>6?;h32b?6=3`;9<7>5;n031?6=3th84o4?:283>5}#;=<1>=64H2:3?l76n3:17d?=0;29?j47=3:17p}me;297~Xem278444>219>7=g=98l0q~li:180[da349357?>f:?0?9:181[56>2784o4=049~w6>?2909w0=79;031>;40k0:=k5rs2::>5<5s493m7{t;8<1<75<42808wA=72;3xL4dd3t.88;4>f09jf`<722cij7>5;n122?6=3k93o7>53;191~"4>oen3:17b=>6;29?g5?13:1?7>50z&003<282B84=5f10d94?=n9;:1<75`21794?=zj:2j6=4<:183!53>3?;7E=70:k25c<722c:>=4?::m140<722wi?5l50;194?6|,:>=6?>7;I1;4>o69o0;66g>2183>>i58<0;66s|bd83>6}Yjl16?575132896>f28;m7p}mf;297~Xen278444>1g9>7=g=9;:0q~=>6;296~X49?16?5l52178yv5?03:1>v3<888140=:;1h1=7=d=9;:0qp}me;296~Xem2784n4me:pfc<72;qUnk5239a9fc=z{:;=6=4={_122>;40j08=;5r}c1:e?6=;3:1N4091b==;50;9~f6?d29086=4?{%172?2a3A93<6g>1g83>>o6:90;66a=0483>>{e;0o1<7=50;2x 6212=l0D>6?;h32b?6=3`;9<7>5;n031?6=3th8><4?:383>5}#;=<1=?j4H2:3?l76m3:17bu21g;9f`=:;0k1>=;4=2;`>4473ty85o4?:2y>5cg=jl16?4m5217896?b288;7p}<9e83>7}:9oh1nh5238g965352z\05f=:9oh1?<84$205>67e3g9997>4}r12e?6=:rT8=l521gc9740<,:8=6>?m;o111?752z\05<=:9o31?<84$205>67e3g9997<4}r12?m;o111?552z\052=:;;;1>=;4$205>67e3g9997:4}ra5>5<5sWi=70?ib;`e?!55>3i>7c==5;28yve32908wSm;;<3ee?da3492i7?>f:&06384>;|q`7?6=;rTh?63>f88ab>;41j0:=k5+3349g0=i;;?1>6s|c383>6}Yk;16=k65bg9>7<9:b78j6422:1vn?50;6xZf7<58l36ok4=2;b>4473499=7?>e:&06384;;|p77e=838pR>>l4=6817g=:9o?1o;5+334966g6=5rs31:>5<4sW885638:31:?87a=3i?7)==6;00e>h4:<0:7p}=3983>6}Y::201:4=399>5c3=k:1/??8522c8j6422;1v?=8:180[44?27<6?=8;<3e1?e53-99:7<09?;521g79g4=#;;<1>>o4n206>1=z{:3?6=4={<59f6=:9o?1?6?43g9997>4}r1:6?6=:r7<6o?4=0d6>67f3-99:7=63:l060<63ty85<4?:3y>3?d734;m97=>9:&063<41:1e??;52:p7<6=838p1:4nf:?2b0<4911/??853818j6422:1v>6i:18181=il16=k;53058 6412:387c==5;68yxdb;3:1?7?53zN0<7<6sA;io6sC39195~"3<<087p*<4782`4=nim0;66a=3483>>of03:17o950;194?6|,:>=6hj4H2:3?I5?:3;p(<<3:17dok:188k7522900q~o7:181[g?34=1595rs0de>5<5s4=1>>;4^316?xu5890;6?uQae9>3?gc3twi8?<50;797?0|D:2967):3;0(9=m:`;8j150291/89>533`8j15?281v(>:9:0f1?lg?2900el750;9jea<722e9?84?::k06g<722h<6=4::386I5?:3;pD5;hc:>5<6>:182>5<7s-9?:7?>d:J0<5=h98i1<75rs`:94?4|Vh201:464:pe<<72;qUm4527;;;?xu6no0;6?u2393954e<5>0j<6s|21294?4|5>09?85Q2278yv4793:1>vPnd:?4>db5}#;=<1=?j4H2:3?l76m3:17b0;6>4?:1y'710=:930D>6?;h32b?6=3`;9<7>5;n031?6=3tyj47>52z\b<>;02h20q~o6:181[g>349j;7?>f:p663=838pR?=:;<5966353z\06g=:;0k1=4473ty8544?:3y>3?gc3492m74<4sE93>7?tH0``?xJ40:0:w):;5;18y!53>3;o?6gnd;29?j44=3:17do7:188f2<72:0;6=u+3549aa=O;1:0@>6=:0y'57e=<=80qd7;:188mdb=831d>>;50;9~wd>=838pRl64=68:0>{t9ol1<7d59jea<722e9?84?::kb6?;M1;6?7|,88h69:=;|k:0?6=3`ko6=44o316>5<d}#<=?1?6*;4580f5=#<:i1mi5+42g9663<,=9j6l64n515>4=#<:h1m45a42594>"3<808885a42;95>{#;=<1=i;4i`:94?=ni00;66gnd;29?j44=3:17d=;5;29?g1=83?1>7;tL2:1>4}O9ki0q)=;6;3`7>ofl3:17b<<5;29?lg?2900el750;9je5<722h<6=4::183!53>3on7E=70:N0<7<6s-;9o7:;2:j==<722cj<7>5;h;7>5<:183!53>3;:h6F<819l54e=831vl650;0xZd><5>0286s|a883>7}Yi016;777;|q2bc<72;q6?5?510a892=>50;0x92<5;<1U>>;4}r035?6=:rTjh638:`f8yxd4i00;6>4?:1y'710=:930D>6?;h32b?6=3`;9<7>5;n031?6=3tyj47>52z\b<>;02h20q~o6:181[g>349j57?>f:p663=838pR?=:;<5966353z\000=:?3ko70=n9;314>{t;h21<7;4i009<85r}c31a?6=;3;1?vB<8382M7ek2wG?5=51z&700<43t.88;4>eb9jea<722e9?84?::kb6?;h;7>5<<2wx=kh50;0x92<5;<1U>>;4}r034?6=:rTjh638:`f8yxd3>3:1?7?53zN0<7<6sA;io6sC39195~"3<<087p*<4782af=nim0;66a=3483>>of03:17o950;194?6|,:>=6hj4H2:3?l?32900elj50;9l663=831vl650;0xZd><5>0286s|1gd94?4|5>09?85Q2278yv4783:1>vPnd:?4>db6}K;181=vF>bb9~H6>428q/89;53:'710=9li0elj50;9l663=831bm54?::`4>5<4290;w)=;6;gg?M5?82c287>5;hcg>5<6=44}rc;>5<5sWk37095959~w4`a2909w0952278Z7523ty9<=4?:3y]ea=:?3ko7psm3eg94?5=:3>p@>6=:0yK5ge6=z,:>=65<6=44i`:94?=e?3:1?7>50z&003>ofl3:17b<<5;29?xdfk3:1>7>50z&003<6:m1C?5>4i03f>5<6=44}rc;>5<5sWk370ol:03f?xu6no0;6?u27;;7?8gd2;:>7p}=0183>7}:?38896P=349~w7662909wSok;<59ea=zuk9n?7>53;397~J40;0:wE?mc:O7=5=9r.?884<;|&003<6mj1bmi4?::m170<722cj47>5;c594?5=83:p(>:9:df8L6>73`3?6=44i`f94?=h::?1<75rs`:94?4|Vh201:464:p5c`=838p1:4=349]66352z\b`>;02hn0qpl6<52=qG?5<51zJ2ff=zD:286{#;=<1=n;4i`f94?=h::?1<75fa983>>d029086=4?{%172?cc3A93<6g64;29?lgc2900c?=::188yggd29096=4?{%172?75l2B84=5f10g94?=h:9?1<75rs`:94?4|Vh201lm510g8yv7an3:1>v38:8689de=:9?0q~7S<<5:p657=838pRlj4=68b`>{zj:oi6=4<:387I5?:3;pD?97=4}%172?7d=2cjh7>5;n001?6=3`k36=44b683>6<729q/?985ee9K7=61<75fae83>>i5;<0;66smab83>7<729q/?98513f8L6>73`;:i7>5;n031?6=3tyj47>52z\b<>;fk3;:i6s|1gd94?4|5>02863nc;031>{t:9:1<76<:0y'013=;2w/?9851b78mdb=831d>>;50;9je=<722h<6=4<:183!53>3oo7E=70:k:0?6=3`ko6=44o316>5<3;9h6F<819j54c=831d>=;50;9~wd>=838pRl64=`a954c52z?4><2<5hi1>=;4}r034?6=:r7<6?=:;_001>{t:9;1<764?::k274<722h:?:4?:283>5}#;=<18k5G3928m47a2900e<5<0290;w)=;6;024>N4091b==;50;9~w4542909wS?<3:?273<58<1v<7j:181[7>m27:?:4>1g9~w44a2909wS?=f:?272<6:91v<7i:181[7>n27:?;4>219~w4g72909wS?n0:?273<6:81v:181[7f927:?;4>239~w4g52909wS?n2:?273<6::1v219~w4562909wS?<1:?270<69o1v<=;:181874?38;963>37825c=z{89>6=4={<301?47=27:?;4>259~yg2c29036?46{%172?2c3f>26=44i0;f>5<5<5<5<6?;h32b?6=3`;9<7>5;n031?6=3th?n7>57;294~"434>i6?>:;|q2=`<72;qU=4k4=5a954`52z\26c=:=5rs0;e>5<5sW;2j63;b;314>{t9h:1<7a083>7}Y9h;019l51308yv7f:3:1>vP>a39>0g<6::1v0g<69o1vqo?;e;29e?g=9:q/?98515g8k45c2900e<7j:188m45d2900e:188m6ed2900e<:i:188f45a29086=4?{%172?2a3A93<6g>1g83>>o6:90;66a=0483>>{e9=:1<7=50;2x 6212=l0D>6?;h32b?6=3`;9<7>5;n031?6=3th:8?4?:283>5}#;=<18k5G3928m47a2900e<5<4290;w)=;6;73?M5?82c:=k4?::k265<722e9<84?::a51>=8391<7>t$265>06<@:2;7d?>f;29?l7583:17b4?:1y'710=:920D>6?;h32b?6=3`;9<7>5;n031?6=3th:8l4?:283>5}#;=<18k5G3928m47a2900e<5<0290;w)=;6;024>N4091b==;50;9~w45c2909wS?m27:?k4>1g9~w45d290513289425288;70?;4;314>;6<10:>=5215a957652z\2e5=:9=:1=7>52z\2e7=:9=>1=5215c954`53z\274=:9=<1=?>4=06;>47a3ty8on4?:3y]7fe<58>=647a3ty:?h4?:3y>56`=:9?01<:k:03e?xu6<90;6?u21529653<58>o6<4283>7}:9=>1>=;4=06g>4453ty:884?:3y>510=:9?01<:6:03e?xu6<>0;6?u215:9653<58>26<7}:9=k1>=;4=06g>4443ty:8o4?:3y>51e=:9?01<:k:007?x{e;jh1<7<50;2x 621288o7E=70:k25`<722e9<84?::a6a6=8391<7>t$265>1`<@:2;7d?>f;29?l7583:17b4?:1y'710=4i03e>5<5<3>m7E=70:k25c<722c:>=4?::m140<722win44?:283>5}#;=<18k5G3928m47a2900e<5<7s-9?:7;?;I1;4>o69o0;66g>2183>>i58<0;66smdb83>6<729q/?985519K7=65<6=44}cg6>5<4290;w)=;6;73?M5?82c:=k4?::k265<722e9<84?::a071=83>1<7>t$265>04<@:2;7d?>f;29?l7583:17d?=1;29?j47=3:17pl7d;297?6=8r.88;4;f:J0<5=n98l1<75f13294?=h:9?1<75rb2g3>5<4290;w)=;6;03<>N4091b==;50;9~fac=83<1<7>t$265>0c<@:2;7d?>f;29?l7583:17d?=1;29?l75:3:17d?=3;29?j47=3:17plj0;292?6=8r.88;4:b:J0<5=n98l1<75f13294?=n9;;1<75f13094?=n9;91<75`21794?=zjl=1<7850;2x 62126?;h32b?6=3`;9<7>5;h315?6=3`;9>7>5;h317?6=3f8;97>5;|`76<<72?0;6=u+35491g=O;1:0e:188m4452900e<<<:188k7622900qok=:180>5<7s-9?:75<4290;w)=;6;6e?M5?82c:=k4?::k265<722e9<84?::a56g=83?1<7>t$265>76b3A93<6g>1g83>>o6:90;66g>2083>>o6:;0;66a=0483>>{e9:81<7=50;2x 6212=l0D>6?;h32b?6=3`;9<7>5;n031?6=3th:9=4?:683>5}#;=<1><>4H2:3?l76n3:17d?=0;29?l7593:17d?=2;29?l75;3:17d?=4;29?j47=3:17pl2<729q/?9852028L6>73`;:j7>5;h314?6=3`;9=7>5;h316?6=3`;9?7>5;h310?6=3f8;97>5;|`0a0<72:0;6=u+35490c=O;1:0e::188yg5d13:1:7>50z&003<58o1C?5>4i03e>5<5<5<6=44}c1e3?6=;3:1::188yg5a93:1?7>50z&003<282B84=5f10d94?=n9;:1<75`21794?=zj:l26=4::183!53>38;i6F<819j54`=831b=?>50;9j577=831b=?<50;9l653=831vn>h<:180>5<7s-9?:7:i;I1;4>o69o0;66g>2183>>i58<0;66sm40`94?5=83:p(>:9:5d8L6>73`;:j7>5;h314?6=3f8;97>5;|`a`?6=:3:12e9K7=65<3;9h6F<819j54c=831d>=;50;9~f7b>29096=4?{%172?75l2B84=5f10g94?=h:9?1<75rb50a>5<5290;w)=;6;31`>N4091b=50;094?6|,:>=6<o69l0;66a=0483>>{e;l=1<7<50;2x 621288o7E=70:k25`<722e9<84?::a7f1=8381<7>t$265>44c3A93<6g>1d83>>i58<0;66sm3g794?4=83:p(>:9:00g?M5?82c:=h4?::m140<722wi8=6<o69l0;66a=0483>>{t9:i1<7=t^01`?874k388963>4d827f=z{=8h6=4={_61g>;4=10:=h5rs2a6>5<5sW9h963;el3;:i6s|1c294?5|V8h;70=j5;32b>;4n80:=k5rs00f>5<4sW;9i63>2d8170=:<;=1=?>4}r1g6?6=:rT8h?5291825`=z{m;1<7j:181[27m279h44>1d9~w4122909wS?85:?2<46=4>az\:1>;61m0j463>808b<>;ej3k370=:6;c;?8>?2h201?j8:`:89gg=i116?8;5a99><2;>93k3706m:`:8944b2h201985a99>56e=i116?ik5a99>7`5=i116?n;5a99>7`d=i1168<;5a99~w6ce2909wS=jb:?0ag<5;<1v<9=:181[70:278j>4>1g9~w1722909wS:>5:?750<5;<1v>m;:181[5d<273h7?=0:p665=838pR?=<;_1g0>{t9hl1<7;?i3;:i6s|3eg94?4|V:nn70=ke;001>{t;l91<77}Y1g9~w`0=838p1h;513289`1=:9?0q~6i:1818>b2hn014>52178yv>e290?w0?6d;3a2>;?j3889634}r1f7`3=9;:0q~l7:18087>l3;i463m9;031>;6;h0:=k5rs53b>5<5s4>:n7`f<69o1v>;::181852=388963<558265=z{8926=4={<30e?47=27:??4>219~w=6=839p1<7k:0`b?8>12;:>70?{tm=0;6?u2e28b`>;b=38;96s|8b83>7}:0k0888528e8140=z{:?=6=4={<162?44=278994>1g9~wge=839p1ol5ae9>fd`d<58<1vil50;1x9a4=;;i01io510d89ae=:9?0q~k>:1818bf288;70k=:326?xu4k10;6?u23b;9653<5:i<6?4<2c9>071=:9?0q~68:1818>02;9>7069:003?xubj3:1>v3jb;001>;ck3;9<6s|9083>7}:1809?8528e825c=z{:l;6=4={<1e5?47=278j44>1g9~w6`52909w0=i3;031>;4n<0:=h5rscc94?4|5kk1>>;4=c;9576752342=67`6=:9?0q~k<:18087>l3;i563j3;001>;6;h0:>=5rs0:2>5<4s4;2h7?m5:?2<4<5;<16=>>510d8yvde2909w0lm:316?8d>28;m7p}>3e83>7}:9:i1mi5215g956b52z?1`3<5;<16>i>51328yv5b<3:1>v370:=7;315>{t<00;6?u2478b`>;3l3>27p}kd;297~;cm38;963j2;32b>;3:k0:=h5rsed94?4|5l:1>=;4=d0957655z?2=a<59>16=>6518g891b=90o01<:j:0;f?87283;:j6s|18d94?1|583o6??6;<30n27?h7?6f:?215<6:916?h7510d896e>28;m70=i7;32b>{t9h:1<76t=0;g>77e34;847?n0:?7`?7f827:8h4>a19>506=9;;01>k6:003?85d13;9<63a09>0a<6i816=9k51`389437288970=j9;315>;4k00:><523g;95767>59z?2=a<59o16=>651`0891b=9h801<:j:0c1?85b83;:j63>518266=:;l31=?<4=2a:>445349m57?=1:p5d5=833p1<7k:302?87403;j?63;d;3b7>;6523d29576<58?;6<<;;<1f=?75;278o44>229>7c?=9;80q~?n4;296~;61m09>>523d;957252z?0g05;cg?826l38;96s|2e:94?5|5;n<6lj4=3f5>db<5;n26?>:;|q;=?6=;r7347ok;<:4>db<51k1>=;4}r61e?6=:r7?>?4n9:?76g<58<1v>h9:18185a?38;9634>219>04d=9;:0q~=i4;296~;4mk0jh6356g=9;80q~?=f;297~;6;10:>k524e826c=:9::1>=;4}r37b?6=:r7:8h4>4g9>506=:9?0q~?<1;297~;6;10:?<5215g9567<58996?>:;|q0a3<72;q6?h=5ae9>7`1=:9?0q~:=8;296~;3:>0:=k5243;965375e34nn6<<<;44434o<6<<<;<61=?76n2.8>;4k7:l060<73tyo:7>55z?g6?44127oi7?=2:?f4?76n27n;7?=2:?76<<6:91/??85d69m773=92wxh84?:4y>`7<5;116hh4>209>a5<6:916i:4>209>07?=9;90(><9:e58j6422;1vi:50;7x9a4=::=01ik513289`6=9;;01h951328914>288:7)==6;f4?k55=390q~j<:1868b52;9=70jj:03e?8c7288970k8:03e?82513;9>6*<278g3>h4:<0?7psm3`f94?1=k3;=wA=72;3xL4dd3tF84>4={o672?113->?9784}%172?g63S9987?t2`8~k6732900e>>>:188k6752900ehm50;9l711=831d?9m50;9j=2<722h847|D:296l>:564?!24m38896*;3`8b<>h3;?0:7p*<4782g7=]1:0:w?95}[110?4|:009o7sfa983>>i5;o0;6)<=c;00a>h5:k0;76a=3e83>!45k388i6`=2c82?>i5;j0;6)<=c;00a>h5:k0976a=3c83>!45k388i6`=2c80?>i5;00;6)<=c;00a>h5:k0?76a=3983>!45k388i6`=2c86?>i5;>0;6)<=c;00a>h5:k0=76a=3783>!45k388i6`=2c84?>d0290:=76510yO7=4=9rB:nn5rL2:0>0}#<=>1?o>4$51`>db<,=9n6?=:;%60e?g?3g>8:7?4}%172?7c>2P2?71>v<6:319ylg?2900c?=i:18'67e=::o0b??m522g8j74e2810c?=l:18'67e=::o0b??m522g8j74e2:10c?=6:18'67e=::o0b??m522g8j74e2<10c?=8:18'67e=::o0b??m522g8j74e2>10eo950;&16f2d9>o4?;:ka1?6=,;8h6o84n30a>4=1<7*=2b8a2>h5:k0976gm3;29 74d2k<0b?n4m6:l16g<332ci<7>5$30`>g0ofm3:1(?10n:4?:282>6}K;181=vF>bb9~ 62128n<7dok:188k7522900el650;9a3?6=;3:1N4091G?5<51z&26f<3<;1ve4:50;9jea<722e9?84?::pe=<72;qUm5527;;7?xu6no0;6?u27;001>X5;<1v?>?:181[gc34=1mi5r}c:94?5=939p@>6=:0yK5ge5;hc;>5<0;6>4?:1y'710=mm1C?5>4L2:1>4}#9;i189<4}h;7>5<<2wx=kh50;0x92<5;<1U>>;4}r034?6=:rTjh638:`f8yxdc29086<4<{M1;6?7|@8hh7p*<4782`<=nim0;66a=3483>>of03:17o950;194?6|,:>=6hj4H2:3?I5?:3;p(<<3:17dok:188k7522900q~o7:181[g?34=1595rs0de>5<5s4=1>>;4^316?xu5890;6?uQae9>3?gc3twi=?4?:282>6}K;181=vF>bb9~ 62128ni7dok:188k7522900el650;9a3?6=;3:1N4091G?5<51z&26f<3<;1ve4:50;9jea<722e9?84?::pe=<72;qUm5527;;7?xu6no0;6?u27;001>X5;<1v?>?:181[gc34=1mi5r}c36>5<42808wA=72;3xL4dd3t.88;4>db9jea<722e9?84?::kb6?;M1;6?7|,88h69:=;|k:0?6=3`ko6=44o316>5<4>:2yO7=4=9rB:nn5r$265>4bc3`ko6=44o316>5<2b8707=za0>1<75fae83>>i5;<0;66s|a983>7}Yi116;77;;|q2bc<72;q6;7<<5:\170=z{;:;6=4={_cg?81=im1vqo<>:180>4<4sE93>7?tH0``?x"4>i5;<0;66gn8;29?g1=8391<7>t$265>`b<@:2;7A=72;3x 44d2=>97pg64;29?lgc2900c?=::188yvg?2909wSo7;<59=1=z{8lm6=4={<596637p}=0183>7}Yim16;7ok;|a67<72:0:6>uC39095~N6jj1v(>:9:0fe?lgc2900c?=::188md>=831i;7>53;294~"4n4;439~m<2=831bmi4?::m170<722wxm54?:3y]e==:?33?7p}>fg83>7}:?38896P=349~w7672909wSok;<59ea=zuzk36=46{_c;?81=i11647o7;5;c;?8712h201??5a99>67>h50;0xZ75a34896?=:;%112?44m2d8>84?;|q17a<72;qU>>j4=339663<,:8=6?=j;o111?752z\17f=:9?09?85+334966c6?5rs31a>5<5sW88n63>5;001>"4:?09?h5a33797>{t::31<77)==6;00a>h4:<0?7p}=3983>7}Y::201i4=349'770=::o0b><::49~w7502909wS<<7:?;>7523-99:7<09?85+334966c6:5rsc594?4|Vk=01?<5ae9'770=j?1e??;50:pf0<72;qUn852208b`>"4:?0i:6`<2482?xue<3:1>vPm4:?22?gc3-99:7l9;o111?4<9:c48j6422:1vo?50;0xZg7<5881mi5+3349f3=i;;?186s|b183>7}Yj916h7ok;%112?d13g9997;4}rce>5<5sWkm7065ae9'770=j?1e??;56:pe`<72;qUmh527;cg?!55>3h=7c==5;58yxd6n<0;6<>5e;35I5?:3;pD?87=na:&77a2.?8548;|&003<6jo1Q5>4={3:963xi4:90;6)<=c;12b>h5:k0;76a<1d83>!45k39:j6`=2c82?>i49m0;6)<=c;12b>h5:k0976a<1b83>!45k39:j6`=2c80?>i49h0;6)<=c;12b>h5:k0?76a<1883>!45k39:j6`=2c86?>i4910;6)<=c;12b>h5:k0=76a<1683>!45k39:j6`=2c84?>odi3:1(?o4>;:k`3?6=,;8h6n74n30a>7=h5:k0876gl4;29 74d2j30b?n4l9:l16g<232ch>7>5$30`>f?d6n10;6>4>:2yO7=4=9rB:nn5r$265>4`63`hn6=44icd94?=h;8<1<75m39a94?5=;3?p(>:9:2:`?ldb2900eoh50;9l740=831i?5750;194?6|,:>=68>4H2:3?l76n3:17d?=0;29?j47=3:17pl<8`83>6<729q/?985519K7=65<6=44}c1;f?6=;3:1::188yvdb2908wSlj;<1;=?7582784l4>1g9~wg`=839pRoh4=2::>47a3493m7?=0:p740=838pR>?9;<1;f?47=2wx?5650;0x96>>2;:>70=7b;32b>{t;131<77623493n7?=0:~wgc=838pRok4=2:`>gc7}Y;8<01>6l:235?x{e9o31<7=51;1xH6>528qC=om4}%172?7a92cii7>5;h`e>5<5<42:0>w)=;6;1;g>oem3:17dli:188k6712900n>66:180>5<7s-9?:7;?;I1;4>o69o0;66g>2183>>i58<0;66sm39c94?5=83:p(>:9:428L6>73`;:j7>5;h314?6=3f8;97>5;|`0<@:2;7d?>f;29?l7583:17b219~w6712909wS=>6:?067:18185?138;963<8c825c=z{:226=4={<1;e?47=2784o4>219~yvdb2909wSlj;<1;g?db3tyij7>52z\ab>;40j0ij6s|30494?4|V:;=70=7c;122>{zj8lj6=4<:080I5?:3;pD5;c1;g?6=;3919v*<47803:17o=79;297?6=8r.88;4:0:J0<5=n98l1<75f13294?=h:9?1<75rb2:b>5<4290;w)=;6;73?M5?82c:=k4?::k265<722e9<84?::a7=d=8391<7>t$265>76?3A93<6g>1g83>>o6:90;66a=0483>>{tjl0;6>uQbd9>7=?=9;:01>6n:03e?xuen3:1?vPmf:?0<<<69o16?5o51328yv56>3:1>vP<179>7=d=:9?0q~=78;296~;40009<85239`954`52z?0vPme:?05<5sW9::63<8b8053=zuk;mn7>53;397~J40;0:wE?mc:'710=9o;0eok50;9jfc<722e8=;4?::`0>i49?0;66l<8883>6<729q/?985519K7=65<6=44}c1;e?6=;3:1N4091b==;50;9~f6>e29086=4?{%172?4702B84=5f10d94?=n9;:1<75`21794?=z{ko1<7=t^cg896>>288;70=7a;32b>{tjo0;6>uQbg9>7=?=98l01>6n:003?xu49?0;6?uQ304896>e2;:>7p}<8983>7}:;131>=;4=2:a>47a3ty8444?:3y>7=g=:9?01>6m:003?x{tjl0;6?uQbd9>7=e=jl1voh50;0xZg`<5:2h6oh4}r122?6=:rT8=;5239a97406}K;181=vF>bb9~ 62128l:7dlj:188mg`=831d?<850;9a7=e=8391?7;t$265>6>d3`hn6=44icd94?=h;8<1<75m39;94?5=83:p(>:9:428L6>73`;:j7>5;h314?6=3f8;97>5;|`0::188yg5?j3:1?7>50z&003<5811C?5>4i03e>5<5<>28;m70=7a;314>{t;8<1<7:;<1;f?76n2wx?5750;0x96>f2;:>70=7b;314>{z{ko1<7d2ko0q~li:181[da3493o7li;|q053<72;qU?<84=2:`>6713twi=kj50;195?5|D:2963;m=6gme;29?lda2900c>?9:188f6>d29086>4:{%172?5?k2cii7>5;h`e>5<5<4290;w)=;6;73?M5?82c:=k4?::k265<722e9<84?::a7=g=8391<7>t$265>06<@:2;7d?>f;29?l7583:17b4?:1y'710=:920D>6?;h32b?6=3`;9<7>5;n031?6=3tyii7>53z\aa>;4000:>=5239c954`;40k09<85rs2:;>5<5s4935766:18185?i38;963<8c8265=zuzhn6=4={_`f?85?k3hn7p}mf;296~Xen2784n4mf:p740=838pR>?9;<1;g?56>2wvn4<4sE93>7?tH0``?x"4>oen3:17b=>6;29?g5?k3:1?7=55z&003<40j1bnh4?::kab?6=3f9::7>5;c1;=?6=;3:1N4091b==;50;9~f6>f29086=4?{%172?373A93<6g>1g83>>o6:90;66a=0483>>{e;1h1<7=50;2x 6212;:37E=70:k25c<722c:>=4?::m140<722wxnh4?:2y]f`=:;131=?>4=2:b>47a3tyij7>53z\ab>;4000:=k5239c957652z\053=:;1h1>=;4}r1;7=d=98l0q~=79;296~;40h09<85239`957652z\aa>;40j0ii6s|bg83>7}Yjo16?5m5bg9~w6712909wS=>6:?05<4290;w)=;6;6e?M5?82c:=k4?::k265<722e9<84?::a7t$265>1`<@:2;7d?>f;29?l7583:17b4?:1y'710=4i03e>5<5<7>53;294~"450;9l653=831vn>o;:180>5<7s-9?:7:i;I1;4>o69o0;66g>2183>>i58<0;66sm33394?4=83:p(>:9:00g?M5?82c:=h4?::m140<722wx?4750;1x94`>2ko01>7n:326?85>k3;9<6s|38`94?5|58lj6ok4=2;`>7623492i7?=0:p770=n0;314>{t;0l1<7=t=0d`>gc<5:k;6?>:;<1b6?7582wx?l?50;1x94`c2ko01>o=:326?85f<3;9<6s|3`194?4|58ln6ok4=2c7>7623ty8>=4?:3y]776<58ln6>?9;%112?56n2d8>84?;|q05`<72;qU?6713-99:7=>f:l060<63ty8=i4?:3y]74b<58lh6>?9;%112?56n2d8>84=;|q05f<72;qU?6713-99:7=>f:l060<43ty8=l4?:3y]74g<58lj6>?9;%112?56n2d8>84;;|q05<<72;qU?<74=0d:>6713-99:7=>f:l060<23ty8=54?:3y]74><58l36>?9;%112?56n2d8>849;|q052<72;qU?<94=202>7623-99:7=>f:l060<03tyhm7>52z\`e>;6nl0ij6*<278`=>h4:<0;7p}l8;297~Xd027:ji4mf:?0e1<69o1/??85c89m773=92wxo:4?:2y]g2=:9oi1nk523`0954`<,:8=6n74n206>7=z{j<1<7=t^b4894`e2kl01>o?:03e?!55>3i27c==5;18yve32908wSm;;<3ee?da3492i7?>f:&06384;;|q`7?6=;rTh?63>f88ab>;41j0:=k5+3349g<=i;;?196s|c383>6}Yk;16=k65bg9>7<9:b;8j6422?1vn?50;6xZf7<58l36ok4=2;b>4473499=7?>e:&063848;|pe=<72;qUm5527;c;?xu5;o0;6>uQ22d892<5;o16=k;5c`9'770=::o0b><::19~w75c2908wS<75c34;m97m7;%112?44m2d8>84>;|q17f<72:qU>>m4=6817f=:9o?1o:5+334966c6?5rs31a>5<4sW88n638:31a?87a=3i=7)==6;00a>h4:<087p}=3883>6}Y::301:4=389>5c3=k=1/??8522g8j6422=1v?=7:180[44027<6?=7;<3e1?e43-99:7<09?:521g79g7=#;;<1>>k4n206>3=z{;9=6=4<{_002>;02;9=70?i5;a2?!55>388i6`<2484?xu4110;6?u27;`4?87a=399<6*<2780=2=i;;?1<6s|38494?4|5>0i963>f4805`=#;;<1?494n206>4=z{:3>6=4={<59f1=:9o?1?6?03g9997<4}r1:0?6=:r7<6o=4=0d6>67d3-99:7=67:l060<43ty85?4?:3y>3?d634;m97=>a:&063<41>1e??;54:p7<7=838p1:4m0:?2b0<4901/??853858j6422<1v>7?:18181=io16=k;530:8 6412:3<7c==5;48yv5?n3:1>v38:`g894`22:;<7)==6;1:3>h4:<0<7psm31a94?3=:3?p@>6=:0yK5ge5=#<=>1?o>4$51`>db<,=9n6?=:;%60e?g?3g>8:7?4$51a>d?4c73`k36=44i`;94?=nim0;66a=3483>>o4:k0;66l8:186>7<2sE93>7?tH0``?x"45fae83>>i5;<0;66gn8;29?lg>2900el>50;9a3?6==3:1N4091G?5<51z&26f<3<;1ve4650;9je5<722c287>5;hcg>5<6=44}c1;5?6=93:102wx=kh50;0x96>628;h7095a19~w7672909w0952278Z7523ty9<<4?:3y]ea=:?3ko7psm3`594?5=83:p(>:9:32:?M5?82c:=k4?::k265<722e9<84?::pe=<72;qUm5527;c;?xuf13:1>vPn9:?0e2<6:91v?=::181[44=27<6?=:;|q06g<72:qU??l4=68b`>;4i>0:=k5rs2c5>5<5s4=1m4523`596530}K;181=vF>bb9~H6>42hq/89;50:&701<4j91/8>m5ae9'06c=::?0(9=n:`:8j151281/8>l5a89m061=82.?8=4<2c9m06>=82w/?9851d38md>=831bm44?::kb`?6=3f8897>5;h11f?6=3k=1<7;52;7xH6>528qC=om4}%172?7d;2cjh7>5;n001?6=3`k36=44i`;94?=ni90;66l8:186>5<7s-9?:7kj;I1;4>o>03:17do?:188m<2=831bmi4?::m170<722wi?5?50;394?6|,:>=6i69j0;66s|a983>7}Yi116;77;;|qb=?6=:rTj5638:8:8yv7an3:1>v3<80825f=:?3k;7p}=0183>7}:?38896P=349~w7662909wSok;<59ea=zuk9j;7>53;294~"4o8:003?xu5;<0;6?uQ227892<5;<1v>47a3ty8m;4?:3y>3?g>349j;76?4:{M1;6?7|@8hh7pB<828b!23=3:0(9:;:2`3?!24k3ko7):"3;h0j46`;3782?!24j3k27c:<7;28 1272:8i7c:<8;28y!53>3;n=6gn8;29?lg>2900elj50;9l663=831b??l50;9a3?6==3819vB<8382M7ek2w/?9851b18mdb=831d>>;50;9je=<722cj57>5;hc3>5<0;684?:1y'710=ml1C?5>4i8:94?=ni90;66g64;29?lgc2900c?=::188yg5?93:1=7>50z&003<69m1C?5>4o03`>5<0246s|1gd94?4|5:2:67p}=0083>7}Yim16;7ok;|a7d1=8391<7>t$265>76f3A93<6g>1g83>>o6:90;66a=0483>>{ti10;6?uQa99>3?g?3tyj57>52z\b=>;4i>0:>=5rs316>5<5sW889638:316?xu4:k0;6>uQ33`8921g9~w6g12909w095a89>7d1=:9?0qpl<4b83>0<52"3<=08n=5+42a9ea=#<:o1>>;4$51b>d>>ofl3:17b<<5;29?l55j3:17o950;796?3|D:2963;h?6gnd;29?j44=3:17do7:188md?=831bm=4?::`4>5<2290;w)=;6;gf?M5?82c247>5;hc3>5<1<75fae83>>i5;<0;66sm39394?7=83:p(>:9:03g?M5?82e:=n4?::pe=<72;qUm5527;;7?xuf13:1>vPn9:?4><>52z?0<4<69j16;7o?;|q145<72;q6;7<<5:\170=z{;::6=4={_cg?81=im1vqo=n7;297?6=8r.88;4=089K7=65<6=44}rc;>5<5sWk37095a99~wd?=838pRl74=2c4>4473ty9?84?:3y]663<5>09?85rs20a>5<4sW99n638:`f896g028;m7p}7}:?3k270=n7;031>{zj:;86=4=:183!53>3;9h6F<819j54c=831d>=;50;9~f672290>6=4?{%172?303A93<6g>1g83>>o6:90;66g>2083>>o6:;0;66a=0483>>{e;=l1<7=50;2x 6212=l0D>6?;h32b?6=3`;9<7>5;n031?6=3th85}#;=<1>=:4H2:3?l76n3:17d?=0;29?l7593:17d?=2;29?l75;3:17d?=4;29?l75=3:17d?=6;29?j47=3:17pl<4883>=<729q/?9852118L6>73`;:j7>5;h314?6=3`;9=7>5;h316?6=3`;9?7>5;h310?6=3`;997>5;n031?6=3th8==4?:383>5}#;=<1=?j4H2:3?l76m3:17b6?;h32a?6=3f8;97>5;|q051<72;qU?<:4=236>7623ty8<<4?:3y]757<5::h6l74}r126?6=:rT8=?5230196537}Y;==01>:8:316?xu47p}67;290~X>?2788:4<2c9>71b=;;h01>:l:20a?xu4m6<5;32b>{t;9l1<7=t=22`>64e349?h7o6;<124?47=2wx?9o50;0x96202h301>:m:326?xu48m0;6>u235d954`<5::n6?>:;<124?76m2wx?9k50;0x962d2h301>:i:326?xu4<10;6?u235;9653<5:>i6"4:?08{t;931<7:t=221>75c349:97?=1:?04`<6:<16?9751378 6412::j7c==5;38yv5703:18v3<03817f=:;8?1=?<4=22f>443349?57?=4:&063<48h1e??;52:p751=839p1>>=:31a?857m3;9?63<488266=#;;<1?=o4n206>6=z{::=6=4<{<136?441278

239>71?=9;80(><9:22b?k55=3>0q~=?5;297~;48;09?55231g9577<5:>26<<>;%112?57i2d8>84:;|q041<72:q6?=<52258966b288;70=;9;314>"4:?08{t;991<7=t=221>751349;i7?>f:?00<<69o1/??8531c8j6422>1vqo=m2;29`?7328np@>6=:0yK5ge=c<,=>>6;5r$265>4703f>9o7>5;n1g=?6=3`9ih7>5;n5g>5<>o?;3:17d50;196?2|D:296N4091G?5<51z&26f<3<;1ve4:50;9jea<722e9?84?::aef<72;0;6=u+354957b<@:2;7d?>e;29?j47=3:17p}n8;296~Xf027jo7?>e:p5c`=838p1:464:?bg?47=2wx>=>50;0x92<5;<1U>>;4}r035?6=:rTjh638:`f8yxd5n80;684=:4yO7=4=9rB:nn5rL2:0>d}#<=?1>6*;4580f5=#<:i1mi5+42g9663<,=9j6l64n515>4=#<:h1m45a42595>"3<908>o5a42:94>{#;=<1=nm4i`:94?=ni00;66gnd;29?j44=3:17d==b;29?g1=83?1>7;tL2:1>4}O9ki0q)=;6;3`7>ofl3:17b<<5;29?lg?2900el750;9je5<722h<6=4::183!53>3on7E=70:k:>{e;1;1<7?50;2x 62128;o7E=70:m25f<722wxm54?:3y]e==:?33?7p}n9;296~Xf127<6464}r3eb?6=:r784<4>1b9>3?g73ty9<=4?:3y>3?44=2T9?85rs322>5<5sWko7095ae9~yg5f?3:1?7>50z&003<5801C?5>4i03e>5<5<>;4=68170=z{:8i6=4<{_11f>;02hn01>o8:03e?xu4i?0;6?u27;c:?85f?38;96srb3d0>5<22;0>wA=72;3xL4dd3tF84>4n{%671?4<,=>?6>l?;%60g?gc3->8i7<<5:&77d;%60f?g>3g>8;7?4$563>64e3g>847>4}%172?7dk2cj47>5;hc:>5<{I3ag>{#;=<1=n=4i`f94?=h::?1<75fa983>>of13:17do?:188f2<72<0;6=u+3549a`=O;1:0e4650;9je5<722c287>5;hcg>5<6=44}c1;5?6=93:102wx=kh50;0x96>628;h7095a19~w7672909w0952278Z7523ty9<<4?:3y]ea=:?3ko7psm3`594?5=83:p(>:9:32:?M5?82c:=k4?::k265<722e9<84?::pe=<72;qUm5527;c;?xuf13:1>vPn9:?0e2<6:91v?=::181[44=27<6?=:;|q06g<72:qU??l4=68b`>;4i>0:=k5rs2c5>5<5s4=1m4523`596530}K;181=vF>bb9~H6>42hq/89;52:&701<4j91/8>m5ae9'06c=::?0(9=n:`:8j151281/8>l5a89m061=92.?8=4<2c9m06>=82w/?9851ba8md>=831bm44?::kb`?6=3f8897>5;h11f?6=3k=1<7;52;7xH6>528qC=om4}%172?7d;2cjh7>5;n001?6=3`k36=44i`;94?=ni90;66l8:186>5<7s-9?:7kj;I1;4>o>03:17do?:188m<2=831bmi4?::m170<722wi?5?50;394?6|,:>=6i69j0;66s|a983>7}Yi116;77;;|qb=?6=:rTj5638:8:8yv7an3:1>v3<80825f=:?3k;7p}=0183>7}:?38896P=349~w7662909wSok;<59ea=zuk9j;7>53;294~"4o8:003?xu5;<0;6?uQ227892<5;<1v>47a3ty8m;4?:3y>3?g>349j;77;tL2:1>4}O9ki0qA=73;cx 1222;1/89:53c28 15d2hn0(9=j:316?!24i3k37c:<6;38 15e2h30b9=8:09'016=;;h0b9=7:19~ 62128ih7do7:188md?=831bmi4?::m170<722c8>o4?::`4>5<22;0>wA=72;3xL4dd3t.88;4>c29jea<722e9?84?::kb50z&003>of83:17d7;:188mdb=831d>>;50;9~f6>6290:6=4?{%172?76l2B84=5`10a94?=z{h21<7<2wxm44?:3y]e<=:?3337p}>fg83>7}:;1;1={t:9:1<7f;29?l7583:17bvPn8:?4>d>70952278yv55j3:1?vP<2c9>3?gc349j;7?>f:p7d0=838p1:4n9:?0e2<58<1vqo6;:186>7<2sE93>7?tH0``?xJ40:0jw):;5;08 1232:h;7):h3;?0:7):of03:17do6:188mdb=831d>>;50;9j77d=831i;7>55;091~J40;0:wE?mc:'710=9j90elj50;9l663=831bm54?::kb=?6=3`k;6=44b683>0<729q/?985ed9K7=6>o><3:17dok:188k7522900qo=71;295?6=8r.88;4>1e9K7=65<5sWk37095959~wd?=838pRl74=68:<>{t9ol1<747d34=1m=5rs323>5<5s4=1>>;4^316?xu5880;6?uQae9>3?gc3twi?l950;194?6|,:>=6?>6;I1;4>o69o0;66g>2183>>i58<0;66s|a983>7}Yi116;7o7;|qb=?6=:rTj5636=4={_001>;02;9>7p}<2c83>6}Y;;h01:4nd:?0e2<69o1v>o9:18181=i016?l952178yxd4l00;684<:6yO7=4=9rB:nn5rL2:0>d}#<=?1>6*;4580f5=#<:i1mi5+42g9663<,=9j6l64n515>4=#<:h1m45a42595>"3<808885a42;94>{#;=<1=h=4i`:94?=ni00;66gnd;29?j44=3:17d=;5;29?g1=83?1>7;tL2:1>4}O9ki0q)=;6;3`7>ofl3:17b<<5;29?lg?2900el750;9je5<722h<6=4::183!53>3on7E=70:k:>{e;1;1<7?50;2x 62128;o7E=70:m25f<722wxm54?:3y]e==:?33?7p}n9;296~Xf127<6464}r3eb?6=:r784<4>1b9>3?g73ty9<=4?:3y>3?44=2T9?85rs322>5<5sWko7095ae9~yg5f?3:1?7>50z&003<5801C?5>4i03e>5<5<53;294~"4o6:003?xufl3:1>vPnd:?0e2<6:91v?=::181[44=27<6?=:;|q000<72:qU?9;4=2c4>47a349j57?>f:p7d>=838p1:4n9:?0e<<58<1v>o9:18181=im16?l952178yxd4=:0;684<:6yO7=4=9rB:nn5rL2:0>d}#<=?1>6*;4580f5=#<:i1mi5+42g9663<,=9j6l64n515>4=#<:h1m45a42595>"3<908>o5a42:94>{#;=<1=nm4i`:94?=ni00;66gnd;29?j44=3:17d==b;29?g1=83?1>7;tL2:1>4}O9ki0q)=;6;3`7>ofl3:17b<<5;29?lg?2900el750;9je5<722h<6=4::183!53>3on7E=70:k:>{e;1;1<7?50;2x 62128;o7E=70:m25f<722wxm54?:3y]e==:?33?7p}n9;296~Xf127<6464}r3eb?6=:r784<4>1b9>3?g73ty9<=4?:3y>3?44=2T9?85rs322>5<5sWko7095ae9~yg5>i3:1?7>50z&003<3n2B84=5f10d94?=n9;:1<75`21794?=zj:k<6=4<:183!53>38;56F<819j54`=831b=?>50;9l653=831vl650;0xZd><5>0j46s|a883>7}Yi016?l951328yvgc2909wSok;<1:e?7582wx>>;50;0xZ75234=1>>;4}r11f?6=;rT8>o5238c954`<5:k<63?<7E=70:k25c<722c:>=4?::k264<722c:>?4?::m140<722wi4;4?:483>5}#;=<1>=k4H2:3?l76n3:17d?=0;29?l7593:17d?=2;29?j47=3:17pl7<729q/?98513f8L6>73`;:i7>5;n031?6=3th??<4?:383>5}#;=<1=?j4H2:3?l76m3:17b4?:1y'710==91C?5>4i03e>5<5<53;294~"45<6=44}c134?6=;3:1::188yg5c83:1?7>50z&003<282B84=5f10d94?=n9;:1<75`21794?=zj=9;6=4<:183!53>3?;7E=70:k25c<722c:>=4?::m140<722wi:?4?:383>5}#;=<1=?j4H2:3?l76m3:17b7p}7}Y;m301>j6:316?xu4jm0;68uQ3cf892c=98l01>j?:03e?82483;:j6392;32a>{t?m0;64uQ7e9>6c7=;;h01?h<:20a?84a=399n6372;11f>;?<399n63:`:897`42h201?h::`:89=4=i116494n8:?0`<4n8:p<4<72:qU4<52838b=>;?>3;:j6s|8283>6}Y0:16494n9:?;2?7592wx>k>50;1xZ7`7348m=7o6;<0eg?76n2wx>k<50;1xZ7`5348m?7o6;<0ea?76n2wx>k:50;1xZ7`3348m97o6;<134?76n2wx?nm50;0xZ6ed349o=7?7ok;|q`f?6=:rThn63=f`825c=z{1:1<7=t=949653<5:no6{t>90;6?u2618170=:?l0:>=5rs3da>5<5s48mm7?=0:?1bf<58<1v?hk:18184ai3;9=63=fd8140=z{;lm6=4={<0ee?75:278<=4=049~w=4=838p15<522789=0=9;:0q~6;:1818>32;9>7069:001?xu4=:0;6?u23419663<5=9;6<k?5227897`d288;7p}=f283>7}::o91>>;4=3df>4473ty9j84?:3y>6c3=::?01>>?:003?xu3:o0;6?u2423954c<5=9;6?>:;|q1ac<72;q6>ko5217896bc288;7p}91;296~;183ko708=:326?xu4lj0;6>u23e;9e<=:;<91m4523ef96536}K;181=vF>bb9~H6>428q/89;56:'710=9l>0elj50;9l663=831bm54?::`4>5<4290;w)=;6;gg?M5?82F84?4>{%31g?23:2wb594?::kb`?6=3f8897>5;|qbv38:316?[44=2wx>=>50;0xZdb<5>0jh6srb3f7>5<42808wA=72;3xL4dd3tF84>4>{%671?05;hc;>5<0;6>4?:1y'710=mm1C?5>4L2:1>4}#9;i189<4}h;7>5<<2wx=kh50;0x92<5;<1U>>;4}r034?6=:rTjh638:`f8yxd5l<0;6>4>:2yO7=4=9rB:nn5rL2:0>4}#<=?1:6s+35495`15<7s-9?:7kk;I1;4>J40;0:w)?=c;676>{n1=0;66gnd;29?j44=3:17p}n8;296~Xf027<64:4}r3eb?6=:r7<6?=:;_001>{t:9:1<7:180>4<4sE93>7?tH0``?xJ40:0:w):;5;48y!53>3;n46gnd;29?j44=3:17do7:188f2<72:0;6=u+3549aa=O;1:0@>6=:0y'57e=<=80qd7;:188mdb=831d>>;50;9~wd>=838pRl64=68:0>{t9ol1<7uC39095~N6jj1v@>6<:0y'013=>2w/?9851d;8mdb=831d>>;50;9je=<722h<6=4<:183!53>3oo7E=70:N0<7<6s-;9o7:;2:j=1<722cjh7>5;n001?6=3tyj47>52z\b<>;020>0q~?if;296~;02;9>7S<<5:p656=838pRlj4=68b`>{zjjh1<7;52;7xH6>528qC=om4}M1;7?7|,=>>6;5r$265>4cf3`ko6=44o316>5<>of83:17o950;794?6|,:>=6hk4H2:3?I5?:3;p(<03:17do?:188m<2=831bmi4?::m170<722wi?5?50;394?6|,:>=6i69j0;66s|a983>7}Yi116;77;;|qb=?6=:rTj5638:8:8yv7an3:1>v3<80825f=:?3k;7p}=0183>7}:?38896P=349~w7662909wSok;<59ea=zuk8hh7>55;091~J40;0:wE?mc:O7=5=9r.?8849;|&003<6mk1bmi4?::m170<722cj47>5;hc:>5<2b8707=za021<75fa183>>o><3:17dok:188k7522900qo=71;295?6=8r.88;4>1e9K7=65<5sWk37095959~wd?=838pRl74=68:<>{t9ol1<747d34=1m=5rs323>5<5s4=1>>;4^316?xu5880;6?uQae9>3?gc3twi?n>50;195?5|D:296?9:188f2<72:0;6=u+3549=7=O;1:0e=;50;9~w`?=838pRh74=68g5>{t9ol1<7e:~f6cb29086<4<{M1;6?7|@8hh7pB<8282!23=3<0q)=;6;3`4>ob13:17d=:0;29?j56>3:17o950;194?6|,:>=64<4H2:3?l76m3:17dj>:188k7622900q~k6:181[c>34=1h<5rs0de>5<5s4=1>=;4^235?xu5890;6?uQ342892<69l1vqo:>8;297?7=;rF84?4>{I3ag>{K;191=v*;4485?x"4>o4=90;66a<1783>>d029086=4?{%172??53A93<6g>1d83>>oc93:17bvPj9:?4>a752z?4>7623W9::6s|21294?4|V:?;709510g8yxd3:=0;6>4>:2yO7=4=9rB:nn5rL2:0>4}#<=?1:6s+35495f6>i58<0;66s|e883>7}Ym016;7j>;|q2bc<72;q6;7;028;n7psmd183>2<420q/?985d19lgf<722c9h<4?::k1`1<722c9h84?::k1ga<722c:n<4?::k2f1<722hhh7>55;294~"4:6F<819j54`=831b=?>50;9j577=831b=?<50;9l653=831vnnk50;194?6|,:>=68>4H2:3?l76n3:17d?=0;29?j47=3:17pllf;297?6=8r.88;4;f:J0<5=n98l1<75f13294?=h:9?1<75rsba94?4|Vji01nh52178yv4c93:1>vP=d09>ga<6:91v?j;:181[4c<27hh7?>f:p6a3=838pR?j:;4463ty9oi4?:3y]6fb<5jo1=;dm3;9<6s|ce83>7}:km09<852cg825c=z{jo1<74}|`:g?6=:3:12e9K7=65<52;294~"4i5G3928m47b2900c?>::188yg5ek3:1>7>50z&003<6:m1C?5>4i03f>5<6=44}c000?6=:3:15<3290;w)=;6;77?M5?82c:=k4?::k265<722c:><4?::m140<722wi?oo50;194?6|,:>=6?>7;I1;4>o69o0;66g>2183>>i58<0;66sm42794?5=83:p(>:9:428L6>73`;:j7>5;h314?6=3f8;97>5;|`0f1<72;0;6=u+354957b<@:2;7d?>e;29?j47=3:17pl=d283>7<729q/?98513f8L6>73`;:i7>5;n031?6=3th:n>4?:383>5}#;=<1=?j4H2:3?l76m3:17b6?;h32a?6=3f8;97>5;|q:b?6=:rT2j63{t;mk1<7;4j?08ni523c097gb7p};2e83>7}Y<;n01>l=:50`?xu39?0;6>uQ404891242=;>70=m6;621>{t<8;1<7:=6s|41:94?4|V=:370:;3;63<>{t;jo1<7{t;m<1<770=m5;1g0>{t<9o1<7:t^52f?85fn3>;i6353z\0fc=:;k?1?n:4=2a3>6713ty8ol4?:2y]7fg<5:h>6>mn;<1`6?76m2wx?ho50;0xZ6cf34;i?7?>e:p051=838pR9>8;<677?26m2wx8?k50;0xZ14b349i97:=c:p041=838pR9?8;<622wx?kk50;0xZ6`b34>??7=ie:p7g1=838pR>l8;<1akl;<677?5bj278n;4<352z\0`6=:;k?1?i<4}r;f>56>jj;|q0aa<72;qU?hj4=2gf>6713ty?>>4?:3y]075<5=8?6>?9;|q0a4<72;qU?h?4=2`5>6c63ty8i>4?:3y]7`5<5:h>6>k<;|q776<72=qU8>=4=2ce>15534>??7:<2:?0f3<3;;1v>mk:181[5dl278n?4;4im08=95rs222>5<5s4>??7=?1:?0ea<4881v?mj:18184dl3k270{t9:i1<745d349i97?oi:050?85e>3;`?<5=;36h74=507>`?<5:i96?>:;|q2f4<72:q6=o?5227894d32hn01i>51c38yv7e<3:1>v3>b58170=:l90:n95rs00f>5<5s49i:7?=e:?0f0<6:l1v><=:18185fn399>63<9`825c=z{:8o6=4={<1bb?55l27??84>219~w7ec2909w0;c838hh6s|4683>7}:;k?18;523cc957636=4={<1a2?21349im7?>f:p7``=838p1>l::2ga?85bm39><6s|30094?4|5=>86>?=;<1b`?56:2wx;i4?:4y>7d`=?m1689=57e9>7g0=?m16?lj5969>7g4=?m1v>l<:18185e:38m8631g9~w7b3290?w0;5l<0jh63k0;0g0>;5ko0:>=5rs3f6>5<4s48o97<<5:?g4?4c=279ok4>209~w4122909w0=m6;341>;4j<0:;85rsba94?4|5jh1m452d18`g>{t;==1<762034>897?>f:p71e=838p1>ok:26`?85>i3;9<6s|42694?4|5=9>6?>:;<1a0?76m2wx>k950;0x91242;om70=m2;0e4>{t9<91<7434349i:7?:3:p5=4=838p1>l9:0:1?85e=3;i<6s|2g494?4|5:h=6?ki;<1a6?4a:2wx8<750;0x96d22=;>70:>8;164>{t;k31<747b349im7=838p1>l9:04;?85e=3;<>6s|22194?4|5:h>6?=<;<000?47=2wx>8>50;0x96ga2;?;70:;3;064>{t9k81<7db<58h86?>:;|q0g6<72;q6?o;53b7896e72:?;7p}<9883>7}:;k814<5238c965352z?0ec<6n?16=k952178yv4ci3:1>v3io4}r313?6=:r78mk4>269>7g4=9;=0q~:=5;296~;4j<0?>?5243697066=4={<1a2?>7349i>76<;|q`f?6=;r78n?4lb:?`f?44=279?94>1d9~w4g22909w0=m6;3b1>;4j<0:mk5rs3f1>5<5s48o=7ok;<0g7?47=2wx8h4?:3y>7g0={t:=?1<7870:;3;077>"4:?09895a33795>{t:=o1<76s|25f94?4|V;>o70:;3;07`>"4:?09895a33797>{t:=i1<7i70:;3;07f>"4:?09895a33791>{t:=k1<7270:;3;07=>"4:?09895a33793>{t:=21<7<70:;3;073>"4:?09895a3379=>{t:=<1<7970:;3;076>"4:?09895a3379f>{t?;0;6?uQ739>7d`=;m1/??85709m773=82wx;=4?:3y]35=:;hl1?o5+334934=i;;?1=6s|6g83>6}Y>o16?lh53`9>015=;h1/??85709m773=:2wx:h4?:2y]2`=:;hl1?55245197==#;;<1;<5a33797>{t>j0;6>uQ6b9>7d`=;?1689=5379'770=?81e??;54:p2g<72:qU:o523`d970=:<=91?85+334934=i;;?196s|6`83>6}Y>h16?lh5359>015=;=1/??85709m773=>2wx:44?:2y]2<=:;hl1?>52451976=#;;<1;<5a33793>{t>10;6>uQ699>7d`=;;1689=5339'770=?81e??;58:p22<72:qU::523`d974=:<=91?<5+334934=i;;?156s|6783>6}Y>?16?lh5319>015=;91/??85709m773=i2wx:84?:2y]20=:;hl1>k5245196c=#;;<1;<5a3379f>{t>=0;6>uQ659>7d`=:l1689=52d9'770=?81e??;5c:p26<72:qU:>523`d96a=:<=91>i5+334934=i;;?1h6s|7`83>6}Y?h16?lh5449>015=<<1/??85709m773=m2wx;44?:2y]3<=:;hl18952451901=#;;<1;<5a3379b>{t?10;6?uQ799>015=<:1/??85709m773=991v:950;0xZ21<5=>869<4$205>2765<5sW==70:;3;62?!55>3=:7c==5;31?xu0=3:1>vP85:?706<382.8>;481:l060<6;2wx;94?:3y]31=:<=91?k5+334934=i;;?1=95rs6194?4|V>9019:<:2g8 6412>;0b><::078yv0c2909wS8k;<677?503-99:79>;o111?713ty8>54?:3y]77><58l<684>;|q:e?6=;rT8>:5Q9`9>=f<69l1vqpsr@AAx50372kl<958<7|BCF~6zHIZpqMN \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE.pad b/cpld/XC95144XL/WarpSE.pad similarity index 95% rename from cpld/XC95144XL/MXSE.pad rename to cpld/XC95144XL/WarpSE.pad index dfd44ed..a901585 100644 --- a/cpld/XC95144XL/MXSE.pad +++ b/cpld/XC95144XL/WarpSE.pad @@ -1,15 +1,15 @@ Release 8.1i - Fit P.20131013 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved - 3-27-2022 10:08AM + 3-28-2022 9:46AM NOTE: This file is designed to be imported into a spreadsheet program such as Microsoft Excel for viewing, printing and sorting. The pipe '|' character is used as the data field separator. This file is also designed to support parsing. -Input file: MXSE.ngd -output file: MXSE.pad +Input file: WarpSE.ngd +output file: WarpSE.pad Part type: xc95144xl Speed grade: -10 Package: tq100 diff --git a/cpld/XC95144XL/MXSE.pnx b/cpld/XC95144XL/WarpSE.pnx similarity index 83% rename from cpld/XC95144XL/MXSE.pnx rename to cpld/XC95144XL/WarpSE.pnx index cc10036..a3ae130 100644 --- a/cpld/XC95144XL/MXSE.pnx +++ b/cpld/XC95144XL/WarpSE.pnx @@ -15,4 +15,4 @@ sr (SLOW|FAST|slow|fast) "SLOW" dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR"> ]> - + diff --git a/cpld/XC95144XL/MXSE.prj b/cpld/XC95144XL/WarpSE.prj similarity index 81% rename from cpld/XC95144XL/MXSE.prj rename to cpld/XC95144XL/WarpSE.prj index 82f2aaf..dc97722 100644 --- a/cpld/XC95144XL/MXSE.prj +++ b/cpld/XC95144XL/WarpSE.prj @@ -4,4 +4,4 @@ verilog work "../IOBM.v" verilog work "../FSB.v" verilog work "../CS.v" verilog work "../CNT.v" -verilog work "../MXSE.v" +verilog work "../WarpSE.v" diff --git a/cpld/XC95144XL/WarpSE.rpt b/cpld/XC95144XL/WarpSE.rpt new file mode 100644 index 0000000..cf5d9fd --- /dev/null +++ b/cpld/XC95144XL/WarpSE.rpt @@ -0,0 +1,1836 @@ + +cpldfit: version P.20131013 Xilinx Inc. + Fitter Report +Design Name: WarpSE Date: 3-28-2022, 9:46AM +Device Used: XC95144XL-10-TQ100 +Fitting Status: Successful + +************************* Mapped Resource Summary ************************** + +Macrocells Product Terms Function Block Registers Pins +Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot +114/144 ( 79%) 463 /720 ( 64%) 253/432 ( 59%) 89 /144 ( 62%) 74 /81 ( 91%) + +** Function Block Resources ** + +Function Mcells FB Inps Pterms IO +Block Used/Tot Used/Tot Used/Tot Used/Tot +FB1 18/18* 20/54 23/90 11/11* +FB2 12/18 9/54 11/90 8/10 +FB3 8/18 38/54 82/90 10/10* +FB4 18/18* 41/54 39/90 10/10* +FB5 14/18 39/54 82/90 8/10 +FB6 18/18* 34/54 64/90 10/10* +FB7 14/18 34/54 81/90 10/10* +FB8 12/18 38/54 81/90 7/10 + ----- ----- ----- ----- + 114/144 253/432 463/720 74/81 + +* - Resource is exhausted + +** Global Control Resources ** + +Signal 'CLK2X_IOB' mapped onto global clock net GCK1. +Signal 'CLK_IOB' mapped onto global clock net GCK2. +Signal 'CLK_FSB' mapped onto global clock net GCK3. +Global output enable net(s) unused. +Global set/reset net(s) unused. + +** Pin Resources ** + +Signal Type Required Mapped | Pin Type Used Total +------------------------------------|------------------------------------ +Input : 36 36 | I/O : 68 73 +Output : 35 35 | GCK/IO : 3 3 +Bidirectional : 0 0 | GTS/IO : 3 4 +GCK : 3 3 | GSR/IO : 0 1 +GTS : 0 0 | +GSR : 0 0 | + ---- ---- + Total 74 74 + +** Power Data ** + +There are 114 macrocells in high performance mode (MCHP). +There are 0 macrocells in low power mode (MCLP). +End of Mapped Resource Summary + ************************** Errors and Warnings *************************** + +WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will + use the default filename of 'WarpSE.ise'. +INFO:Cpld - Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC + constraint 'P22'. It is recommended that you declare this BUFG explicitedly + in your design. Note that for certain device families the output of a BUFG + constraint can not drive a gated clock, and the BUFG constraint will be + ignored. +INFO:Cpld - Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC + constraint 'P27'. It is recommended that you declare this BUFG explicitedly + in your design. Note that for certain device families the output of a BUFG + constraint can not drive a gated clock, and the BUFG constraint will be + ignored. +INFO:Cpld - Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC + constraint 'P23'. It is recommended that you declare this BUFG explicitedly + in your design. Note that for certain device families the output of a BUFG + constraint can not drive a gated clock, and the BUFG constraint will be + ignored. +WARNING:Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused + after optimization. Please verify functionality via simulation. + ************************* Summary of Mapped Logic ************************ + +** 35 Outputs ** + +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +nDTACK_FSB 28 34 FB3_9 28 I/O O STD FAST RESET +nROMWE 1 2 FB3_17 34 I/O O STD FAST +nAoutOE 3 4 FB4_2 87 I/O O STD FAST SET +nDoutOE 2 3 FB4_5 89 I/O O STD FAST RESET +nDinOE 3 7 FB4_6 90 I/O O STD FAST +nVPA_FSB 1 2 FB4_11 93 I/O O STD FAST +nROMCS 3 6 FB5_2 35 I/O O STD FAST +nCAS 1 1 FB5_5 36 I/O O STD FAST RESET +nOE 1 2 FB5_6 37 I/O O STD FAST +RA<4> 2 3 FB5_9 40 I/O O STD FAST +RA<3> 2 3 FB5_11 41 I/O O STD FAST +RA<5> 2 3 FB5_12 42 I/O O STD FAST +RA<2> 2 3 FB5_14 43 I/O O STD FAST +RA<6> 2 3 FB5_15 46 I/O O STD FAST +nVMA_IOB 3 10 FB6_2 74 I/O O STD FAST RESET +nLDS_IOB 4 6 FB6_9 79 I/O O STD FAST RESET +nUDS_IOB 4 6 FB6_11 80 I/O O STD FAST RESET +nAS_IOB 3 4 FB6_12 81 I/O O STD FAST RESET +nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET +nADoutLE0 1 2 FB6_15 85 I/O O STD FAST +nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET +RA<1> 2 3 FB7_2 50 I/O O STD FAST +RA<7> 2 3 FB7_5 52 I/O O STD FAST +RA<0> 2 3 FB7_6 53 I/O O STD FAST +RA<8> 7 7 FB7_8 54 I/O O STD FAST +RA<10> 1 1 FB7_9 55 I/O O STD FAST +RA<9> 2 3 FB7_11 56 I/O O STD FAST +CLK25EN 1 1 FB7_12 58 I/O O STD FAST +CLK20EN 1 1 FB7_14 59 I/O O STD FAST +RA<11> 1 1 FB8_2 63 I/O O STD FAST +nRAS 3 8 FB8_5 64 I/O O STD FAST +nRAMLWE 1 5 FB8_6 65 I/O O STD FAST +nRAMUWE 1 5 FB8_8 66 I/O O STD FAST +nBERR_FSB 3 9 FB8_12 70 I/O O STD FAST +nBR_IOB 1 6 FB8_15 72 I/O O STD FAST SET + +** 79 Buried Nodes ** + +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +ram/RefRAS 1 2 FB1_1 STD RESET +ram/BACTr 1 2 FB1_2 STD RESET +iobm/RESrr 1 1 FB1_3 STD RESET +iobm/RESrf 1 1 FB1_4 STD RESET +iobm/BERRrf 1 1 FB1_5 STD RESET +fsb/ASrf 1 1 FB1_6 STD RESET +cnt/RefCnt<1> 1 1 FB1_7 STD RESET +RESr2 1 1 FB1_8 STD RESET +RESr1 1 1 FB1_9 STD RESET +RESr0 1 1 FB1_10 STD RESET +IPL2r1 1 1 FB1_11 STD RESET +IPL2r0 1 1 FB1_12 STD RESET +$OpTx$FX_DC$591 1 2 FB1_13 STD +iobs/IOU1 2 2 FB1_14 STD RESET +iobs/IOL1 2 2 FB1_15 STD RESET +iobm/IOS_FSM_FFd1 2 3 FB1_16 STD RESET +fsb/BERR1r 2 4 FB1_17 STD RESET +cs/nOverlay1 2 3 FB1_18 STD RESET +iobs/IOACTr 1 1 FB2_7 STD RESET +iobm/VPArr 1 1 FB2_8 STD RESET +iobm/VPArf 1 1 FB2_9 STD RESET +iobm/IOREQr 1 1 FB2_10 STD RESET +iobm/Er2 1 1 FB2_11 STD RESET +iobm/Er 1 1 FB2_12 STD RESET +iobm/DTACKrr 1 1 FB2_13 STD RESET +iobm/DTACKrf 1 1 FB2_14 STD RESET +iobm/BGr1 1 1 FB2_15 STD RESET +iobm/BGr0 1 1 FB2_16 STD RESET +iobm/BERRrr 1 1 FB2_17 STD RESET +cnt/RefCnt<0> 0 0 FB2_18 STD RESET +fsb/VPA 27 33 FB3_1 STD RESET +fsb/Ready0r 3 8 FB3_4 STD RESET +fsb/BERR0r 3 8 FB3_12 STD RESET +cs/nOverlay0 3 8 FB3_13 STD RESET +fsb/Ready1r 8 18 FB3_15 STD RESET +fsb/Ready2r 9 22 FB3_16 STD RESET +iobs/Clear1 1 3 FB4_1 STD RESET +iobs/ALE0 1 2 FB4_3 STD RESET +cnt/RefCnt<7> 1 7 FB4_4 STD RESET +cnt/RefCnt<6> 1 6 FB4_7 STD RESET + +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +cnt/RefCnt<5> 1 5 FB4_8 STD RESET +cnt/RefCnt<4> 1 4 FB4_9 STD RESET +cnt/RefCnt<3> 1 3 FB4_10 STD RESET +cnt/RefCnt<2> 1 2 FB4_12 STD RESET +iobs/PS_FSM_FFd1 2 3 FB4_13 STD RESET +cnt/RefDone 2 10 FB4_14 STD RESET +iobs/IOU0 3 5 FB4_15 STD RESET +cnt/TimeoutA 3 10 FB4_16 STD RESET +iobs/IOReady 4 8 FB4_17 STD RESET +ram/RS_FSM_FFd1 8 14 FB4_18 STD RESET +ram/RAMDIS2 7 15 FB5_3 STD RESET +iobs/IOL0 3 5 FB5_4 STD RESET +iobs/Once 18 19 FB5_7 STD RESET +ram/Once 5 10 FB5_10 STD RESET +iobs/Load1 15 19 FB5_13 STD RESET +ram/RASEL 19 15 FB5_18 STD RESET +iobm/ETACK 1 6 FB6_1 STD RESET +iobm/IOS_FSM_FFd3 3 6 FB6_3 STD RESET +iobm/ES<3> 3 6 FB6_4 STD RESET +iobm/ES<1> 3 4 FB6_5 STD RESET +iobm/ES<0> 3 7 FB6_6 STD RESET +iobm/ALE0 3 5 FB6_7 STD RESET +iobm/ES<4> 4 7 FB6_8 STD RESET +iobm/IOS_FSM_FFd2 5 11 FB6_10 STD RESET +iobm/ES<2> 5 7 FB6_13 STD RESET +iobm/IOACT 7 13 FB6_16 STD RESET +iobm/IOBERR 9 13 FB6_18 STD RESET +ram/RS_FSM_FFd3 11 14 FB7_4 STD RESET +cnt/TimeoutBPre 3 11 FB7_7 STD RESET +ram/RS_FSM_FFd2 14 14 FB7_10 STD RESET +cnt/TimeoutB 3 12 FB7_13 STD RESET +ram/RAMReady 15 15 FB7_15 STD RESET +ram/RAMDIS1 17 15 FB7_18 STD RESET +iobs/PS_FSM_FFd2 15 20 FB8_4 STD RESET +RESDone 1 3 FB8_7 STD RESET +iobs/IOREQ 15 20 FB8_9 STD RESET +iobs/IORW1 17 20 FB8_14 STD RESET +iobs/BERR 4 8 FB8_16 STD RESET +iobs/IORW0 19 21 FB8_18 STD RESET + +** 39 Inputs ** + +Signal Loc Pin Pin Pin +Name No. Type Use +A_FSB<13> FB1_2 11 I/O I +A_FSB<14> FB1_3 12 I/O I +A_FSB<15> FB1_5 13 I/O I +A_FSB<16> FB1_6 14 I/O I +A_FSB<17> FB1_8 15 I/O I +A_FSB<18> FB1_9 16 I/O I +A_FSB<19> FB1_11 17 I/O I +A_FSB<20> FB1_12 18 I/O I +A_FSB<21> FB1_14 19 I/O I +A_FSB<22> FB1_15 20 I/O I +CLK2X_IOB FB1_17 22 GCK/I/O GCK +A_FSB<5> FB2_6 2 GTS/I/O I +A_FSB<6> FB2_8 3 GTS/I/O I +A_FSB<7> FB2_9 4 GTS/I/O I +A_FSB<8> FB2_11 6 I/O I +A_FSB<9> FB2_12 7 I/O I +A_FSB<10> FB2_14 8 I/O I +A_FSB<11> FB2_15 9 I/O I +A_FSB<12> FB2_17 10 I/O I +CLK_IOB FB3_2 23 GCK/I/O GCK/I +A_FSB<23> FB3_5 24 I/O I +E_IOB FB3_6 25 I/O I +CLK_FSB FB3_8 27 GCK/I/O GCK +nWE_FSB FB3_11 29 I/O I +nLDS_FSB FB3_12 30 I/O I +nAS_FSB FB3_14 32 I/O I +nUDS_FSB FB3_15 33 I/O I +nRES FB4_8 91 I/O I +nIPL2 FB4_9 92 I/O I +A_FSB<1> FB4_12 94 I/O I +A_FSB<2> FB4_14 95 I/O I +A_FSB<3> FB4_15 96 I/O I +A_FSB<4> FB4_17 97 I/O I +nBERR_IOB FB6_5 76 I/O I +nVPA_IOB FB6_6 77 I/O I +nDTACK_IOB FB6_8 78 I/O I +SW<1> FB7_15 60 I/O I +SW<0> FB7_17 61 I/O I +nBG_IOB FB8_17 73 I/O I + +Legend: +Pin No. - ~ - User Assigned + ************************** Function Block Details ************************ +Legend: +Total Pt - Total product terms used by the macrocell signal +Imp Pt - Product terms imported from other macrocells +Exp Pt - Product terms exported to other macrocells + in direction shown +Unused Pt - Unused local product terms remaining in macrocell +Loc - Location where logic was mapped in device +Pin Type/Use - I - Input GCK - Global Clock + O - Output GTS - Global Output Enable + (b) - Buried macrocell GSR - Global Set/Reset +X - Signal used as input to the macrocell logic. +Pin No. - ~ - User Assigned + *********************************** FB1 *********************************** +Number of function block inputs used/remaining: 20/34 +Number of signals used by logic mapping into function block: 20 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +ram/RefRAS 1 0 0 4 FB1_1 (b) (b) +ram/BACTr 1 0 0 4 FB1_2 11 I/O I +iobm/RESrr 1 0 0 4 FB1_3 12 I/O I +iobm/RESrf 1 0 0 4 FB1_4 (b) (b) +iobm/BERRrf 1 0 0 4 FB1_5 13 I/O I +fsb/ASrf 1 0 0 4 FB1_6 14 I/O I +cnt/RefCnt<1> 1 0 0 4 FB1_7 (b) (b) +RESr2 1 0 0 4 FB1_8 15 I/O I +RESr1 1 0 0 4 FB1_9 16 I/O I +RESr0 1 0 0 4 FB1_10 (b) (b) +IPL2r1 1 0 0 4 FB1_11 17 I/O I +IPL2r0 1 0 0 4 FB1_12 18 I/O I +$OpTx$FX_DC$591 1 0 0 4 FB1_13 (b) (b) +iobs/IOU1 2 0 0 3 FB1_14 19 I/O I +iobs/IOL1 2 0 0 3 FB1_15 20 I/O I +iobm/IOS_FSM_FFd1 2 0 0 3 FB1_16 (b) (b) +fsb/BERR1r 2 0 0 3 FB1_17 22 GCK/I/O GCK +cs/nOverlay1 2 0 0 3 FB1_18 (b) (b) + +Signals Used by Logic in Function Block + 1: IPL2r0 8: iobm/IOS_FSM_FFd1 15: nIPL2 + 2: RESr0 9: iobm/IOS_FSM_FFd2 16: nLDS_FSB + 3: RESr1 10: iobm/IOS_FSM_FFd3 17: nRES + 4: cnt/RefCnt<0> 11: iobs/BERR 18: nUDS_FSB + 5: cs/nOverlay0 12: iobs/Load1 19: ram/RS_FSM_FFd1 + 6: fsb/ASrf 13: nAS_FSB 20: ram/RS_FSM_FFd2 + 7: fsb/BERR1r 14: nBERR_IOB + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RefRAS ..................XX.................... 2 +ram/BACTr .....X......X........................... 2 +iobm/RESrr ................X....................... 1 +iobm/RESrf ................X....................... 1 +iobm/BERRrf .............X.......................... 1 +fsb/ASrf ............X........................... 1 +cnt/RefCnt<1> ...X.................................... 1 +RESr2 ..X..................................... 1 +RESr1 .X...................................... 1 +RESr0 ................X....................... 1 +IPL2r1 X....................................... 1 +IPL2r0 ..............X......................... 1 +$OpTx$FX_DC$591 .....X......X........................... 2 +iobs/IOU1 ...........X.....X...................... 2 +iobs/IOL1 ...........X...X........................ 2 +iobm/IOS_FSM_FFd1 .......XXX.............................. 3 +fsb/BERR1r .....XX...X.X........................... 4 +cs/nOverlay1 ....XX......X........................... 3 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB2 *********************************** +Number of function block inputs used/remaining: 9/45 +Number of signals used by logic mapping into function block: 9 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 0 5 FB2_1 (b) +(unused) 0 0 0 5 FB2_2 99 GSR/I/O +(unused) 0 0 0 5 FB2_3 (b) +(unused) 0 0 0 5 FB2_4 (b) +(unused) 0 0 0 5 FB2_5 1 GTS/I/O +(unused) 0 0 0 5 FB2_6 2 GTS/I/O I +iobs/IOACTr 1 0 0 4 FB2_7 (b) (b) +iobm/VPArr 1 0 0 4 FB2_8 3 GTS/I/O I +iobm/VPArf 1 0 0 4 FB2_9 4 GTS/I/O I +iobm/IOREQr 1 0 0 4 FB2_10 (b) (b) +iobm/Er2 1 0 0 4 FB2_11 6 I/O I +iobm/Er 1 0 0 4 FB2_12 7 I/O I +iobm/DTACKrr 1 0 0 4 FB2_13 (b) (b) +iobm/DTACKrf 1 0 0 4 FB2_14 8 I/O I +iobm/BGr1 1 0 0 4 FB2_15 9 I/O I +iobm/BGr0 1 0 0 4 FB2_16 (b) (b) +iobm/BERRrr 1 0 0 4 FB2_17 10 I/O I +cnt/RefCnt<0> 0 0 0 5 FB2_18 (b) (b) + +Signals Used by Logic in Function Block + 1: E_IOB 4: iobm/IOACT 7: nBG_IOB + 2: iobm/BGr0 5: iobs/IOREQ 8: nDTACK_IOB + 3: iobm/Er 6: nBERR_IOB 9: nVPA_IOB + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +iobs/IOACTr ...X.................................... 1 +iobm/VPArr ........X............................... 1 +iobm/VPArf ........X............................... 1 +iobm/IOREQr ....X................................... 1 +iobm/Er2 ..X..................................... 1 +iobm/Er X....................................... 1 +iobm/DTACKrr .......X................................ 1 +iobm/DTACKrf .......X................................ 1 +iobm/BGr1 .X...................................... 1 +iobm/BGr0 ......X................................. 1 +iobm/BERRrr .....X.................................. 1 +cnt/RefCnt<0> ........................................ 0 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB3 *********************************** +Number of function block inputs used/remaining: 38/16 +Number of signals used by logic mapping into function block: 38 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +fsb/VPA 27 22<- 0 0 FB3_1 (b) (b) +(unused) 0 0 /\5 0 FB3_2 23 GCK/I/O GCK/I +(unused) 0 0 /\5 0 FB3_3 (b) (b) +fsb/Ready0r 3 1<- /\3 0 FB3_4 (b) (b) +(unused) 0 0 /\1 4 FB3_5 24 I/O I +(unused) 0 0 \/2 3 FB3_6 25 I/O I +(unused) 0 0 \/5 0 FB3_7 (b) (b) +(unused) 0 0 \/5 0 FB3_8 27 GCK/I/O GCK +nDTACK_FSB 28 23<- 0 0 FB3_9 28 I/O O +(unused) 0 0 /\5 0 FB3_10 (b) (b) +(unused) 0 0 /\5 0 FB3_11 29 I/O I +fsb/BERR0r 3 0 /\1 1 FB3_12 30 I/O I +cs/nOverlay0 3 0 \/2 0 FB3_13 (b) (b) +(unused) 0 0 \/5 0 FB3_14 32 I/O I +fsb/Ready1r 8 7<- \/4 0 FB3_15 33 I/O I +fsb/Ready2r 9 4<- 0 0 FB3_16 (b) (b) +nROMWE 1 0 \/4 0 FB3_17 34 I/O O +(unused) 0 0 \/5 0 FB3_18 (b) (b) + +Signals Used by Logic in Function Block + 1: $OpTx$FX_DC$591 14: A_FSB<22> 27: fsb/Ready1r + 2: A_FSB<10> 15: A_FSB<23> 28: fsb/Ready2r + 3: A_FSB<11> 16: A_FSB<8> 29: fsb/VPA + 4: A_FSB<12> 17: A_FSB<9> 30: iobs/BERR + 5: A_FSB<13> 18: SW<1> 31: iobs/IOReady + 6: A_FSB<14> 19: cnt/TimeoutA 32: nADoutLE1 + 7: A_FSB<15> 20: cnt/TimeoutB 33: nAS_FSB + 8: A_FSB<16> 21: cs/nOverlay0 34: nBR_IOB + 9: A_FSB<17> 22: cs/nOverlay1 35: nDTACK_FSB + 10: A_FSB<18> 23: fsb/ASrf 36: nRES + 11: A_FSB<19> 24: fsb/BERR0r 37: nWE_FSB + 12: A_FSB<20> 25: fsb/BERR1r 38: ram/RAMReady + 13: A_FSB<21> 26: fsb/Ready0r + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +fsb/VPA XXXXXXXXXXXXXXXXXXXX.X.XXXXXXXXX.X..XX.. 33 +fsb/Ready0r ............XXX......XX..X......X....X.. 8 +nDTACK_FSB .XXXXXXXXXXXXXXXXXXX.XXXXXXX.XXXXXX.XX.. 34 +fsb/BERR0r ...........XXXX....X..XX........X....... 8 +cs/nOverlay0 ...........XXXX.....X.X.........X..X.... 8 +fsb/Ready1r ....XX.XXXXXXXX..X...XX...X...XXX...X... 18 +fsb/Ready2r .XXXXXXXXXXXXXXXX.X..XX....X....X...X... 22 +nROMWE ................................X...X... 2 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB4 *********************************** +Number of function block inputs used/remaining: 41/13 +Number of signals used by logic mapping into function block: 41 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +iobs/Clear1 1 0 /\2 2 FB4_1 (b) (b) +nAoutOE 3 0 0 2 FB4_2 87 I/O O +iobs/ALE0 1 0 0 4 FB4_3 (b) (b) +cnt/RefCnt<7> 1 0 0 4 FB4_4 (b) (b) +nDoutOE 2 0 0 3 FB4_5 89 I/O O +nDinOE 3 0 0 2 FB4_6 90 I/O O +cnt/RefCnt<6> 1 0 0 4 FB4_7 (b) (b) +cnt/RefCnt<5> 1 0 0 4 FB4_8 91 I/O I +cnt/RefCnt<4> 1 0 0 4 FB4_9 92 I/O I +cnt/RefCnt<3> 1 0 0 4 FB4_10 (b) (b) +nVPA_FSB 1 0 0 4 FB4_11 93 I/O O +cnt/RefCnt<2> 1 0 0 4 FB4_12 94 I/O I +iobs/PS_FSM_FFd1 2 0 0 3 FB4_13 (b) (b) +cnt/RefDone 2 0 0 3 FB4_14 95 I/O I +iobs/IOU0 3 0 0 2 FB4_15 96 I/O I +cnt/TimeoutA 3 0 0 2 FB4_16 (b) (b) +iobs/IOReady 4 0 \/1 0 FB4_17 97 I/O I +ram/RS_FSM_FFd1 8 3<- 0 0 FB4_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<20> 15: cnt/TimeoutA 29: iobs/PS_FSM_FFd1 + 2: A_FSB<21> 16: cs/nOverlay1 30: iobs/PS_FSM_FFd2 + 3: A_FSB<22> 17: fsb/ASrf 31: nADoutLE1 + 4: A_FSB<23> 18: fsb/VPA 32: nAS_FSB + 5: SW<1> 19: iobm/BGr0 33: nAS_IOB + 6: cnt/RefCnt<0> 20: iobm/BGr1 34: nAoutOE + 7: cnt/RefCnt<1> 21: iobm/IOBERR 35: nUDS_FSB + 8: cnt/RefCnt<2> 22: iobm/IOS_FSM_FFd2 36: nWE_FSB + 9: cnt/RefCnt<3> 23: iobm/IOS_FSM_FFd3 37: ram/Once + 10: cnt/RefCnt<4> 24: iobs/IOACTr 38: ram/RS_FSM_FFd1 + 11: cnt/RefCnt<5> 25: iobs/IORW0 39: ram/RS_FSM_FFd2 + 12: cnt/RefCnt<6> 26: iobs/IOReady 40: ram/RS_FSM_FFd3 + 13: cnt/RefCnt<7> 27: iobs/IOU1 41: ram/RefRAS + 14: cnt/RefDone 28: iobs/Once + +Signal 1 2 3 4 5 FB +Name 0----+----0----+----0----+----0----+----0----+----0 Inputs +iobs/Clear1 ............................XXX................... 3 +nAoutOE ..................XX............XX................ 4 +iobs/ALE0 ............................XX.................... 2 +cnt/RefCnt<7> .....XXXXXXX...................................... 7 +nDoutOE .....................XX.X......................... 3 +nDinOE XXXXX..........................X...X.............. 7 +cnt/RefCnt<6> .....XXXXXX....................................... 6 +cnt/RefCnt<5> .....XXXXX........................................ 5 +cnt/RefCnt<4> .....XXXX......................................... 4 +cnt/RefCnt<3> .....XXX.......................................... 3 +nVPA_FSB .................X.............X.................. 2 +cnt/RefCnt<2> .....XX........................................... 2 +iobs/PS_FSM_FFd1 .......................X....XX.................... 3 +cnt/RefDone .....XXXXXXXXX..........................X......... 10 +iobs/IOU0 ..........................X.XXX...X............... 5 +cnt/TimeoutA .....XXXXXXX..X.X..............X.................. 10 +iobs/IOReady ................X...X..X.X.X.XXX.................. 8 +ram/RS_FSM_FFd1 .XXX......XXXX.XX..............X....XXXX.......... 14 + 0----+----1----+----2----+----3----+----4----+----5 + 0 0 0 0 0 + *********************************** FB5 *********************************** +Number of function block inputs used/remaining: 39/15 +Number of signals used by logic mapping into function block: 39 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 /\5 0 FB5_1 (b) (b) +nROMCS 3 0 \/2 0 FB5_2 35 I/O O +ram/RAMDIS2 7 2<- 0 0 FB5_3 (b) (b) +iobs/IOL0 3 0 0 2 FB5_4 (b) (b) +nCAS 1 0 \/1 3 FB5_5 36 I/O O +nOE 1 1<- \/5 0 FB5_6 37 I/O O +iobs/Once 18 13<- 0 0 FB5_7 (b) (b) +(unused) 0 0 /\5 0 FB5_8 39 I/O (b) +RA<4> 2 0 /\3 0 FB5_9 40 I/O O +ram/Once 5 0 0 0 FB5_10 (b) (b) +RA<3> 2 0 \/2 1 FB5_11 41 I/O O +RA<5> 2 2<- \/5 0 FB5_12 42 I/O O +iobs/Load1 15 10<- 0 0 FB5_13 (b) (b) +RA<2> 2 2<- /\5 0 FB5_14 43 I/O O +RA<6> 2 0 /\2 1 FB5_15 46 I/O O +(unused) 0 0 \/4 1 FB5_16 (b) (b) +(unused) 0 0 \/5 0 FB5_17 49 I/O (b) +ram/RASEL 19 14<- 0 0 FB5_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<12> 14: A_FSB<4> 27: iobs/PS_FSM_FFd1 + 2: A_FSB<13> 15: A_FSB<5> 28: iobs/PS_FSM_FFd2 + 3: A_FSB<14> 16: A_FSB<6> 29: nADoutLE1 + 4: A_FSB<15> 17: A_FSB<7> 30: nAS_FSB + 5: A_FSB<16> 18: SW<1> 31: nLDS_FSB + 6: A_FSB<17> 19: cnt/RefCnt<5> 32: nWE_FSB + 7: A_FSB<18> 20: cnt/RefCnt<6> 33: ram/BACTr + 8: A_FSB<19> 21: cnt/RefCnt<7> 34: ram/Once + 9: A_FSB<20> 22: cnt/RefDone 35: ram/RAMDIS2 + 10: A_FSB<21> 23: cs/nOverlay1 36: ram/RASEL + 11: A_FSB<22> 24: fsb/ASrf 37: ram/RS_FSM_FFd1 + 12: A_FSB<23> 25: iobs/IOL1 38: ram/RS_FSM_FFd2 + 13: A_FSB<3> 26: iobs/Once 39: ram/RS_FSM_FFd3 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +nROMCS ........XXXX.....X....X................. 6 +ram/RAMDIS2 .........XXX......XXXXXX.....X...XX.XXX. 15 +iobs/IOL0 ........................X.XXX.X......... 5 +nCAS ...................................X.... 1 +nOE .............................X.X........ 2 +iobs/Once .XX.XXXXXXXX.....X....XX.XXXXX.X........ 19 +RA<4> ..X...........X....................X.... 3 +ram/Once .........XXX..........XX.....X...X..XXX. 10 +RA<3> .X...........X.....................X.... 3 +RA<5> ...X...........X...................X.... 3 +iobs/Load1 .XX.XXXXXXXX.....X....XX.XXXXX.X........ 19 +RA<2> X...........X......................X.... 3 +RA<6> ....X...........X..................X.... 3 +ram/RASEL .........XXX......XXXXXX.....X..XX..XXX. 15 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB6 *********************************** +Number of function block inputs used/remaining: 34/20 +Number of signals used by logic mapping into function block: 34 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +iobm/ETACK 1 0 /\4 0 FB6_1 (b) (b) +nVMA_IOB 3 0 0 2 FB6_2 74 I/O O +iobm/IOS_FSM_FFd3 3 0 0 2 FB6_3 (b) (b) +iobm/ES<3> 3 0 0 2 FB6_4 (b) (b) +iobm/ES<1> 3 0 0 2 FB6_5 76 I/O I +iobm/ES<0> 3 0 0 2 FB6_6 77 I/O I +iobm/ALE0 3 0 0 2 FB6_7 (b) (b) +iobm/ES<4> 4 0 0 1 FB6_8 78 I/O I +nLDS_IOB 4 0 0 1 FB6_9 79 I/O O +iobm/IOS_FSM_FFd2 5 0 0 0 FB6_10 (b) (b) +nUDS_IOB 4 0 0 1 FB6_11 80 I/O O +nAS_IOB 3 0 0 2 FB6_12 81 I/O O +iobm/ES<2> 5 0 0 0 FB6_13 (b) (b) +nADoutLE1 2 0 0 3 FB6_14 82 I/O O +nADoutLE0 1 0 \/1 3 FB6_15 85 I/O O +iobm/IOACT 7 2<- 0 0 FB6_16 (b) (b) +nDinLE 1 0 /\1 3 FB6_17 86 I/O O +iobm/IOBERR 9 4<- 0 0 FB6_18 (b) (b) + +Signals Used by Logic in Function Block + 1: CLK_IOB 13: iobm/Er 24: iobm/VPArr + 2: iobm/ALE0 14: iobm/Er2 25: iobs/ALE0 + 3: iobm/BERRrf 15: iobm/IOACT 26: iobs/Clear1 + 4: iobm/BERRrr 16: iobm/IOBERR 27: iobs/IOL0 + 5: iobm/DTACKrf 17: iobm/IOREQr 28: iobs/IORW0 + 6: iobm/DTACKrr 18: iobm/IOS_FSM_FFd1 29: iobs/IOU0 + 7: iobm/ES<0> 19: iobm/IOS_FSM_FFd2 30: iobs/Load1 + 8: iobm/ES<1> 20: iobm/IOS_FSM_FFd3 31: nADoutLE1 + 9: iobm/ES<2> 21: iobm/RESrf 32: nAoutOE + 10: iobm/ES<3> 22: iobm/RESrr 33: nBERR_IOB + 11: iobm/ES<4> 23: iobm/VPArf 34: nVMA_IOB + 12: iobm/ETACK + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +iobm/ETACK ......XXXXX......................X...... 6 +nVMA_IOB ......XXXXX...X.......XX.......X.X...... 10 +iobm/IOS_FSM_FFd3 X...............XXXX...........X........ 6 +iobm/ES<3> ......XXXX..XX.......................... 6 +iobm/ES<1> ......XX....XX.......................... 4 +iobm/ES<0> ......XXXXX.XX.......................... 7 +iobm/ALE0 ................XXXX...........X........ 5 +iobm/ES<4> ......XXXXX.XX.......................... 7 +nLDS_IOB .................XXX......XX...X........ 6 +iobm/IOS_FSM_FFd2 X.XXXX.....X.....XXXXX.................. 11 +nUDS_IOB .................XXX.......XX..X........ 6 +nAS_IOB .................XXX...........X........ 4 +iobm/ES<2> ......XXXXX.XX.......................... 7 +nADoutLE1 .........................X...XX......... 3 +nADoutLE0 .X......................X............... 2 +iobm/IOACT X.XXXX.....X....XXXXXX.........X........ 13 +nDinLE .................XX..................... 2 +iobm/IOBERR X.XXXX.....X...X.XXXXX..........X....... 13 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB7 *********************************** +Number of function block inputs used/remaining: 34/20 +Number of signals used by logic mapping into function block: 34 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 /\5 0 FB7_1 (b) (b) +RA<1> 2 0 /\2 1 FB7_2 50 I/O O +(unused) 0 0 \/5 0 FB7_3 (b) (b) +ram/RS_FSM_FFd3 11 6<- 0 0 FB7_4 (b) (b) +RA<7> 2 0 /\1 2 FB7_5 52 I/O O +RA<0> 2 0 0 3 FB7_6 53 I/O O +cnt/TimeoutBPre 3 0 \/2 0 FB7_7 (b) (b) +RA<8> 7 2<- 0 0 FB7_8 54 I/O O +RA<10> 1 0 \/4 0 FB7_9 55 I/O O +ram/RS_FSM_FFd2 14 9<- 0 0 FB7_10 (b) (b) +RA<9> 2 2<- /\5 0 FB7_11 56 I/O O +CLK25EN 1 0 /\2 2 FB7_12 58 I/O O +cnt/TimeoutB 3 0 \/1 1 FB7_13 (b) (b) +CLK20EN 1 1<- \/5 0 FB7_14 59 I/O O +ram/RAMReady 15 10<- 0 0 FB7_15 60 I/O I +(unused) 0 0 /\5 0 FB7_16 (b) (b) +(unused) 0 0 \/5 0 FB7_17 61 I/O I +ram/RAMDIS1 17 12<- 0 0 FB7_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<10> 13: A_FSB<9> 24: cnt/TimeoutB + 2: A_FSB<11> 14: SW<0> 25: cnt/TimeoutBPre + 3: A_FSB<17> 15: cnt/RefCnt<0> 26: cs/nOverlay1 + 4: A_FSB<18> 16: cnt/RefCnt<1> 27: fsb/ASrf + 5: A_FSB<19> 17: cnt/RefCnt<2> 28: nAS_FSB + 6: A_FSB<1> 18: cnt/RefCnt<3> 29: ram/BACTr + 7: A_FSB<20> 19: cnt/RefCnt<4> 30: ram/Once + 8: A_FSB<21> 20: cnt/RefCnt<5> 31: ram/RASEL + 9: A_FSB<22> 21: cnt/RefCnt<6> 32: ram/RS_FSM_FFd1 + 10: A_FSB<23> 22: cnt/RefCnt<7> 33: ram/RS_FSM_FFd2 + 11: A_FSB<2> 23: cnt/RefDone 34: ram/RS_FSM_FFd3 + 12: A_FSB<8> + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +RA<1> .X........X...................X......... 3 +ram/RS_FSM_FFd3 .......XXX.........XXXX..XXX.X.XXX...... 14 +RA<7> ..X........X..................X......... 3 +RA<0> X....X........................X......... 3 +cnt/TimeoutBPre ..............XXXXXXXX..X.XX............ 11 +RA<8> ...X...XXX..X............X....X......... 7 +RA<10> .......X................................ 1 +ram/RS_FSM_FFd2 .......XXX.........XXXX..XXXX..XXX...... 14 +RA<9> ....X.X.......................X......... 3 +CLK25EN .............X.......................... 1 +cnt/TimeoutB ..............XXXXXXXX.XX.XX............ 12 +CLK20EN .............X.......................... 1 +ram/RAMReady .......XXX.........XXXX..XXXXX.XXX...... 15 +ram/RAMDIS1 .......XXX.........XXXX..XXXXX.XXX...... 15 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB8 *********************************** +Number of function block inputs used/remaining: 38/16 +Number of signals used by logic mapping into function block: 38 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 /\5 0 FB8_1 (b) (b) +RA<11> 1 0 /\4 0 FB8_2 63 I/O O +(unused) 0 0 \/5 0 FB8_3 (b) (b) +iobs/PS_FSM_FFd2 15 10<- 0 0 FB8_4 (b) (b) +nRAS 3 3<- /\5 0 FB8_5 64 I/O O +nRAMLWE 1 0 /\3 1 FB8_6 65 I/O O +RESDone 1 0 \/1 3 FB8_7 (b) (b) +nRAMUWE 1 1<- \/5 0 FB8_8 66 I/O O +iobs/IOREQ 15 10<- 0 0 FB8_9 67 I/O (b) +(unused) 0 0 /\5 0 FB8_10 (b) (b) +(unused) 0 0 \/1 4 FB8_11 68 I/O (b) +nBERR_FSB 3 1<- \/3 0 FB8_12 70 I/O O +(unused) 0 0 \/5 0 FB8_13 (b) (b) +iobs/IORW1 17 12<- 0 0 FB8_14 71 I/O (b) +nBR_IOB 1 0 /\4 0 FB8_15 72 I/O O +iobs/BERR 4 0 0 1 FB8_16 (b) (b) +(unused) 0 0 \/5 0 FB8_17 73 I/O I +iobs/IORW0 19 14<- 0 0 FB8_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<13> 14: RESr0 27: iobs/IORW1 + 2: A_FSB<14> 15: RESr1 28: iobs/Once + 3: A_FSB<16> 16: RESr2 29: iobs/PS_FSM_FFd1 + 4: A_FSB<17> 17: SW<1> 30: iobs/PS_FSM_FFd2 + 5: A_FSB<18> 18: cnt/TimeoutB 31: nADoutLE1 + 6: A_FSB<19> 19: cs/nOverlay1 32: nAS_FSB + 7: A_FSB<20> 20: fsb/ASrf 33: nLDS_FSB + 8: A_FSB<21> 21: fsb/BERR0r 34: nUDS_FSB + 9: A_FSB<22> 22: fsb/BERR1r 35: nWE_FSB + 10: A_FSB<23> 23: iobm/IOBERR 36: ram/RAMDIS1 + 11: IPL2r0 24: iobs/BERR 37: ram/RAMDIS2 + 12: IPL2r1 25: iobs/IOACTr 38: ram/RefRAS + 13: RESDone 26: iobs/IORW0 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +RA<11> .....X.................................. 1 +iobs/PS_FSM_FFd2 XXXXXXXXXX......X.XX....X..XXXXX..X..... 20 +nRAS .......XXX........X............X...XXX.. 8 +nRAMLWE ...............................XX.XXX... 5 +RESDone .............XXX........................ 3 +nRAMUWE ...............................X.XXXX... 5 +iobs/IOREQ XXXXXXXXXX......X.XX....X..XXXXX..X..... 20 +nBERR_FSB ......XXXX.......X..XX.X.......X........ 9 +iobs/IORW1 XXXXXXXXXX......X.XX......XXXXXX..X..... 20 +nBR_IOB ..........XXXXXX........................ 6 +iobs/BERR ...................X..XXX..X.XXX........ 8 +iobs/IORW0 XXXXXXXXXX......X.XX.....XXXXXXX..X..... 21 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + ******************************* Equations ******************************** + +********** Mapped Logic ********** + + +assign $OpTx$FX_DC$591 = (nAS_FSB && !fsb/ASrf); + + +assign CLK20EN = SW[0]; + + +assign CLK25EN = !SW[0]; + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +FDCPE FDCPE_IPL2r0 (IPL2r0,!nIPL2,CLK_FSB,1'b0,1'b0); + +FDCPE FDCPE_IPL2r1 (IPL2r1,IPL2r0,CLK_FSB,1'b0,1'b0); + + +assign RA[0] = ((A_FSB[10] && !ram/RASEL) + || (ram/RASEL && A_FSB[1])); + + +assign RA[1] = ((A_FSB[11] && !ram/RASEL) + || (ram/RASEL && A_FSB[2])); + + +assign RA[2] = ((A_FSB[12] && !ram/RASEL) + || (ram/RASEL && A_FSB[3])); + + +assign RA[3] = ((A_FSB[13] && !ram/RASEL) + || (ram/RASEL && A_FSB[4])); + + +assign RA[4] = ((A_FSB[14] && !ram/RASEL) + || (ram/RASEL && A_FSB[5])); + + +assign RA[5] = ((A_FSB[15] && !ram/RASEL) + || (ram/RASEL && A_FSB[6])); + + +assign RA[6] = ((A_FSB[16] && !ram/RASEL) + || (ram/RASEL && A_FSB[7])); + + +assign RA[7] = ((A_FSB[8] && ram/RASEL) + || (A_FSB[17] && !ram/RASEL)); + + +assign RA[8] = ((A_FSB[9] && !A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && + ram/RASEL) + || (A_FSB[9] && !A_FSB[23] && A_FSB[22] && A_FSB[21] && + !cs/nOverlay1 && ram/RASEL) + || (A_FSB[23] && A_FSB[18]) + || (A_FSB[18] && !ram/RASEL) + || (A_FSB[22] && !A_FSB[21] && A_FSB[18]) + || (A_FSB[22] && A_FSB[18] && cs/nOverlay1) + || (!A_FSB[22] && A_FSB[18] && !cs/nOverlay1)); + + +assign RA[9] = ((A_FSB[20] && ram/RASEL) + || (A_FSB[19] && !ram/RASEL)); + + +assign RA[10] = A_FSB[21]; + + +assign RA[11] = A_FSB[19]; + +FDCPE FDCPE_RESDone (RESDone,1'b1,CLK_FSB,1'b0,1'b0,RESDone_CE); +assign RESDone_CE = (!RESr0 && !RESr1 && RESr2); + +FDCPE FDCPE_RESr0 (RESr0,!nRES,CLK_FSB,1'b0,1'b0); + +FDCPE FDCPE_RESr1 (RESr1,RESr0,CLK_FSB,1'b0,1'b0); + +FDCPE FDCPE_RESr2 (RESr2,RESr1,CLK_FSB,1'b0,1'b0); + +FTCPE FTCPE_cnt/RefCnt0 (cnt/RefCnt[0],1'b1,CLK_FSB,1'b0,1'b0); + +FTCPE FTCPE_cnt/RefCnt1 (cnt/RefCnt[1],cnt/RefCnt[0],CLK_FSB,1'b0,1'b0); + +FTCPE FTCPE_cnt/RefCnt2 (cnt/RefCnt[2],cnt/RefCnt_T[2],CLK_FSB,1'b0,1'b0); +assign cnt/RefCnt_T[2] = (cnt/RefCnt[0] && cnt/RefCnt[1]); + +FTCPE FTCPE_cnt/RefCnt3 (cnt/RefCnt[3],cnt/RefCnt_T[3],CLK_FSB,1'b0,1'b0); +assign cnt/RefCnt_T[3] = (cnt/RefCnt[0] && cnt/RefCnt[1] && cnt/RefCnt[2]); + +FTCPE FTCPE_cnt/RefCnt4 (cnt/RefCnt[4],cnt/RefCnt_T[4],CLK_FSB,1'b0,1'b0); +assign cnt/RefCnt_T[4] = (cnt/RefCnt[0] && cnt/RefCnt[1] && cnt/RefCnt[3] && + cnt/RefCnt[2]); + +FTCPE FTCPE_cnt/RefCnt5 (cnt/RefCnt[5],cnt/RefCnt_T[5],CLK_FSB,1'b0,1'b0); +assign cnt/RefCnt_T[5] = (cnt/RefCnt[0] && cnt/RefCnt[1] && cnt/RefCnt[3] && + cnt/RefCnt[2] && cnt/RefCnt[4]); + +FTCPE FTCPE_cnt/RefCnt6 (cnt/RefCnt[6],cnt/RefCnt_T[6],CLK_FSB,1'b0,1'b0); +assign cnt/RefCnt_T[6] = (cnt/RefCnt[5] && cnt/RefCnt[0] && cnt/RefCnt[1] && + cnt/RefCnt[3] && cnt/RefCnt[2] && cnt/RefCnt[4]); + +FTCPE FTCPE_cnt/RefCnt7 (cnt/RefCnt[7],cnt/RefCnt_T[7],CLK_FSB,1'b0,1'b0); +assign cnt/RefCnt_T[7] = (cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[0] && + cnt/RefCnt[1] && cnt/RefCnt[3] && cnt/RefCnt[2] && cnt/RefCnt[4]); + +FDCPE FDCPE_cnt/RefDone (cnt/RefDone,cnt/RefDone_D,CLK_FSB,1'b0,1'b0); +assign cnt/RefDone_D = ((!cnt/RefDone && !ram/RefRAS) + || (!cnt/RefCnt[5] && !cnt/RefCnt[6] && !cnt/RefCnt[0] && + !cnt/RefCnt[7] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && !cnt/RefCnt[2] && + !cnt/RefCnt[4])); + +FTCPE FTCPE_cnt/TimeoutA (cnt/TimeoutA,cnt/TimeoutA_T,CLK_FSB,1'b0,1'b0); +assign cnt/TimeoutA_T = ((cnt/TimeoutA && nAS_FSB && !fsb/ASrf) + || (!cnt/TimeoutA && !nAS_FSB && !cnt/RefCnt[5] && + !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && + !cnt/RefCnt[2] && !cnt/RefCnt[4]) + || (!cnt/TimeoutA && !cnt/RefCnt[5] && !cnt/RefCnt[6] && + !cnt/RefCnt[0] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && !cnt/RefCnt[2] && + !cnt/RefCnt[4] && fsb/ASrf)); + +FTCPE FTCPE_cnt/TimeoutB (cnt/TimeoutB,cnt/TimeoutB_T,CLK_FSB,1'b0,1'b0); +assign cnt/TimeoutB_T = ((cnt/TimeoutB && nAS_FSB && !fsb/ASrf) + || (!cnt/TimeoutB && cnt/TimeoutBPre && !nAS_FSB && + !cnt/RefCnt[5] && !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[7] && + !cnt/RefCnt[1] && !cnt/RefCnt[3] && !cnt/RefCnt[2] && !cnt/RefCnt[4]) + || (!cnt/TimeoutB && cnt/TimeoutBPre && !cnt/RefCnt[5] && + !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[7] && !cnt/RefCnt[1] && + !cnt/RefCnt[3] && !cnt/RefCnt[2] && !cnt/RefCnt[4] && fsb/ASrf)); + +FTCPE FTCPE_cnt/TimeoutBPre (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,1'b0,1'b0); +assign cnt/TimeoutBPre_T = ((cnt/TimeoutBPre && nAS_FSB && !fsb/ASrf) + || (!cnt/TimeoutBPre && !nAS_FSB && !cnt/RefCnt[5] && + !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[7] && !cnt/RefCnt[1] && + !cnt/RefCnt[3] && !cnt/RefCnt[2] && !cnt/RefCnt[4]) + || (!cnt/TimeoutBPre && !cnt/RefCnt[5] && !cnt/RefCnt[6] && + !cnt/RefCnt[0] && !cnt/RefCnt[7] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && + !cnt/RefCnt[2] && !cnt/RefCnt[4] && fsb/ASrf)); + +FTCPE FTCPE_cs/nOverlay0 (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,!nRES,1'b0); +assign cs/nOverlay0_T = ((!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && + !cs/nOverlay0 && !nAS_FSB) + || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && + !cs/nOverlay0 && fsb/ASrf)); + +FDCPE FDCPE_cs/nOverlay1 (cs/nOverlay1,cs/nOverlay0,CLK_FSB,1'b0,1'b0,cs/nOverlay1_CE); +assign cs/nOverlay1_CE = (nAS_FSB && !fsb/ASrf); + +FDCPE FDCPE_fsb/ASrf (fsb/ASrf,!nAS_FSB,!CLK_FSB,1'b0,1'b0); + +FDCPE FDCPE_fsb/BERR0r (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,1'b0,1'b0); +assign fsb/BERR0r_D = ((!cnt/TimeoutB && !fsb/BERR0r) + || (nAS_FSB && !fsb/ASrf) + || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && A_FSB[20] && + !fsb/BERR0r)); + +FDCPE FDCPE_fsb/BERR1r (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,1'b0,1'b0); +assign fsb/BERR1r_D = ((!iobs/BERR && !fsb/BERR1r) + || (nAS_FSB && !fsb/ASrf)); + +FDCPE FDCPE_fsb/Ready0r (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,1'b0,1'b0); +assign fsb/Ready0r_D = ((nAS_FSB && !fsb/ASrf) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && + !fsb/Ready0r && !ram/RAMReady) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + !cs/nOverlay1 && !fsb/Ready0r && !ram/RAMReady)); + +FDCPE FDCPE_fsb/Ready1r (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,1'b0,1'b0); +assign fsb/Ready1r_D = ((cs/nOverlay0.EXP) + || (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady) + || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && + !iobs/IOReady) + || (A_FSB[22] && !A_FSB[21] && !fsb/Ready1r && + !iobs/IOReady && !SW[1]) + || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !fsb/Ready1r && !iobs/IOReady) + || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !fsb/Ready1r && !iobs/IOReady) + || (nAS_FSB && !fsb/ASrf)); + +FDCPE FDCPE_fsb/Ready2r (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,1'b0,1'b0); +assign fsb/Ready2r_D = ((nAS_FSB && !fsb/ASrf) + || (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && + A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && + A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && + A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && + !fsb/Ready2r) + || (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && + A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && + A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && + A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && + !fsb/Ready2r) + || (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && + !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && + A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && + A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && + !fsb/Ready2r) + || (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && + A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && + A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && + A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && + !fsb/Ready2r) + || (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && + A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && + A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && + A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && + !fsb/Ready2r) + || (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && + !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && + A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && + A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && + !fsb/Ready2r) + || (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && + !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && + A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && + A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && + !fsb/Ready2r) + || (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && + !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && + A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && + A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && + !fsb/Ready2r)); + +FDCPE FDCPE_fsb/VPA (fsb/VPA,fsb/VPA_D,CLK_FSB,1'b0,1'b0); +assign fsb/VPA_D = ((EXP15_.EXP) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && + !fsb/Ready0r && fsb/VPA && !ram/RAMReady && !$OpTx$FX_DC$591) + || (A_FSB[22] && !A_FSB[21] && !fsb/Ready1r && fsb/VPA && + !iobs/IOReady && !SW[1] && !$OpTx$FX_DC$591) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && !ram/RAMReady && + !$OpTx$FX_DC$591) + || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !$OpTx$FX_DC$591) + || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !$OpTx$FX_DC$591) + || (nROMWE_OBUF.EXP) + || (A_FSB[23] && cnt/TimeoutB && fsb/VPA && + !$OpTx$FX_DC$591) + || (!A_FSB[22] && cnt/TimeoutB && fsb/VPA && + !$OpTx$FX_DC$591) + || (A_FSB[21] && cnt/TimeoutB && fsb/VPA && + !$OpTx$FX_DC$591) + || (A_FSB[23] && !fsb/Ready1r && fsb/VPA && + !iobs/IOReady && !$OpTx$FX_DC$591) + || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && + fsb/VPA && !iobs/IOReady && !$OpTx$FX_DC$591) + || (iobs/BERR && fsb/VPA && !$OpTx$FX_DC$591) + || (fsb/BERR0r && fsb/VPA && !$OpTx$FX_DC$591) + || (fsb/BERR1r && fsb/VPA && !$OpTx$FX_DC$591) + || (fsb/VPA && !nBR_IOB && !$OpTx$FX_DC$591) + || (!A_FSB[20] && cnt/TimeoutB && fsb/VPA && + !$OpTx$FX_DC$591)); + +FDCPE FDCPE_iobm/ALE0 (iobm/ALE0,iobm/ALE0_D,CLK2X_IOB,1'b0,1'b0); +assign iobm/ALE0_D = ((iobm/IOS_FSM_FFd2) + || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1) + || (!iobm/IOS_FSM_FFd1 && iobm/IOREQr && !nAoutOE)); + +FDCPE FDCPE_iobm/BERRrf (iobm/BERRrf,!nBERR_IOB,!CLK2X_IOB,1'b0,1'b0); + +FDCPE FDCPE_iobm/BERRrr (iobm/BERRrr,!nBERR_IOB,CLK2X_IOB,1'b0,1'b0); + +FDCPE FDCPE_iobm/BGr0 (iobm/BGr0,!nBG_IOB,CLK2X_IOB,1'b0,1'b0); + +FDCPE FDCPE_iobm/BGr1 (iobm/BGr1,iobm/BGr0,CLK2X_IOB,1'b0,1'b0); + +FDCPE FDCPE_iobm/DTACKrf (iobm/DTACKrf,!nDTACK_IOB,!CLK2X_IOB,1'b0,1'b0); + +FDCPE FDCPE_iobm/DTACKrr (iobm/DTACKrr,!nDTACK_IOB,CLK2X_IOB,1'b0,1'b0); + +FTCPE FTCPE_iobm/ES0 (iobm/ES[0],iobm/ES_T[0],CLK2X_IOB,1'b0,1'b0); +assign iobm/ES_T[0] = ((iobm/ES[0] && !iobm/Er && iobm/Er2) + || (!iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && + !iobm/ES[3] && !iobm/ES[4] && iobm/Er) + || (!iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && + !iobm/ES[3] && !iobm/ES[4] && !iobm/Er2)); + +FDCPE FDCPE_iobm/ES1 (iobm/ES[1],iobm/ES_D[1],CLK2X_IOB,1'b0,1'b0); +assign iobm/ES_D[1] = ((iobm/ES[0] && iobm/ES[1]) + || (!iobm/ES[0] && !iobm/ES[1]) + || (!iobm/Er && iobm/Er2)); + +FDCPE FDCPE_iobm/ES2 (iobm/ES[2],iobm/ES_D[2],CLK2X_IOB,1'b0,1'b0); +assign iobm/ES_D[2] = ((!iobm/ES[0] && !iobm/ES[2]) + || (!iobm/ES[1] && !iobm/ES[2]) + || (!iobm/Er && iobm/Er2) + || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2]) + || (!iobm/ES[2] && !iobm/ES[3] && iobm/ES[4])); + +FTCPE FTCPE_iobm/ES3 (iobm/ES[3],iobm/ES_T[3],CLK2X_IOB,1'b0,1'b0); +assign iobm/ES_T[3] = ((iobm/ES[3] && !iobm/Er && iobm/Er2) + || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && iobm/Er) + || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && !iobm/Er2)); + +FTCPE FTCPE_iobm/ES4 (iobm/ES[4],iobm/ES_T[4],CLK2X_IOB,1'b0,1'b0); +assign iobm/ES_T[4] = ((iobm/ES[4] && !iobm/Er && iobm/Er2) + || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && + iobm/ES[3] && iobm/Er) + || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && + iobm/ES[3] && !iobm/Er2) + || (iobm/ES[0] && iobm/ES[1] && !iobm/ES[2] && + !iobm/ES[3] && iobm/ES[4])); + +FDCPE FDCPE_iobm/ETACK (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,1'b0,1'b0); +assign iobm/ETACK_D = (!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && + !iobm/ES[3] && iobm/ES[4]); + +FDCPE FDCPE_iobm/Er (iobm/Er,E_IOB,!CLK_IOB,1'b0,1'b0); + +FDCPE FDCPE_iobm/Er2 (iobm/Er2,iobm/Er,CLK2X_IOB,1'b0,1'b0); + +FDCPE FDCPE_iobm/IOACT (iobm/IOACT,iobm/IOACT_D,CLK2X_IOB,1'b0,1'b0); +assign iobm/IOACT_D = ((CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + iobm/DTACKrf && iobm/DTACKrr) + || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + iobm/RESrf && iobm/RESrr) + || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) + || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 && + !iobm/IOREQr) + || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 && nAoutOE) + || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + iobm/ETACK) + || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + iobm/BERRrf && iobm/BERRrr)); + +FTCPE FTCPE_iobm/IOBERR (iobm/IOBERR,iobm/IOBERR_T,CLK2X_IOB,1'b0,1'b0); +assign iobm/IOBERR_T = ((CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && + iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/RESrf && + iobm/RESrr) + || (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && + iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/BERRrf && + iobm/BERRrr) + || (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && + iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/DTACKrf && + iobm/DTACKrr) + || (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && + iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/RESrf && + iobm/RESrr) + || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && + !iobm/IOS_FSM_FFd2 && iobm/IOBERR) + || (CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && + iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/ETACK) + || (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && + iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/ETACK) + || (CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && + iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/BERRrf && + iobm/BERRrr) + || (CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && + iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/DTACKrf && + iobm/DTACKrr)); + +FDCPE FDCPE_iobm/IOREQr (iobm/IOREQr,iobs/IOREQ,!CLK2X_IOB,1'b0,1'b0); + +FDCPE FDCPE_iobm/IOS_FSM_FFd1 (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,1'b0,1'b0); +assign iobm/IOS_FSM_FFd1_D = ((iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1) + || (!iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd2)); + +FTCPE FTCPE_iobm/IOS_FSM_FFd2 (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,CLK2X_IOB,1'b0,1'b0); +assign iobm/IOS_FSM_FFd2_T = ((iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && + !iobm/IOS_FSM_FFd2) + || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + iobm/IOS_FSM_FFd2 && iobm/ETACK) + || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + iobm/IOS_FSM_FFd2 && iobm/BERRrf && iobm/BERRrr) + || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + iobm/IOS_FSM_FFd2 && iobm/DTACKrf && iobm/DTACKrr) + || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + iobm/IOS_FSM_FFd2 && iobm/RESrf && iobm/RESrr)); + +FDCPE FDCPE_iobm/IOS_FSM_FFd3 (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,1'b0,1'b0); +assign iobm/IOS_FSM_FFd3_D = ((iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2) + || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && + !iobm/IOS_FSM_FFd2) + || (!CLK_IOB && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2 && + iobm/IOREQr && !nAoutOE)); + +FDCPE FDCPE_iobm/RESrf (iobm/RESrf,!nRES,!CLK2X_IOB,1'b0,1'b0); + +FDCPE FDCPE_iobm/RESrr (iobm/RESrr,!nRES,CLK2X_IOB,1'b0,1'b0); + +FDCPE FDCPE_iobm/VPArf (iobm/VPArf,!nVPA_IOB,!CLK2X_IOB,1'b0,1'b0); + +FDCPE FDCPE_iobm/VPArr (iobm/VPArr,!nVPA_IOB,CLK2X_IOB,1'b0,1'b0); + +FDCPE FDCPE_iobs/ALE0 (iobs/ALE0,iobs/ALE0_D,CLK_FSB,1'b0,1'b0); +assign iobs/ALE0_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); + +FTCPE FTCPE_iobs/BERR (iobs/BERR,iobs/BERR_T,CLK_FSB,1'b0,1'b0); +assign iobs/BERR_T = ((iobs/BERR && nAS_FSB && !fsb/ASrf) + || (iobs/Once && iobs/BERR && !iobs/PS_FSM_FFd2 && + !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) + || (iobs/Once && !iobs/BERR && !nAS_FSB && + !iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1) + || (iobs/Once && !iobs/BERR && !iobs/PS_FSM_FFd2 && + !iobs/IOACTr && iobm/IOBERR && fsb/ASrf && nADoutLE1)); + +FDCPE FDCPE_iobs/Clear1 (iobs/Clear1,iobs/Clear1_D,CLK_FSB,1'b0,1'b0); +assign iobs/Clear1_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && !nADoutLE1); + +FDCPE FDCPE_iobs/IOACTr (iobs/IOACTr,iobm/IOACT,CLK_FSB,1'b0,1'b0); + +FDCPE FDCPE_iobs/IOL0 (iobs/IOL0,iobs/IOL0_D,CLK_FSB,1'b0,1'b0,iobs/IOL0_CE); +assign iobs/IOL0_D = ((!nLDS_FSB && nADoutLE1) + || (iobs/IOL1 && !nADoutLE1)); +assign iobs/IOL0_CE = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); + +FDCPE FDCPE_iobs/IOL1 (iobs/IOL1,!nLDS_FSB,CLK_FSB,1'b0,1'b0,iobs/Load1); + +FDCPE FDCPE_iobs/IOREQ (iobs/IOREQ,iobs/IOREQ_D,CLK_FSB,1'b0,1'b0); +assign iobs/IOREQ_D = ((!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && + !iobs/PS_FSM_FFd2 && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && + !iobs/PS_FSM_FFd2 && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && + !iobs/PS_FSM_FFd2 && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && + !iobs/PS_FSM_FFd2 && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && + !iobs/PS_FSM_FFd2 && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && + !iobs/PS_FSM_FFd2 && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && nWE_FSB && + !iobs/PS_FSM_FFd2 && nADoutLE1) + || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && + SW[1] && nADoutLE1) + || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && + !iobs/PS_FSM_FFd2 && nADoutLE1) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + cs/nOverlay1 && !iobs/PS_FSM_FFd2 && nADoutLE1) + || (!iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1) + || (iobs/PS_FSM_FFd1 && iobs/IOACTr) + || (iobs/Once && !iobs/PS_FSM_FFd2 && nADoutLE1) + || (nAS_FSB && !iobs/PS_FSM_FFd2 && !fsb/ASrf && + nADoutLE1) + || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && + !iobs/PS_FSM_FFd2 && nADoutLE1)); + +FTCPE FTCPE_iobs/IORW0 (iobs/IORW0,iobs/IORW0_T,CLK_FSB,1'b0,1'b0); +assign iobs/IORW0_T = ((A_FSB_19_IBUF$BUF0.EXP) + || (iobs/IORW0 && iobs/IORW1 && !nADoutLE1) + || (!iobs/IORW0 && !iobs/IORW1 && !nADoutLE1) + || (nAS_FSB && !fsb/ASrf && nADoutLE1) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !iobs/IORW0 && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && nADoutLE1) + || (!A_FSB[23] && !A_FSB[20] && SW[1] && nADoutLE1) + || (!nWE_FSB && !iobs/IORW0 && nADoutLE1) + || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && + nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && nADoutLE1) + || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && + nADoutLE1) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + cs/nOverlay1 && nADoutLE1)); + +FTCPE FTCPE_iobs/IORW1 (iobs/IORW1,iobs/IORW1_T,CLK_FSB,1'b0,1'b0); +assign iobs/IORW1_T = ((iobs/Once) + || (!nADoutLE1) + || (nBERR_FSB_OBUF.EXP) + || (nAS_FSB && !fsb/ASrf) + || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) + || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[20]) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[19]) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[18]) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[17]) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[16]) + || (nWE_FSB && iobs/IORW1) + || (!nWE_FSB && !iobs/IORW1) + || (!A_FSB[23] && A_FSB[21] && !iobs/IORW1)); + +FTCPE FTCPE_iobs/IOReady (iobs/IOReady,iobs/IOReady_T,CLK_FSB,1'b0,1'b0); +assign iobs/IOReady_T = ((iobs/IOReady && nAS_FSB && !fsb/ASrf) + || (iobs/Once && iobs/IOReady && !iobs/PS_FSM_FFd2 && + !iobs/IOACTr && iobm/IOBERR && nADoutLE1) + || (iobs/Once && !iobs/IOReady && !nAS_FSB && + !iobs/PS_FSM_FFd2 && !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) + || (iobs/Once && !iobs/IOReady && !iobs/PS_FSM_FFd2 && + !iobs/IOACTr && !iobm/IOBERR && fsb/ASrf && nADoutLE1)); + +FDCPE FDCPE_iobs/IOU0 (iobs/IOU0,iobs/IOU0_D,CLK_FSB,1'b0,1'b0,iobs/IOU0_CE); +assign iobs/IOU0_D = ((!nUDS_FSB && nADoutLE1) + || (iobs/IOU1 && !nADoutLE1)); +assign iobs/IOU0_CE = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); + +FDCPE FDCPE_iobs/IOU1 (iobs/IOU1,!nUDS_FSB,CLK_FSB,1'b0,1'b0,iobs/Load1); + +FDCPE FDCPE_iobs/Load1 (iobs/Load1,iobs/Load1_D,CLK_FSB,1'b0,1'b0); +assign iobs/Load1_D = ((iobs/Once) + || (!nADoutLE1) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[20]) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[19]) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[17]) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[16]) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[18]) + || (!A_FSB[23] && A_FSB[21] && nWE_FSB) + || (!A_FSB[23] && !A_FSB[20] && SW[1]) + || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21]) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + cs/nOverlay1) + || (nAS_FSB && !fsb/ASrf) + || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) + || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1)); + +FDCPE FDCPE_iobs/Once (iobs/Once,iobs/Once_D,CLK_FSB,1'b0,1'b0); +assign iobs/Once_D = ((A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd1) + || (!iobs/Once && iobs/PS_FSM_FFd1 && !nADoutLE1) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !iobs/Once) + || (!A_FSB[23] && !A_FSB[22] && !iobs/Once && + !cs/nOverlay1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && !iobs/Once) + || (RA_4_OBUF.EXP) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && !iobs/Once) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && !iobs/Once) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && !iobs/Once) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && !iobs/Once) + || (!A_FSB[23] && A_FSB[21] && nWE_FSB && !iobs/Once) + || (nAS_FSB && !fsb/ASrf) + || (A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd2) + || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd2) + || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd1) + || (!iobs/Once && iobs/PS_FSM_FFd2 && !nADoutLE1)); + +FDCPE FDCPE_iobs/PS_FSM_FFd1 (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,1'b0,1'b0); +assign iobs/PS_FSM_FFd1_D = ((iobs/PS_FSM_FFd2) + || (iobs/PS_FSM_FFd1 && iobs/IOACTr)); + +FDCPE FDCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,1'b0,1'b0); +assign iobs/PS_FSM_FFd2_D = ((!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && nWE_FSB && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) + || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && + !iobs/PS_FSM_FFd1 && SW[1] && nADoutLE1) + || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) + || (iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1 && + iobs/IOACTr) + || (!iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1 && + !iobs/IOACTr) + || (iobs/Once && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && + nADoutLE1) + || (nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && + !fsb/ASrf && nADoutLE1) + || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)); + + +assign nADoutLE0 = (!iobm/ALE0 && !iobs/ALE0); + +FDCPE FDCPE_nADoutLE1 (nADoutLE1,nADoutLE1_D,CLK_FSB,1'b0,1'b0); +assign nADoutLE1_D = ((iobs/Load1) + || (!iobs/Clear1 && !nADoutLE1)); + +FDCPE FDCPE_nAS_IOB (nAS_IOB_I,nAS_IOB,!CLK2X_IOB,1'b0,1'b0); +assign nAS_IOB = ((!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2) + || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2)); +assign nAS_IOB = nAS_IOB_OE ? nAS_IOB_I : 1'bZ; +assign nAS_IOB_OE = !nAoutOE; + +FDCPE FDCPE_nAoutOE (nAoutOE,nAoutOE_D,CLK2X_IOB,1'b0,1'b0); +assign nAoutOE_D = ((!iobm/BGr0 && !iobm/BGr1) + || (!iobm/BGr1 && nAoutOE) + || (!nAS_IOB && !iobm/BGr0 && !nAoutOE)); + + +assign nBERR_FSB = ((nAS_FSB) + || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && A_FSB[20] && + !iobs/BERR && !fsb/BERR0r && !fsb/BERR1r) + || (!iobs/BERR && !cnt/TimeoutB && !fsb/BERR0r && + !fsb/BERR1r)); + +FDCPE FDCPE_nBR_IOB (nBR_IOB,1'b0,CLK_FSB,1'b0,1'b0,nBR_IOB_CE); +assign nBR_IOB_CE = (RESr0 && RESr1 && IPL2r0 && RESr2 && !RESDone && + IPL2r1); + +FDCPE FDCPE_nCAS (nCAS,!ram/RASEL,!CLK_FSB,1'b0,1'b0); + +FDCPE FDCPE_nDTACK_FSB (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,1'b0,1'b0); +assign nDTACK_FSB_D = ((EXP18_.EXP) + || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB) + || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && + A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && + cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && + !nADoutLE1) + || (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && + A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && + A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && + A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && + !fsb/Ready2r && nDTACK_FSB) + || (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && + A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && + A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && + A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && + !fsb/Ready2r && nDTACK_FSB) + || (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && + !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && + A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && + A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && + !fsb/Ready2r && nDTACK_FSB) + || (EXP21_.EXP) + || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && + !iobs/IOReady && nDTACK_FSB) + || (A_FSB[22] && !A_FSB[21] && !fsb/Ready1r && + !iobs/IOReady && nDTACK_FSB && !SW[1]) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + !cs/nOverlay1 && !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) + || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB) + || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && + A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && + cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && + !nADoutLE1) + || (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && + A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && + A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && + A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && + !fsb/Ready2r && nDTACK_FSB) + || (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && + A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && + A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && + A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && + !fsb/Ready2r && nDTACK_FSB) + || (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && + !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && + A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && + A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && + !fsb/Ready2r && nDTACK_FSB) + || (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && + !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && + A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && + A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && + !fsb/Ready2r && nDTACK_FSB) + || (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && + !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && + A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && + A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && + !fsb/Ready2r && nDTACK_FSB)); + +FDCPE FDCPE_nDinLE (nDinLE,nDinLE_D,!CLK2X_IOB,1'b0,1'b0); +assign nDinLE_D = (iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2); + + +assign nDinOE = ((A_FSB[23] && nWE_FSB && !nAS_FSB) + || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && + !nAS_FSB) + || (A_FSB[22] && !A_FSB[21] && nWE_FSB && !nAS_FSB && + !SW[1])); + +FDCPE FDCPE_nDoutOE (nDoutOE,nDoutOE_D,CLK2X_IOB,1'b0,1'b0); +assign nDoutOE_D = ((!iobs/IORW0) + || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2)); + +FDCPE FDCPE_nLDS_IOB (nLDS_IOB_I,nLDS_IOB,!CLK2X_IOB,1'b0,1'b0); +assign nLDS_IOB = ((iobs/IOL0 && !iobm/IOS_FSM_FFd3 && + iobm/IOS_FSM_FFd2) + || (iobs/IOL0 && iobm/IOS_FSM_FFd1 && + iobm/IOS_FSM_FFd2) + || (!iobs/IORW0 && iobs/IOL0 && iobm/IOS_FSM_FFd3 && + !iobm/IOS_FSM_FFd1)); +assign nLDS_IOB = nLDS_IOB_OE ? nLDS_IOB_I : 1'bZ; +assign nLDS_IOB_OE = !nAoutOE; + + +assign nOE = !((nWE_FSB && !nAS_FSB)); + + +assign nRAMLWE = !((!nWE_FSB && !nLDS_FSB && !ram/RAMDIS2 && !nAS_FSB && + !ram/RAMDIS1)); + + +assign nRAMUWE = !((!nWE_FSB && !nUDS_FSB && !ram/RAMDIS2 && !nAS_FSB && + !ram/RAMDIS1)); + + +assign nRAS = !(((ram/RefRAS) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && + !ram/RAMDIS2 && !nAS_FSB && !ram/RAMDIS1) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + !cs/nOverlay1 && !ram/RAMDIS2 && !nAS_FSB && !ram/RAMDIS1))); + + +assign nROMCS = !(((A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !A_FSB[20] && + !SW[1]) + || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && + SW[1]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !A_FSB[20] && + !cs/nOverlay1))); + + +assign nROMWE = !((!nWE_FSB && !nAS_FSB)); + +FDCPE FDCPE_nUDS_IOB (nUDS_IOB_I,nUDS_IOB,!CLK2X_IOB,1'b0,1'b0); +assign nUDS_IOB = ((iobs/IOU0 && !iobm/IOS_FSM_FFd3 && + iobm/IOS_FSM_FFd2) + || (iobs/IOU0 && iobm/IOS_FSM_FFd1 && + iobm/IOS_FSM_FFd2) + || (!iobs/IORW0 && iobs/IOU0 && iobm/IOS_FSM_FFd3 && + !iobm/IOS_FSM_FFd1)); +assign nUDS_IOB = nUDS_IOB_OE ? nUDS_IOB_I : 1'bZ; +assign nUDS_IOB_OE = !nAoutOE; + +FTCPE FTCPE_nVMA_IOB (nVMA_IOB_I,nVMA_IOB_T,CLK2X_IOB,1'b0,1'b0); +assign nVMA_IOB_T = ((!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && + !iobm/ES[3] && !iobm/ES[4]) + || (nVMA_IOB && iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && + !iobm/ES[3] && !iobm/ES[4] && iobm/IOACT && iobm/VPArf && + iobm/VPArr)); +assign nVMA_IOB = nVMA_IOB_OE ? nVMA_IOB_I : 1'bZ; +assign nVMA_IOB_OE = !nAoutOE; + + +assign nVPA_FSB = !((fsb/VPA && !nAS_FSB)); + +FDCPE FDCPE_ram/BACTr (ram/BACTr,ram/BACTr_D,CLK_FSB,1'b0,1'b0); +assign ram/BACTr_D = (nAS_FSB && !fsb/ASrf); + +FTCPE FTCPE_ram/Once (ram/Once,ram/Once_T,CLK_FSB,1'b0,1'b0); +assign ram/Once_T = ((ram/Once && nAS_FSB && !fsb/ASrf) + || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && + !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && + !ram/RS_FSM_FFd3) + || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && + !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && + !ram/RS_FSM_FFd3) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && + !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && + !ram/RS_FSM_FFd3 && fsb/ASrf)); + +FDCPE FDCPE_ram/RAMDIS1 (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,1'b0,1'b0); +assign ram/RAMDIS1_D = ((RA_1_OBUF.EXP) + || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && + !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) + || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf) + || (!cnt/RefDone && ram/Once && !ram/RS_FSM_FFd1 && + !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) + || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && + cnt/RefCnt[7]) + || (!cnt/RefDone && nAS_FSB && !ram/RS_FSM_FFd1 && + !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && + !fsb/ASrf) + || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && !nAS_FSB && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr) + || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf) + || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && + !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr) + || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf) + || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && + !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr) + || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd3) + || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) + || (A_FSB[23] && !cnt/RefDone && !nAS_FSB && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr) + || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && + !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf) + || (!cnt/RefDone && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && + cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7])); + +FTCPE FTCPE_ram/RAMDIS2 (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,1'b0,1'b0); +assign ram/RAMDIS2_T = ((!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && + ram/Once && !cs/nOverlay1 && !ram/RAMDIS2 && !nAS_FSB && + !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && + cnt/RefCnt[7]) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && + ram/Once && !cs/nOverlay1 && !ram/RAMDIS2 && !ram/RS_FSM_FFd2 && + !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && + fsb/ASrf) + || (ram/RAMDIS2 && nAS_FSB && !fsb/ASrf) + || (!cnt/RefDone && ram/Once && !ram/RAMDIS2 && !nAS_FSB && + ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && + cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) + || (!cnt/RefDone && ram/Once && !ram/RAMDIS2 && + ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && + cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) + || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && + cs/nOverlay1 && !ram/RAMDIS2 && !nAS_FSB && !ram/RS_FSM_FFd2 && + !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) + || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && + cs/nOverlay1 && !ram/RAMDIS2 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && + cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf)); + +FDCPE FDCPE_ram/RAMReady (ram/RAMReady,ram/RAMReady_D,CLK_FSB,1'b0,1'b0); +assign ram/RAMReady_D = ((ram/RS_FSM_FFd2) + || (ram/RS_FSM_FFd3) + || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && + !nAS_FSB && !ram/RS_FSM_FFd1) + || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && + !ram/RS_FSM_FFd1 && fsb/ASrf) + || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && !nAS_FSB && + !ram/RS_FSM_FFd1 && !ram/BACTr) + || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && + !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/BACTr) + || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && + !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/BACTr) + || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && + !ram/RS_FSM_FFd1 && !ram/BACTr && fsb/ASrf) + || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && + !ram/RS_FSM_FFd1 && !ram/BACTr && fsb/ASrf) + || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && + !ram/RS_FSM_FFd1 && !ram/BACTr && fsb/ASrf) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && + !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && + !cs/nOverlay1 && !ram/RS_FSM_FFd1 && fsb/ASrf) + || (!cnt/RefDone && cnt/RefCnt[5] && cnt/RefCnt[6] && + cnt/RefCnt[7]) + || (A_FSB[23] && !cnt/RefDone && !nAS_FSB && + !ram/RS_FSM_FFd1 && !ram/BACTr) + || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && + !ram/BACTr && fsb/ASrf)); + +FDCPE FDCPE_ram/RASEL (ram/RASEL,ram/RASEL_D,CLK_FSB,1'b0,1'b0); +assign ram/RASEL_D = ((A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && + !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr) + || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf) + || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && + !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr) + || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf) + || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && cnt/RefCnt[5] && cnt/RefCnt[6] && + cnt/RefCnt[7]) + || (EXP26_.EXP) + || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && + !ram/RS_FSM_FFd2 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) + || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && + !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2) + || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && fsb/ASrf) + || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && !nAS_FSB && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr) + || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf) + || (!ram/RS_FSM_FFd2 && ram/RS_FSM_FFd3) + || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && + !ram/RS_FSM_FFd3) + || (A_FSB[23] && !cnt/RefDone && !nAS_FSB && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr) + || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && + !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf) + || (!cnt/RefDone && nAS_FSB && !ram/RS_FSM_FFd2 && + cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && !fsb/ASrf)); + +FDCPE FDCPE_ram/RS_FSM_FFd1 (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,CLK_FSB,1'b0,1'b0); +assign ram/RS_FSM_FFd1_D = ((!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && + ram/Once && !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && + !ram/RS_FSM_FFd2 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && + ram/Once && !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && + cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) + || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && + cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && + cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) + || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) + || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd3) + || (!cnt/RefDone && !nAS_FSB && ram/RS_FSM_FFd1 && + !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) + || (!cnt/RefDone && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && + cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) + || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && + cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && + cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7])); + +FDCPE FDCPE_ram/RS_FSM_FFd2 (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,CLK_FSB,1'b0,1'b0); +assign ram/RS_FSM_FFd2_D = ((!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && + !cnt/RefCnt[5] && ram/BACTr) + || (!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && + !cnt/RefCnt[6] && ram/BACTr) + || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && + !cnt/RefCnt[5] && !fsb/ASrf) + || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && + !cnt/RefCnt[7] && !fsb/ASrf) + || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && + !cnt/RefCnt[6] && !fsb/ASrf) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && + !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && + !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + !cs/nOverlay1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf) + || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) + || (cnt/RefDone && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) + || (!nAS_FSB && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) + || (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf) + || (!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && + !cnt/RefCnt[7] && ram/BACTr)); + +FDCPE FDCPE_ram/RS_FSM_FFd3 (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_D,CLK_FSB,1'b0,1'b0); +assign ram/RS_FSM_FFd3_D = ((!cnt/RefDone && !nAS_FSB && ram/RS_FSM_FFd1 && + !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && + cnt/RefCnt[7]) + || (!cnt/RefDone && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && + !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && + fsb/ASrf) + || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && + cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && + cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) + || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && + cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && + cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && + !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && + cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && + !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && + cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) + || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) + || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && + !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) + || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && + !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && + !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf)); + +FDCPE FDCPE_ram/RefRAS (ram/RefRAS,ram/RefRAS_D,CLK_FSB,1'b0,1'b0); +assign ram/RefRAS_D = (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2); + +Register Legend: + FDCPE (Q,D,C,CLR,PRE,CE); + FTCPE (Q,D,C,CLR,PRE,CE); + LDCP (Q,D,G,CLR,PRE); + + ****************************** Device Pin Out ***************************** + +Device : XC95144XL-10-TQ100 + + + -------------------------------------------------- + /100 98 96 94 92 90 88 86 84 82 80 78 76 \ + | 99 97 95 93 91 89 87 85 83 81 79 77 | + | 1 75 | + | 2 74 | + | 3 73 | + | 4 72 | + | 5 71 | + | 6 70 | + | 7 69 | + | 8 68 | + | 9 67 | + | 10 66 | + | 11 65 | + | 12 64 | + | 13 XC95144XL-10-TQ100 63 | + | 14 62 | + | 15 61 | + | 16 60 | + | 17 59 | + | 18 58 | + | 19 57 | + | 20 56 | + | 21 55 | + | 22 54 | + | 23 53 | + | 24 52 | + | 25 51 | + | 27 29 31 33 35 37 39 41 43 45 47 49 | + \26 28 30 32 34 36 38 40 42 44 46 48 50 / + -------------------------------------------------- + + +Pin Signal Pin Signal +No. Name No. Name + 1 KPR 51 VCC + 2 A_FSB<5> 52 RA<7> + 3 A_FSB<6> 53 RA<0> + 4 A_FSB<7> 54 RA<8> + 5 VCC 55 RA<10> + 6 A_FSB<8> 56 RA<9> + 7 A_FSB<9> 57 VCC + 8 A_FSB<10> 58 CLK25EN + 9 A_FSB<11> 59 CLK20EN + 10 A_FSB<12> 60 SW<1> + 11 A_FSB<13> 61 SW<0> + 12 A_FSB<14> 62 GND + 13 A_FSB<15> 63 RA<11> + 14 A_FSB<16> 64 nRAS + 15 A_FSB<17> 65 nRAMLWE + 16 A_FSB<18> 66 nRAMUWE + 17 A_FSB<19> 67 KPR + 18 A_FSB<20> 68 KPR + 19 A_FSB<21> 69 GND + 20 A_FSB<22> 70 nBERR_FSB + 21 GND 71 KPR + 22 CLK2X_IOB 72 nBR_IOB + 23 CLK_IOB 73 nBG_IOB + 24 A_FSB<23> 74 nVMA_IOB + 25 E_IOB 75 GND + 26 VCC 76 nBERR_IOB + 27 CLK_FSB 77 nVPA_IOB + 28 nDTACK_FSB 78 nDTACK_IOB + 29 nWE_FSB 79 nLDS_IOB + 30 nLDS_FSB 80 nUDS_IOB + 31 GND 81 nAS_IOB + 32 nAS_FSB 82 nADoutLE1 + 33 nUDS_FSB 83 TDO + 34 nROMWE 84 GND + 35 nROMCS 85 nADoutLE0 + 36 nCAS 86 nDinLE + 37 nOE 87 nAoutOE + 38 VCC 88 VCC + 39 KPR 89 nDoutOE + 40 RA<4> 90 nDinOE + 41 RA<3> 91 nRES + 42 RA<5> 92 nIPL2 + 43 RA<2> 93 nVPA_FSB + 44 GND 94 A_FSB<1> + 45 TDI 95 A_FSB<2> + 46 RA<6> 96 A_FSB<3> + 47 TMS 97 A_FSB<4> + 48 TCK 98 VCC + 49 KPR 99 KPR + 50 RA<1> 100 GND + + +Legend : NC = Not Connected, unbonded pin + PGND = Unused I/O configured as additional Ground pin + TIE = Unused I/O floating -- must tie to VCC, GND or other signal + KPR = Unused I/O with weak keeper (leave unconnected) + VCC = Dedicated Power Pin + GND = Dedicated Ground Pin + TDI = Test Data In, JTAG pin + TDO = Test Data Out, JTAG pin + TCK = Test Clock, JTAG pin + TMS = Test Mode Select, JTAG pin + PROHIBITED = User reserved pin + **************************** Compiler Options **************************** + +Following is a list of all global compiler options used by the fitter run. + +Device(s) Specified : xc95144xl-10-TQ100 +Optimization Method : SPEED +Multi-Level Logic Optimization : ON +Ignore Timing Specifications : OFF +Default Register Power Up Value : LOW +Keep User Location Constraints : ON +What-You-See-Is-What-You-Get : OFF +Exhaustive Fitting : OFF +Keep Unused Inputs : OFF +Slew Rate : FAST +Power Mode : STD +Ground on Unused IOs : OFF +Set I/O Pin Termination : KEEPER +Global Clock Optimization : ON +Global Set/Reset Optimization : ON +Global Ouput Enable Optimization : ON +Input Limit : 54 +Pterm Limit : 50 diff --git a/cpld/XC95144XL/MXSE.lfp b/cpld/XC95144XL/WarpSE.stx similarity index 100% rename from cpld/XC95144XL/MXSE.lfp rename to cpld/XC95144XL/WarpSE.stx diff --git a/cpld/XC95144XL/MXSE.syr b/cpld/XC95144XL/WarpSE.syr similarity index 82% rename from cpld/XC95144XL/MXSE.syr rename to cpld/XC95144XL/WarpSE.syr index 21736cd..50a806d 100644 --- a/cpld/XC95144XL/MXSE.syr +++ b/cpld/XC95144XL/WarpSE.syr @@ -4,15 +4,15 @@ Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. Total REAL time to Xst completion: 1.00 secs -Total CPU time to Xst completion: 0.96 secs +Total CPU time to Xst completion: 0.84 secs --> Parameter xsthdpdir set to xst Total REAL time to Xst completion: 1.00 secs -Total CPU time to Xst completion: 0.98 secs +Total CPU time to Xst completion: 0.87 secs ---> Reading design: MXSE.prj +--> Reading design: WarpSE.prj TABLE OF CONTENTS 1) Synthesis Options Summary @@ -31,17 +31,17 @@ TABLE OF CONTENTS * Synthesis Options Summary * ========================================================================= ---- Source Parameters -Input File Name : "MXSE.prj" +Input File Name : "WarpSE.prj" Input Format : mixed Ignore Synthesis Constraint File : NO ---- Target Parameters -Output File Name : "MXSE" +Output File Name : "WarpSE" Output Format : NGC Target Device : XC9500XL CPLDs ---- Source Options -Top Module Name : MXSE +Top Module Name : WarpSE Automatic FSM Extraction : YES FSM Encoding Algorithm : Auto Safe Implementation : No @@ -56,8 +56,8 @@ Equivalent register Removal : YES ---- General Options Optimization Goal : Speed -Optimization Effort : 1 -Keep Hierarchy : Yes +Optimization Effort : 2 +Keep Hierarchy : No Netlist Hierarchy : As_Optimized RTL Output : Yes Hierarchy Separator : / @@ -86,17 +86,17 @@ Compiling verilog file "../CS.v" in library work Module compiled Compiling verilog file "../CNT.v" in library work Module compiled -Compiling verilog file "../MXSE.v" in library work +Compiling verilog file "../WarpSE.v" in library work Module compiled -Module compiled +Module compiled No errors in compilation -Analysis of file <"MXSE.prj"> succeeded. +Analysis of file <"WarpSE.prj"> succeeded. ========================================================================= * Design Hierarchy Analysis * ========================================================================= -Analyzing hierarchy for module in library . +Analyzing hierarchy for module in library . Analyzing hierarchy for module in library . @@ -114,8 +114,8 @@ Analyzing hierarchy for module in library . ========================================================================= * HDL Analysis * ========================================================================= -Analyzing top module . -Module is correct for synthesis. +Analyzing top module . +Module is correct for synthesis. Analyzing module in library . Module is correct for synthesis. @@ -284,8 +284,8 @@ Synthesizing Unit . Unit synthesized. -Synthesizing Unit . - Related source file is "../MXSE.v". +Synthesizing Unit . + Related source file is "../WarpSE.v". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit tristate buffer for signal . Found 1-bit tristate buffer for signal . @@ -301,7 +301,7 @@ WARNING:Xst:647 - Input > is never used. This port will be preserved and l Summary: inferred 7 D-type flip-flop(s). inferred 4 Tristate(s). -Unit synthesized. +Unit synthesized. ========================================================================= @@ -347,17 +347,17 @@ Optimizing FSM on signal with johnson encoding. 01 | 10 ------------------- Analyzing FSM for best encoding. -Optimizing FSM on signal with user encoding. +Optimizing FSM on signal with compact encoding. ------------------- State | Encoding ------------------- 000 | 000 010 | 010 - 101 | 101 - 001 | 001 + 101 | 001 + 001 | 101 011 | 011 - 100 | 100 - 111 | 111 + 100 | 111 + 111 | 100 110 | 110 ------------------- WARNING:Xst:1426 - The value init of the FF/Latch 0 hinder the constant cleaning in the block RESDone. @@ -381,12 +381,12 @@ Macro Statistics ========================================================================= * Low Level Synthesis * ========================================================================= -WARNING:Xst:1426 - The value init of the FF/Latch RESDone hinder the constant cleaning in the block MXSE. +WARNING:Xst:1426 - The value init of the FF/Latch RESDone hinder the constant cleaning in the block WarpSE. You should achieve better results by setting this init to 1. -WARNING:Xst:1426 - The value init of the FF/Latch Disable hinder the constant cleaning in the block MXSE. +WARNING:Xst:1426 - The value init of the FF/Latch Disable hinder the constant cleaning in the block WarpSE. You should achieve better results by setting this init to 1. -Optimizing unit ... +Optimizing unit ... implementation constraint: INIT=r : RESr0 implementation constraint: INIT=r : RESr1 implementation constraint: INIT=r : RESr2 @@ -394,51 +394,39 @@ Optimizing unit ... implementation constraint: INIT=r : IPL2r1 implementation constraint: INIT=r : Disable implementation constraint: INIT=r : RESDone - -Optimizing unit ... - implementation constraint: INIT=r : nOverlay0 - implementation constraint: INIT=r : nOverlay1 - -Optimizing unit ... - implementation constraint: INIT=r : RAMReady - implementation constraint: INIT=r : RASEL - implementation constraint: INIT=r : RAMDIS1 - implementation constraint: INIT=r : RefRAS - implementation constraint: INIT=r : RAMDIS2 - implementation constraint: INIT=r : Once - implementation constraint: INIT=r : RS_FSM_FFd1 - implementation constraint: INIT=r : RS_FSM_FFd2 - implementation constraint: INIT=r : RS_FSM_FFd3 - -Optimizing unit ... - implementation constraint: INIT=r : IOACTr - implementation constraint: INIT=r : PS_FSM_FFd2 - implementation constraint: INIT=r : Once - implementation constraint: INIT=r : PS_FSM_FFd1 - -Optimizing unit ... - implementation constraint: INIT=r : ASrf - -Optimizing unit ... - implementation constraint: INIT=r : IOREQr - implementation constraint: INIT=r : ETACK - implementation constraint: INIT=r : BGr0 - implementation constraint: INIT=r : BGr1 - implementation constraint: INIT=r : BG - implementation constraint: INIT=r : IOS_FSM_FFd1 - implementation constraint: INIT=r : IOS_FSM_FFd2 - implementation constraint: INIT=r : IOS_FSM_FFd3 - -Optimizing unit ... - implementation constraint: INIT=r : RefDone - implementation constraint: INIT=r : RefCnt_7 - implementation constraint: INIT=r : RefCnt_6 - implementation constraint: INIT=r : RefCnt_5 - implementation constraint: INIT=r : RefCnt_4 - implementation constraint: INIT=r : RefCnt_3 - implementation constraint: INIT=r : RefCnt_2 - implementation constraint: INIT=r : RefCnt_1 - implementation constraint: INIT=r : RefCnt_0 + implementation constraint: INIT=r : ram/RAMReady + implementation constraint: INIT=r : ram/RASEL + implementation constraint: INIT=r : ram/RAMDIS1 + implementation constraint: INIT=r : ram/RefRAS + implementation constraint: INIT=r : ram/RAMDIS2 + implementation constraint: INIT=r : ram/Once + implementation constraint: INIT=r : iobs/IOACTr + implementation constraint: INIT=r : ram/RS_FSM_FFd1 + implementation constraint: INIT=r : iobs/Once + implementation constraint: INIT=r : cs/nOverlay0 + implementation constraint: INIT=r : cs/nOverlay1 + implementation constraint: INIT=r : iobs/PS_FSM_FFd1 + implementation constraint: INIT=r : iobs/PS_FSM_FFd2 + implementation constraint: INIT=r : iobm/ETACK + implementation constraint: INIT=r : iobm/BGr0 + implementation constraint: INIT=r : iobm/BGr1 + implementation constraint: INIT=r : iobm/BG + implementation constraint: INIT=r : iobm/IOREQr + implementation constraint: INIT=r : fsb/ASrf + implementation constraint: INIT=r : ram/RS_FSM_FFd2 + implementation constraint: INIT=r : cnt/RefDone + implementation constraint: INIT=r : cnt/RefCnt_0 + implementation constraint: INIT=r : cnt/RefCnt_1 + implementation constraint: INIT=r : cnt/RefCnt_2 + implementation constraint: INIT=r : cnt/RefCnt_3 + implementation constraint: INIT=r : cnt/RefCnt_4 + implementation constraint: INIT=r : cnt/RefCnt_5 + implementation constraint: INIT=r : cnt/RefCnt_6 + implementation constraint: INIT=r : cnt/RefCnt_7 + implementation constraint: INIT=r : ram/RS_FSM_FFd3 + implementation constraint: INIT=r : iobm/IOS_FSM_FFd1 + implementation constraint: INIT=r : iobm/IOS_FSM_FFd2 + implementation constraint: INIT=r : iobm/IOS_FSM_FFd3 ========================================================================= * Partition Report * @@ -455,11 +443,11 @@ Partition Implementation Status * Final Report * ========================================================================= Final Results -RTL Top Level Output File Name : MXSE.ngr -Top Level Output File Name : MXSE +RTL Top Level Output File Name : WarpSE.ngr +Top Level Output File Name : WarpSE Output Format : NGC Optimization Goal : Speed -Keep Hierarchy : Yes +Keep Hierarchy : No Target Technology : XC9500XL CPLDs Macro Preserve : YES XOR Preserve : YES @@ -470,20 +458,20 @@ Design Statistics # IOs : 75 Cell Usage : -# BELS : 606 -# AND2 : 168 -# AND3 : 27 -# AND4 : 12 -# AND5 : 1 -# AND6 : 2 +# BELS : 596 +# AND2 : 165 +# AND3 : 25 +# AND4 : 15 +# AND5 : 3 +# AND6 : 1 # AND7 : 1 # AND8 : 3 -# GND : 7 -# INV : 260 -# OR2 : 99 -# OR3 : 11 +# GND : 1 +# INV : 265 +# OR2 : 98 +# OR3 : 5 # OR4 : 1 -# VCC : 2 +# VCC : 1 # XOR2 : 12 # FlipFlops/Latches : 89 # FD : 60 @@ -497,12 +485,12 @@ Cell Usage : ========================================================================= -Total REAL time to Xst completion: 29.00 secs -Total CPU time to Xst completion: 29.19 secs +Total REAL time to Xst completion: 39.00 secs +Total CPU time to Xst completion: 38.76 secs --> -Total memory usage is 205076 kilobytes +Total memory usage is 236884 kilobytes Number of errors : 0 ( 0 filtered) Number of warnings : 5 ( 0 filtered) diff --git a/cpld/XC95144XL/WarpSE.tim b/cpld/XC95144XL/WarpSE.tim new file mode 100644 index 0000000..45fff9d --- /dev/null +++ b/cpld/XC95144XL/WarpSE.tim @@ -0,0 +1,919 @@ + Performance Summary Report + -------------------------- + +Design: WarpSE +Device: XC95144XL-10-TQ100 +Speed File: Version 3.0 +Program: Timing Report Generator: version P.20131013 +Date: Mon Mar 28 09:28:06 2022 + +Timing Constraint Summary: + +TS_CLK_IOB=PERIOD:CLK_IOB:142.857nS:HIGH:71.428nS N/A +TS_CLK_FSB=PERIOD:CLK_FSB:40.000nS:HIGH:20.000nS Met +TS_CLK2X_IOB=PERIOD:CLK2X_IOB:66.666nS:HIGH:33.333nS Met + +Performance Summary: + +Pad to Pad (tPD) : 11.0ns (1 macrocell levels) +Pad 'A_FSB<11>' to Pad 'RA<1>' + +Clock net 'CLK_IOB' path delays: + +Setup to Clock at the Pad (tSU) : 6.5ns (0 macrocell levels) +Data signal 'E_IOB' to DFF D input Pin at 'iobm/Er.D' +Clock pad 'CLK_IOB' (GCK) + + Minimum Clock Period: 9.0ns + Maximum Internal Clock Speed: 111.1Mhz + (Limited by Clock Pulse Width) + +Clock net 'CLK_FSB' path delays: + +Clock Pad to Output Pad (tCO) : 14.5ns (2 macrocell levels) +Clock Pad 'CLK_FSB' to Output Pad 'RA<1>' (GCK) + +Clock to Setup (tCYC) : 20.1ns (2 macrocell levels) +Clock to Q, net 'cs/nOverlay1.Q' to DFF Setup(D) at 'fsb/VPA.D' (GCK) +Target FF drives output net 'fsb/VPA' + +Setup to Clock at the Pad (tSU) : 16.6ns (1 macrocell levels) +Data signal 'A_FSB<23>' to DFF D input Pin at 'fsb/VPA.D' +Clock pad 'CLK_FSB' (GCK) + + Minimum Clock Period: 20.1ns + Maximum Internal Clock Speed: 49.7Mhz + (Limited by Cycle Time) + +Clock net 'CLK2X_IOB' path delays: + +Clock Pad to Output Pad (tCO) : 14.5ns (2 macrocell levels) +Clock Pad 'CLK2X_IOB' to Output Pad 'nVMA_IOB' (GCK) + +Clock to Setup (tCYC) : 11.0ns (1 macrocell levels) +Clock to Q, net 'iobm/IOS_FSM_FFd3.Q' to DFF Setup(D) at 'IOACT.D' (GCK) +Target FF drives output net 'IOACT' + +Setup to Clock at the Pad (tSU) : 7.5ns (0 macrocell levels) +Data signal 'CLK_IOB' to DFF D input Pin at 'IOACT.D' +Clock pad 'CLK2X_IOB' (GCK) + + Minimum Clock Period: 11.0ns + Maximum Internal Clock Speed: 90.9Mhz + (Limited by Cycle Time) + +-------------------------------------------------------------------------------- + Pad to Pad (tPD) (nsec) + +\ From A A A A A A A A A A A + \ _ _ _ _ _ _ _ _ _ _ _ + \ F F F F F F F F F F F + \ S S S S S S S S S S S + \ B B B B B B B B B B B + \ < < < < < < < < < < < + \ 1 1 1 1 1 1 1 1 1 1 1 + \ 0 1 2 3 4 5 6 7 8 9 > + \ > > > > > > > > > > + To \------------------------------------------------------------------ + +CLK20EN +CLK25EN +RA<0> 10.0 10.0 +RA<10> +RA<11> 10.0 +RA<1> 11.0 +RA<2> 11.0 +RA<3> 10.0 +RA<4> 11.0 +RA<5> 11.0 +RA<6> 10.0 +RA<7> 10.0 +RA<8> 10.0 +RA<9> 10.0 +nBERR_FSB +nDinOE +nOE +nRAMLWE +nRAMUWE +nRAS +nROMCS +nROMWE +nVPA_FSB + +-------------------------------------------------------------------------------- + Pad to Pad (tPD) (nsec) + +\ From A A A A A A A A A A A + \ _ _ _ _ _ _ _ _ _ _ _ + \ F F F F F F F F F F F + \ S S S S S S S S S S S + \ B B B B B B B B B B B + \ < < < < < < < < < < < + \ 2 2 2 2 2 3 4 5 6 7 8 + \ 0 1 2 3 > > > > > > > + \ > > > > + To \------------------------------------------------------------------ + +CLK20EN +CLK25EN +RA<0> +RA<10> 10.0 +RA<11> +RA<1> 11.0 +RA<2> 11.0 +RA<3> 10.0 +RA<4> 11.0 +RA<5> 11.0 +RA<6> 10.0 +RA<7> 10.0 +RA<8> 11.0 11.0 11.0 +RA<9> 10.0 +nBERR_FSB 11.0 11.0 11.0 11.0 +nDinOE 10.0 10.0 10.0 10.0 +nOE +nRAMLWE +nRAMUWE +nRAS 11.0 11.0 11.0 +nROMCS 11.0 11.0 11.0 11.0 +nROMWE +nVPA_FSB + +-------------------------------------------------------------------------------- + Pad to Pad (tPD) (nsec) + +\ From A S S n n n n + \ _ W W A L U W + \ F < < S D D E + \ S 0 1 _ S S _ + \ B > > F _ _ F + \ < S F F S + \ 9 B S S B + \ > B B + \ + To \------------------------------------------ + +CLK20EN 10.0 +CLK25EN 10.0 +RA<0> +RA<10> +RA<11> +RA<1> +RA<2> +RA<3> +RA<4> +RA<5> +RA<6> +RA<7> +RA<8> 11.0 +RA<9> +nBERR_FSB 10.0 +nDinOE 10.0 10.0 10.0 +nOE 10.0 10.0 +nRAMLWE 10.0 10.0 10.0 +nRAMUWE 11.0 11.0 11.0 +nRAS 11.0 +nROMCS 11.0 +nROMWE 10.0 10.0 +nVPA_FSB 10.0 + +-------------------------------------------------------------------------------- + Clock Pad to Output Pad (tCO) (nsec) + +\ From C C + \ L L + \ K K + \ 2 _ + \ X F + \ _ S + \ I B + \ O + \ B + \ + To \------------ + +RA<0> 13.5 +RA<1> 14.5 +RA<2> 14.5 +RA<3> 13.5 +RA<4> 14.5 +RA<5> 14.5 +RA<6> 13.5 +RA<7> 13.5 +RA<8> 14.5 +RA<9> 13.5 +nADoutLE0 13.5 13.5 +nADoutLE1 5.8 +nAS_IOB 14.5 +nAoutOE 5.8 +nBERR_FSB 14.5 +nBR_IOB 5.8 +nCAS 5.8 +nDTACK_FSB 5.8 +nDinLE 5.8 +nDoutOE 5.8 +nLDS_IOB 14.5 +nRAMLWE 13.5 +nRAMUWE 14.5 +nRAS 14.5 +nROMCS 14.5 +nUDS_IOB 14.5 +nVMA_IOB 14.5 +nVPA_FSB 13.5 + +-------------------------------------------------------------------------------- + Setup to Clock at Pad (tSU or tSUF) (nsec) + +\ From C C C + \ L L L + \ K K K + \ 2 _ _ + \ X F I + \ _ S O + \ I B B + \ O + \ B + \ + To \------------------ + +A_FSB<10> 7.9 +A_FSB<11> 7.9 +A_FSB<12> 7.9 +A_FSB<13> 7.9 +A_FSB<14> 7.9 +A_FSB<15> 7.9 +A_FSB<16> 7.9 +A_FSB<17> 7.9 +A_FSB<18> 7.9 +A_FSB<19> 7.9 +A_FSB<20> 15.6 +A_FSB<21> 16.6 +A_FSB<22> 16.6 +A_FSB<23> 16.6 +A_FSB<8> 7.9 +A_FSB<9> 7.9 +CLK_IOB 7.5 +E_IOB 6.5 +SW<1> 7.9 +nAS_FSB 15.6 +nBERR_IOB 7.5 +nBG_IOB 6.5 +nDTACK_IOB 6.5 +nIPL2 6.5 +nLDS_FSB 6.5 +nRES 6.5 6.5 +nUDS_FSB 6.5 +nVPA_IOB 6.5 +nWE_FSB 7.9 + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: CLK_FSB) + +\ From B I I I R R R R R T + \ E O P P E E E E e i + \ R R L L S S S S f m + \ R W 2 2 D r r r A e + \ _ 0 r r o 0 1 2 c o + \ I . 0 1 n . . . k u + \ O Q . . e Q Q Q . t + \ B Q Q . Q A + \ S Q . + \ . Q + \ Q + \ + \ + \ + \ + \ + \ + \ + To \------------------------------------------------------------ + +ALE0S.D +BERR_IOBS.D 10.0 +IOL0.CE +IOL0.D +IOREQ.D +IORW0.D 11.4 +IOU0.CE +IOU0.D +IPL2r1.D 10.0 +RESDone.CE 10.0 10.0 10.0 +RESr1.D 10.0 +RESr2.D 10.0 +RefAck.D +TimeoutA.D 10.0 +TimeoutB.D +cnt/RefCnt<1>.D +cnt/RefCnt<2>.D +cnt/RefCnt<3>.D +cnt/RefCnt<4>.D +cnt/RefCnt<5>.D +cnt/RefCnt<6>.D +cnt/RefCnt<7>.D +cnt/RefDone.D 10.0 +cnt/TimeoutBPre.D +cs/nOverlay0.D +cs/nOverlay1.CE +cs/nOverlay1.D +fsb/BERR0r.D +fsb/BERR1r.D 10.0 +fsb/Ready0r.D +fsb/Ready1r.D +fsb/Ready2r.D 11.0 +fsb/VPA.D 11.4 11.4 +iobs/Clear1.D +iobs/IOL1.CE +iobs/IORW1.D +iobs/IOReady.D +iobs/IOU1.CE +iobs/Load1.D +iobs/Once.D +iobs/PS_FSM_FFd1.D +iobs/PS_FSM_FFd2.D +nADoutLE1.D +nBR_IOB.CE 10.0 10.0 10.0 10.0 10.0 10.0 +nCAS.D +nDTACK_FSB.D 11.4 11.4 +ram/BACTr.D +ram/Once.D +ram/RAMDIS1.D +ram/RAMDIS2.D +ram/RAMReady.D +ram/RASEL.D +ram/RS_FSM_FFd1.D +ram/RS_FSM_FFd2.D +ram/RS_FSM_FFd3.D + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: CLK_FSB) + +\ From T c c c c c c c c c + \ i n n n n n n n n n + \ m t t t t t t t t t + \ e / / / / / / / / / + \ o R R R R R R R R R + \ u e e e e e e e e e + \ t f f f f f f f f f + \ B C C C C C C C C D + \ . n n n n n n n n o + \ Q t t t t t t t t n + \ < < < < < < < < e + \ 0 1 2 3 4 5 6 7 . + \ > > > > > > > > Q + \ . . . . . . . . + \ Q Q Q Q Q Q Q Q + \ + \ + \ + To \------------------------------------------------------------ + +ALE0S.D +BERR_IOBS.D +IOL0.CE +IOL0.D +IOREQ.D +IORW0.D +IOU0.CE +IOU0.D +IPL2r1.D +RESDone.CE +RESr1.D +RESr2.D +RefAck.D +TimeoutA.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 +TimeoutB.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 +cnt/RefCnt<1>.D 10.0 +cnt/RefCnt<2>.D 10.0 10.0 +cnt/RefCnt<3>.D 10.0 10.0 10.0 +cnt/RefCnt<4>.D 10.0 10.0 10.0 10.0 +cnt/RefCnt<5>.D 10.0 10.0 10.0 10.0 10.0 +cnt/RefCnt<6>.D 10.0 10.0 10.0 10.0 10.0 10.0 +cnt/RefCnt<7>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 +cnt/RefDone.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 +cnt/TimeoutBPre.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 +cs/nOverlay0.D +cs/nOverlay1.CE +cs/nOverlay1.D +fsb/BERR0r.D 10.0 +fsb/BERR1r.D +fsb/Ready0r.D +fsb/Ready1r.D +fsb/Ready2r.D +fsb/VPA.D 19.1 +iobs/Clear1.D +iobs/IOL1.CE +iobs/IORW1.D +iobs/IOReady.D +iobs/IOU1.CE +iobs/Load1.D +iobs/Once.D +iobs/PS_FSM_FFd1.D +iobs/PS_FSM_FFd2.D +nADoutLE1.D +nBR_IOB.CE +nCAS.D +nDTACK_FSB.D 19.1 +ram/BACTr.D +ram/Once.D +ram/RAMDIS1.D 11.4 11.4 11.4 11.4 +ram/RAMDIS2.D 11.0 11.0 11.0 11.0 +ram/RAMReady.D 11.4 11.4 11.4 11.4 +ram/RASEL.D 11.4 11.4 11.4 11.4 +ram/RS_FSM_FFd1.D +ram/RS_FSM_FFd2.D 11.4 11.4 11.4 11.4 +ram/RS_FSM_FFd3.D 11.0 11.0 11.0 11.0 + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: CLK_FSB) + +\ From c c c f f f f f f f + \ n s s s s s s s s s + \ t / / b b b b b b b + \ / n n / / / / / / / + \ T O O A B B R R R V + \ i v v S E E e e e P + \ m e e r R R a a a A + \ e r r f R R d d d . + \ o l l . 0 1 y y y Q + \ u a a Q r r 0 1 2 + \ t y y . . r r r + \ B 0 1 Q Q . . . + \ P . . Q Q Q + \ r Q Q + \ e + \ . + \ Q + \ + To \------------------------------------------------------------ + +ALE0S.D +BERR_IOBS.D 10.0 +IOL0.CE +IOL0.D +IOREQ.D 11.0 10.0 +IORW0.D 10.0 11.0 +IOU0.CE +IOU0.D +IPL2r1.D +RESDone.CE +RESr1.D +RESr2.D +RefAck.D +TimeoutA.D 10.0 +TimeoutB.D 10.0 10.0 +cnt/RefCnt<1>.D +cnt/RefCnt<2>.D +cnt/RefCnt<3>.D +cnt/RefCnt<4>.D +cnt/RefCnt<5>.D +cnt/RefCnt<6>.D +cnt/RefCnt<7>.D +cnt/RefDone.D +cnt/TimeoutBPre.D 10.0 10.0 +cs/nOverlay0.D 10.0 10.0 +cs/nOverlay1.CE 10.0 +cs/nOverlay1.D 10.0 +fsb/BERR0r.D 10.0 10.0 +fsb/BERR1r.D 10.0 10.0 +fsb/Ready0r.D 10.0 10.0 10.0 +fsb/Ready1r.D 11.0 10.0 11.0 +fsb/Ready2r.D 11.0 11.0 11.0 +fsb/VPA.D 20.1 19.1 11.4 11.4 20.1 11.4 11.4 11.4 +iobs/Clear1.D +iobs/IOL1.CE +iobs/IORW1.D 11.4 11.0 +iobs/IOReady.D 10.0 +iobs/IOU1.CE +iobs/Load1.D 11.0 10.0 +iobs/Once.D 11.4 10.0 +iobs/PS_FSM_FFd1.D +iobs/PS_FSM_FFd2.D 11.0 10.0 +nADoutLE1.D +nBR_IOB.CE +nCAS.D +nDTACK_FSB.D 20.1 11.0 11.4 11.4 20.1 11.4 11.4 +ram/BACTr.D 10.0 +ram/Once.D 10.0 10.0 +ram/RAMDIS1.D 11.4 11.0 +ram/RAMDIS2.D 11.0 11.0 +ram/RAMReady.D 11.0 11.0 +ram/RASEL.D 11.4 11.4 +ram/RS_FSM_FFd1.D 10.0 10.0 +ram/RS_FSM_FFd2.D 11.4 11.4 +ram/RS_FSM_FFd3.D 11.0 11.0 + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: CLK_FSB) + +\ From i i i i i i i i i i + \ o o o o o o o o o o + \ b b b b b b b b b b + \ s s s s s s s s s s + \ / / / / / / / / / / + \ C I I I I I L O P P + \ l O O O O O o n S S + \ e A L R R U a c _ _ + \ a C 1 W e 1 d e F F + \ r T . 1 a . 1 . S S + \ 1 r Q . d Q . Q M M + \ . . Q y Q _ _ + \ Q Q . F F + \ Q F F + \ d d + \ 1 2 + \ . . + \ Q Q + To \------------------------------------------------------------ + +ALE0S.D 10.0 10.0 +BERR_IOBS.D 10.0 10.0 10.0 +IOL0.CE 10.0 10.0 +IOL0.D 10.0 +IOREQ.D 10.0 10.0 10.0 11.0 +IORW0.D 11.0 11.4 11.4 11.4 +IOU0.CE 10.0 10.0 +IOU0.D 10.0 +IPL2r1.D +RESDone.CE +RESr1.D +RESr2.D +RefAck.D +TimeoutA.D +TimeoutB.D +cnt/RefCnt<1>.D +cnt/RefCnt<2>.D +cnt/RefCnt<3>.D +cnt/RefCnt<4>.D +cnt/RefCnt<5>.D +cnt/RefCnt<6>.D +cnt/RefCnt<7>.D +cnt/RefDone.D +cnt/TimeoutBPre.D +cs/nOverlay0.D +cs/nOverlay1.CE +cs/nOverlay1.D +fsb/BERR0r.D +fsb/BERR1r.D +fsb/Ready0r.D +fsb/Ready1r.D 11.0 +fsb/Ready2r.D +fsb/VPA.D 11.4 +iobs/Clear1.D 10.0 10.0 +iobs/IOL1.CE 10.0 +iobs/IORW1.D 10.0 10.0 11.0 11.0 +iobs/IOReady.D 10.0 10.0 10.0 10.0 +iobs/IOU1.CE 10.0 +iobs/Load1.D 10.0 10.0 10.0 +iobs/Once.D 11.4 11.0 10.0 +iobs/PS_FSM_FFd1.D 10.0 10.0 10.0 +iobs/PS_FSM_FFd2.D 10.0 10.0 11.0 11.0 +nADoutLE1.D 10.0 10.0 +nBR_IOB.CE +nCAS.D +nDTACK_FSB.D 11.4 +ram/BACTr.D +ram/Once.D +ram/RAMDIS1.D +ram/RAMDIS2.D +ram/RAMReady.D +ram/RASEL.D +ram/RS_FSM_FFd1.D +ram/RS_FSM_FFd2.D +ram/RS_FSM_FFd3.D + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: CLK_FSB) + +\ From n n n r r r r r r r + \ A B D a a a a a a a + \ D R T m m m m m m m + \ o _ A / / / / / / / + \ u I C B O R R R R R + \ t O K A n A A A S S + \ L B _ C c M M S _ _ + \ E . F T e D R E F F + \ 1 Q S r . I e L S S + \ . B . Q S a . M M + \ Q . Q 2 d Q _ _ + \ Q . y F F + \ Q . F F + \ Q d d + \ 1 2 + \ . . + \ Q Q + \ + To \------------------------------------------------------------ + +ALE0S.D +BERR_IOBS.D 10.0 +IOL0.CE +IOL0.D 10.0 +IOREQ.D 11.0 +IORW0.D 11.4 +IOU0.CE +IOU0.D 10.0 +IPL2r1.D +RESDone.CE +RESr1.D +RESr2.D +RefAck.D 10.0 10.0 +TimeoutA.D +TimeoutB.D +cnt/RefCnt<1>.D +cnt/RefCnt<2>.D +cnt/RefCnt<3>.D +cnt/RefCnt<4>.D +cnt/RefCnt<5>.D +cnt/RefCnt<6>.D +cnt/RefCnt<7>.D +cnt/RefDone.D +cnt/TimeoutBPre.D +cs/nOverlay0.D +cs/nOverlay1.CE +cs/nOverlay1.D +fsb/BERR0r.D +fsb/BERR1r.D +fsb/Ready0r.D 10.0 +fsb/Ready1r.D 11.0 +fsb/Ready2r.D +fsb/VPA.D 11.0 11.4 20.1 +iobs/Clear1.D 10.0 +iobs/IOL1.CE +iobs/IORW1.D 10.0 +iobs/IOReady.D 10.0 +iobs/IOU1.CE +iobs/Load1.D 10.0 +iobs/Once.D 11.0 +iobs/PS_FSM_FFd1.D +iobs/PS_FSM_FFd2.D 11.0 +nADoutLE1.D 10.0 +nBR_IOB.CE +nCAS.D 10.0 +nDTACK_FSB.D 11.0 11.4 11.4 20.1 +ram/BACTr.D +ram/Once.D 10.0 10.0 10.0 +ram/RAMDIS1.D 11.0 11.0 11.4 11.0 +ram/RAMDIS2.D 11.0 11.0 11.0 11.0 +ram/RAMReady.D 11.0 11.0 11.0 11.0 +ram/RASEL.D 11.0 11.4 11.4 11.4 +ram/RS_FSM_FFd1.D 10.0 10.0 10.0 +ram/RS_FSM_FFd2.D 11.0 11.4 11.4 +ram/RS_FSM_FFd3.D 10.0 11.0 11.0 + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: CLK_FSB) + +\ From r + \ a + \ m + \ / + \ R + \ S + \ _ + \ F + \ S + \ M + \ _ + \ F + \ F + \ d + \ 3 + \ . + \ Q + \ + To \------ + +ALE0S.D +BERR_IOBS.D +IOL0.CE +IOL0.D +IOREQ.D +IORW0.D +IOU0.CE +IOU0.D +IPL2r1.D +RESDone.CE +RESr1.D +RESr2.D +RefAck.D +TimeoutA.D +TimeoutB.D +cnt/RefCnt<1>.D +cnt/RefCnt<2>.D +cnt/RefCnt<3>.D +cnt/RefCnt<4>.D +cnt/RefCnt<5>.D +cnt/RefCnt<6>.D +cnt/RefCnt<7>.D +cnt/RefDone.D +cnt/TimeoutBPre.D +cs/nOverlay0.D +cs/nOverlay1.CE +cs/nOverlay1.D +fsb/BERR0r.D +fsb/BERR1r.D +fsb/Ready0r.D +fsb/Ready1r.D +fsb/Ready2r.D +fsb/VPA.D +iobs/Clear1.D +iobs/IOL1.CE +iobs/IORW1.D +iobs/IOReady.D +iobs/IOU1.CE +iobs/Load1.D +iobs/Once.D +iobs/PS_FSM_FFd1.D +iobs/PS_FSM_FFd2.D +nADoutLE1.D +nBR_IOB.CE +nCAS.D +nDTACK_FSB.D +ram/BACTr.D +ram/Once.D 10.0 +ram/RAMDIS1.D 11.0 +ram/RAMDIS2.D 11.0 +ram/RAMReady.D 11.0 +ram/RASEL.D 11.0 +ram/RS_FSM_FFd1.D 10.0 +ram/RS_FSM_FFd2.D 11.4 +ram/RS_FSM_FFd3.D 11.0 + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: CLK2X_IOB) + +\ From I I i i i i i i i i + \ O O o o o o o o o o + \ A B b b b b b b b b + \ C E m m m m m m m m + \ T R / / / / / / / / + \ . R B B B B D D E E + \ Q . E E G G T T S S + \ Q R R r r A A < < + \ R R 0 1 C C 0 1 + \ r r . . K K > > + \ f r Q Q r r . . + \ . . f r Q Q + \ Q Q . . + \ Q Q + \ + \ + \ + \ + \ + To \------------------------------------------------------------ + +ALE0M.D +IOACT.D 10.0 10.0 11.0 11.0 +IOBERR.D 11.0 11.0 11.0 11.0 11.0 +iobm/BGr1.D 10.0 +iobm/ES<0>.D 10.0 10.0 +iobm/ES<1>.D 10.0 10.0 +iobm/ES<2>.D 10.0 10.0 +iobm/ES<3>.D 10.0 10.0 +iobm/ES<4>.D 10.0 10.0 +iobm/ETACK.D 10.0 10.0 +iobm/IOS_FSM_FFd1.D +iobm/IOS_FSM_FFd2.D 10.0 10.0 10.0 10.0 +iobm/IOS_FSM_FFd3.D +nAS_IOB.D +nAoutOE.D 10.0 10.0 +nDinLE.D +nDoutOE.D +nLDS_IOB.D +nUDS_IOB.D +nVMA_IOB.D 10.0 10.0 10.0 + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: CLK2X_IOB) + +\ From i i i i i i i i i i + \ o o o o o o o o o o + \ b b b b b b b b b b + \ m m m m m m m m m m + \ / / / / / / / / / / + \ E E E E E I I I I R + \ S S S T r O O O O E + \ < < < A 2 R S S S S + \ 2 3 4 C . E _ _ _ r + \ > > > K Q Q F F F f + \ . . . . r S S S . + \ Q Q Q Q . M M M Q + \ Q _ _ _ + \ F F F + \ F F F + \ d d d + \ 1 2 3 + \ . . . + \ Q Q Q + To \------------------------------------------------------------ + +ALE0M.D 10.0 10.0 10.0 10.0 +IOACT.D 10.0 10.0 11.0 10.0 11.0 11.0 +IOBERR.D 10.0 11.0 11.0 11.0 11.0 +iobm/BGr1.D +iobm/ES<0>.D 10.0 10.0 10.0 10.0 +iobm/ES<1>.D 10.0 +iobm/ES<2>.D 10.0 10.0 10.0 10.0 +iobm/ES<3>.D 10.0 10.0 10.0 +iobm/ES<4>.D 10.0 10.0 10.0 10.0 +iobm/ETACK.D 10.0 10.0 10.0 +iobm/IOS_FSM_FFd1.D 10.0 10.0 10.0 +iobm/IOS_FSM_FFd2.D 10.0 10.0 10.0 10.0 10.0 +iobm/IOS_FSM_FFd3.D 10.0 10.0 10.0 10.0 +nAS_IOB.D 10.0 10.0 10.0 +nAoutOE.D +nDinLE.D 10.0 10.0 +nDoutOE.D 10.0 10.0 +nLDS_IOB.D 10.0 10.0 10.0 +nUDS_IOB.D 10.0 10.0 10.0 +nVMA_IOB.D 10.0 10.0 10.0 + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: CLK2X_IOB) + +\ From i i i n n n + \ o o o A A V + \ b b b S o M + \ m m m _ u A + \ / / / I t _ + \ R V V O O I + \ E P P B E O + \ S A A . . B + \ r r r Q Q . + \ r f r Q + \ . . . + \ Q Q Q + \ + \ + \ + \ + \ + \ + \ + To \------------------------------------ + +ALE0M.D 10.0 +IOACT.D 11.0 10.0 +IOBERR.D 11.0 +iobm/BGr1.D +iobm/ES<0>.D +iobm/ES<1>.D +iobm/ES<2>.D +iobm/ES<3>.D +iobm/ES<4>.D +iobm/ETACK.D 10.0 +iobm/IOS_FSM_FFd1.D +iobm/IOS_FSM_FFd2.D 10.0 +iobm/IOS_FSM_FFd3.D 10.0 +nAS_IOB.D +nAoutOE.D 10.0 10.0 +nDinLE.D +nDoutOE.D +nLDS_IOB.D +nUDS_IOB.D +nVMA_IOB.D 10.0 10.0 10.0 + +Path Type Definition: + +Pad to Pad (tPD) - Reports pad to pad paths that start + at input pads and end at output pads. + Paths are not traced through + registers. + +Clock Pad to Output Pad (tCO) - Reports paths that start at input + pads trace through clock inputs of + registers and end at output pads. + Paths are not traced through PRE/CLR + inputs of registers. + +Setup to Clock at Pad (tSU or tSUF) - Reports external setup time of data + to clock at pad. Data path starts at + an input pad and ends at register + (Fast Input Register for tSUF) D/T + input. Clock path starts at input pad + and ends at the register clock input. + Paths are not traced through + registers. Pin-to-pin setup + requirement is not reported or + guaranteed for product-term clocks + derived from macrocell feedback + signals. + +Clock to Setup (tCYC) - Register to register cycle time. + Include source register tCO and + destination register tSU. Note that + when the computed Maximum Clock Speed + is limited by tCYC it is computed + assuming that all registers are + rising-edge sensitive. + diff --git a/cpld/XC95144XL/MXSE.tspec b/cpld/XC95144XL/WarpSE.tspec similarity index 65% rename from cpld/XC95144XL/MXSE.tspec rename to cpld/XC95144XL/WarpSE.tspec index cda732a..a315bc9 100644 --- a/cpld/XC95144XL/MXSE.tspec +++ b/cpld/XC95144XL/WarpSE.tspec @@ -13,141 +13,137 @@ TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:nAoutOE_OBUF.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:IOBERR.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/IOBERR.Q:TO:iobm/IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOACT.D:666 +TS_CLK2X_IOB:FROM:nAoutOE_OBUF.Q:TO:iobm/IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOACT.D:666 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:ram/RefRAS.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/Once.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/Once.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/Once.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/Once.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:RefAck.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400 TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:IORW0.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/BERR.D:400 +TS_CLK_FSB:FROM:iobs/BERR.Q:TO:iobs/BERR.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/BERR.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/BERR.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/BERR.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/BERR.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW0.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IORW0.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW0.D:400 +TS_CLK_FSB:FROM:iobs/IORW0.Q:TO:iobs/IORW0.D:400 +TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:iobs/IORW0.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IORW0.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW0.D:400 TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:400 -TS_CLK_FSB:FROM:iobs/IOL1.Q:TO:IOL0.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOL0.CE:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOL0.CE:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOU0.D:400 -TS_CLK_FSB:FROM:iobs/IOU1.Q:TO:IOU0.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOU0.CE:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOU0.CE:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:TimeoutA.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:TimeoutB.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cnt/TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/TimeoutA.Q:TO:cnt/TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/TimeoutA.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cnt/TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/TimeoutB.Q:TO:cnt/TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:cnt/TimeoutB.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cnt/TimeoutBPre.D:400 TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/TimeoutBPre.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/TimeoutBPre.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/TimeoutBPre.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/TimeoutBPre.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR0r.D:400 -TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/BERR0r.D:400 +TS_CLK_FSB:FROM:cnt/TimeoutB.Q:TO:fsb/BERR0r.D:400 TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/BERR0r.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR1r.D:400 -TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/BERR1r.D:400 +TS_CLK_FSB:FROM:iobs/BERR.Q:TO:fsb/BERR1r.D:400 TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/BERR1r.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:400 TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:400 TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:400 TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:400 TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready2r.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready2r.D:400 -TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/Ready2r.D:400 +TS_CLK_FSB:FROM:cnt/TimeoutA.Q:TO:fsb/Ready2r.D:400 TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/Ready2r.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:cnt/TimeoutA.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:fsb/VPA.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:iobs/BERR.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:cnt/TimeoutB.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:Park.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOL0.D:400 +TS_CLK_FSB:FROM:iobs/IOL1.Q:TO:iobs/IOL0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOL0.CE:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IOL0.CE:400 TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IORW1.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:400 @@ -162,69 +158,77 @@ TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOReady.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:400 TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOU0.D:400 +TS_CLK_FSB:FROM:iobs/IOU1.Q:TO:iobs/IOU0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOU0.CE:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IOU0.CE:400 TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:ram/RAMDIS2.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:TimeoutA.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:Park.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:TimeoutB.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/nDTACK.D:400 +TS_CLK_FSB:FROM:iobs/BERR.Q:TO:fsb/nDTACK.D:400 +TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/nDTACK.D:400 +TS_CLK_FSB:FROM:cnt/TimeoutB.Q:TO:fsb/nDTACK.D:400 +TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/nDTACK.D:400 +TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/nDTACK.D:400 +TS_CLK_FSB:FROM:Park.Q:TO:fsb/nDTACK.D:400 +TS_CLK_FSB:FROM:fsb/nDTACK.Q:TO:fsb/nDTACK.D:400 +TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/nDTACK.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/nDTACK.D:400 +TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/nDTACK.D:400 +TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/nDTACK.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/nDTACK.D:400 +TS_CLK_FSB:FROM:cnt/TimeoutA.Q:TO:fsb/nDTACK.D:400 +TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/nDTACK.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:400 @@ -233,30 +237,37 @@ TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<6>.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<7>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<1>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<2>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<2>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<3>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<3>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<3>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<2>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<2>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<4>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<4>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<4>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<4>.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Load1.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:400 @@ -265,55 +276,48 @@ TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:400 TS_CLK_FSB:FROM:RESr0.Q:TO:RESr1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<7>.D:400 TS_CLK_FSB:FROM:RESr1.Q:TO:RESr2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:RefAck.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:RefAck.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RefRAS.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RefRAS.D:400 TS_CLK_FSB:FROM:RESr0.Q:TO:RESDone.CE:400 TS_CLK_FSB:FROM:RESr1.Q:TO:RESDone.CE:400 TS_CLK_FSB:FROM:RESr2.Q:TO:RESDone.CE:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:ALE0S.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IOREQ.D:400 TS_CLK_FSB:FROM:IPL2r0.Q:TO:IPL2r1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/ALE0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/ALE0.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Clear1.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Clear1.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Clear1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOREQ.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOREQ.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOREQ.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IOREQ.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/RASEL.Q:TO:ram/nCAS.D:400 TS_CLK_FSB:FROM:RESr0.Q:TO:Park.CE:400 TS_CLK_FSB:FROM:RESr1.Q:TO:Park.CE:400 TS_CLK_FSB:FROM:IPL2r0.Q:TO:Park.CE:400 @@ -323,24 +327,24 @@ TS_CLK_FSB:FROM:IPL2r1.Q:TO:Park.CE:400 TS_CLK_FSB:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:400 TS_CLK_FSB:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:400 -TS_CLK2X_IOB:FROM:nVMA_IOBout.Q:TO:nVMA_IOBout.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:nVMA_IOBout.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:nVMA_IOBout.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:nVMA_IOBout.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:nVMA_IOBout.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:nVMA_IOBout.D:666 -TS_CLK2X_IOB:FROM:IOACT.Q:TO:nVMA_IOBout.D:666 -TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:nVMA_IOBout.D:666 -TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:nVMA_IOBout.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:nAS_IOBout.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nAS_IOBout.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOBout.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:nLDS_IOBout.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nLDS_IOBout.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOBout.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:nUDS_IOBout.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nUDS_IOBout.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOBout.D:666 +TS_CLK2X_IOB:FROM:iobm/nVMA.Q:TO:iobm/nVMA.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/nVMA.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/nVMA.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/nVMA.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/nVMA.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/nVMA.D:666 +TS_CLK2X_IOB:FROM:iobm/IOACT.Q:TO:iobm/nVMA.D:666 +TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:iobm/nVMA.D:666 +TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:iobm/nVMA.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nASout.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nASout.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nASout.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nLDS.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nLDS.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nLDS.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nUDS.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nUDS.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nUDS.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd1.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd1.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:666 @@ -370,23 +374,23 @@ TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:nVMA_IOBout.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:iobm/nVMA.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:nAoutOE_OBUF.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/ALE0.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/ALE0.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/ALE0.D:666 +TS_CLK2X_IOB:FROM:nAoutOE_OBUF.Q:TO:iobm/ALE0.D:666 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/ALE0.D:666 TS_CLK2X_IOB:FROM:iobm/BGr0.Q:TO:iobm/BGr1.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:nDinLE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDinLE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nDinLE.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nDinLE.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nDoutOE.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nDoutOE.D:666 TS_CLK2X_IOB:FROM:nAoutOE_OBUF.Q:TO:nAoutOE_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/BGr1.Q:TO:nAoutOE_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/BGr0.Q:TO:nAoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:nAS_IOBout.Q:TO:nAoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/nASout.Q:TO:nAoutOE_OBUF.D:666 diff --git a/cpld/XC95144XL/WarpSE.vm6 b/cpld/XC95144XL/WarpSE.vm6 new file mode 100644 index 0000000..ca40c63 --- /dev/null +++ b/cpld/XC95144XL/WarpSE.vm6 @@ -0,0 +1,8813 @@ +NDS Database: version P.20131013 + +NDS_INFO | xc9500xl | 95144XL100 | XC95144XL-10-TQ100 + +DEVICE | 95144XL | 95144XL100 | + +NETWORK | WarpSE | 0 | 0 | 16391 + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<9> | 9892 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<8> | 9893 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<15> | 9894 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<14> | 9895 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<13> | 9896 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<12> | 9897 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<11> | 9898 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<10> | 9899 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<23> | 9900 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<22> | 9901 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<21> | 9902 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<20> | 9903 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<19> | 9904 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<18> | 9905 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<17> | 9906 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<16> | 9907 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | CLK2X_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | CLK2X_IOB | 9908 | PI | 426 | 0 | WarpSE_COPY_0_COPY_0 | CLK2X_IOB;CLK2X_IOB;CLK2X_IOB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV + +MACROCELL_INSTANCE | PrldLow+Tff+PinTrst+OptxMapped | iobm/nVMA | WarpSE_COPY_0_COPY_0 | 2155893760 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/nVMA | 9710 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOACT | 9790 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArf | 9808 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArr | 9809 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | iobm/nVMA$Q | 9709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/nVMA | 9710 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | iobm/nVMA$OE | 9711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.BUFOE.OUT | iobm/nVMA | 2 | 0 | MC_OE + +SIGNAL_INSTANCE | iobm/nVMA.SI | iobm/nVMA | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/nVMA | 9710 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOACT | 9790 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArf | 9808 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArr | 9809 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/nVMA.D1 | 9932 | ? | 504 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/nVMA.D2 | 9933 | ? | 504 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | iobm/nVMA | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> +SPPTERM | 9 | IV_TRUE | iobm/nVMA | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/IOACT | IV_TRUE | iobm/VPArf | IV_TRUE | iobm/VPArr +OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | iobm/nVMA.TRST | 9935 | ? | 0 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | iobm/BG + +SRFF_INSTANCE | iobm/nVMA.REG | iobm/nVMA | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/nVMA.D | 9931 | ? | 504 | 0 | iobm/nVMA | NULL | NULL | iobm/nVMA.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/nVMA.Q | 9936 | ? | 520 | 0 | iobm/nVMA | NULL | NULL | iobm/nVMA.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +BUF_INSTANCE | iobm/nVMA.BUFOE | iobm/nVMA | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN +SIGNAL | NODE | iobm/nVMA.TRST | 9935 | ? | 0 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | iobm/BG +OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT +NODE | iobm/nVMA.BUFOE.OUT | 9934 | ? | 0 | 0 | iobm/nVMA | NULL | NULL | iobm/nVMA.BUFOE | 0 | 10 | BUF_OUT + +MACROCELL_INSTANCE | PrldLow+PinTrst+OptxMapped+Ce | iobm/nASout | WarpSE_COPY_0_COPY_0 | 2424325120 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | iobm/nASout$Q | 9712 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/nASout | 9713 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | iobm/nASout$OE | 9714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.BUFOE.OUT | iobm/nASout | 2 | 0 | MC_OE + +SIGNAL_INSTANCE | iobm/nASout.SI | iobm/nASout | 0 | 4 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/nASout.D1 | 9938 | ? | 506 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/nASout.D2 | 9939 | ? | 506 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 +OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | iobm/nASout.TRST | 9941 | ? | 0 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | iobm/BG + +SRFF_INSTANCE | iobm/nASout.REG | iobm/nASout | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/nASout.D | 9937 | ? | 506 | 0 | iobm/nASout | NULL | NULL | iobm/nASout.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/nASout.Q | 9942 | ? | 536 | 0 | iobm/nASout | NULL | NULL | iobm/nASout.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +BUF_INSTANCE | iobm/nASout.BUFOE | iobm/nASout | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN +SIGNAL | NODE | iobm/nASout.TRST | 9941 | ? | 0 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | iobm/BG +OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT +NODE | iobm/nASout.BUFOE.OUT | 9940 | ? | 0 | 0 | iobm/nASout | NULL | NULL | iobm/nASout.BUFOE | 0 | 10 | BUF_OUT + +MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | iobm/nLDS | WarpSE_COPY_0_COPY_0 | 2424325376 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL0 | 9739 | ? | 508 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL0.Q | iobs/IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | iobm/nLDS | 9715 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.Q | iobm/nLDS | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | iobm/nLDS$OE | 9716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.BUFOE.OUT | iobm/nLDS | 2 | 0 | MC_OE + +SIGNAL_INSTANCE | iobm/nLDS.SI | iobm/nLDS | 0 | 6 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL0 | 9739 | ? | 508 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL0.Q | iobs/IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/nLDS.D1 | 9944 | ? | 508 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/nLDS.D2 | 9945 | ? | 508 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobs/IOL0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | iobs/IOL0 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 +SPPTERM | 4 | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/IOL0 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 +OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | iobm/nLDS.TRST | 9947 | ? | 0 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | iobm/BG + +SRFF_INSTANCE | iobm/nLDS.REG | iobm/nLDS | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/nLDS.D | 9943 | ? | 508 | 0 | iobm/nLDS | NULL | NULL | iobm/nLDS.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/nLDS.Q | 9948 | ? | 0 | 0 | iobm/nLDS | NULL | NULL | iobm/nLDS.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +BUF_INSTANCE | iobm/nLDS.BUFOE | iobm/nLDS | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN +SIGNAL | NODE | iobm/nLDS.TRST | 9947 | ? | 0 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | iobm/BG +OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT +NODE | iobm/nLDS.BUFOE.OUT | 9946 | ? | 0 | 0 | iobm/nLDS | NULL | NULL | iobm/nLDS.BUFOE | 0 | 10 | BUF_OUT + +MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | iobm/nUDS | WarpSE_COPY_0_COPY_0 | 2424325376 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU0 | 9744 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU0.Q | iobs/IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | iobm/nUDS | 9717 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.Q | iobm/nUDS | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | iobm/nUDS$OE | 9718 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.BUFOE.OUT | iobm/nUDS | 2 | 0 | MC_OE + +SIGNAL_INSTANCE | iobm/nUDS.SI | iobm/nUDS | 0 | 6 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU0 | 9744 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU0.Q | iobs/IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/nUDS.D1 | 9950 | ? | 509 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/nUDS.D2 | 9951 | ? | 509 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobs/IOU0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | iobs/IOU0 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 +SPPTERM | 4 | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/IOU0 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 +OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | iobm/nUDS.TRST | 9953 | ? | 0 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | iobm/BG + +SRFF_INSTANCE | iobm/nUDS.REG | iobm/nUDS | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/nUDS.D | 9949 | ? | 509 | 0 | iobm/nUDS | NULL | NULL | iobm/nUDS.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/nUDS.Q | 9954 | ? | 0 | 0 | iobm/nUDS | NULL | NULL | iobm/nUDS.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +BUF_INSTANCE | iobm/nUDS.BUFOE | iobm/nUDS | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN +SIGNAL | NODE | iobm/nUDS.TRST | 9953 | ? | 0 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | iobm/BG +OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT +NODE | iobm/nUDS.BUFOE.OUT | 9952 | ? | 0 | 0 | iobm/nUDS | NULL | NULL | iobm/nUDS.BUFOE | 0 | 10 | BUF_OUT + +INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nWE_FSB | 9909 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | CLK_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | CLK_FSB | 9910 | PI | 427 | 0 | WarpSE_COPY_0_COPY_0 | CLK_FSB;CLK_FSB;CLK_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | CLK_FSB_IBUF/FCLK- | 9721 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/RefDone | WarpSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefRAS | 9792 | ? | 437 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefDone.SI | cnt/RefDone | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefRAS | 9792 | ? | 437 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefDone.D1 | 9956 | ? | 437 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefDone.D2 | 9957 | ? | 437 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RefRAS +SPPTERM | 8 | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<4> + +SRFF_INSTANCE | cnt/RefDone.REG | cnt/RefDone | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefDone.D | 9955 | ? | 437 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefDone.Q | 9958 | ? | 499 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nAS_FSB | 9915 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/Once | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/Once.D1 | 9960 | ? | 439 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/Once.D2 | 9961 | ? | 439 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | ram/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/Once.REG | ram/Once | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/Once.D | 9959 | ? | 439 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/Once.Q | 9962 | ? | 499 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | SW_1_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | SW<1> | 9920 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Once | WarpSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nOE_OBUF.EXP | 10466 | ? | 440 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP25_.EXP | 10467 | ? | 440 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nOE_OBUF.EXP | 10466 | ? | 440 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP25_.EXP | 10467 | ? | 440 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Once.D1 | 9964 | ? | 440 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Once.D2 | 9965 | ? | 440 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP +SPPTERM | 1 | IV_TRUE | EXP25_.EXP +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/ALE1 + +SRFF_INSTANCE | iobs/Once.REG | iobs/Once | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Once.D | 9963 | ? | 440 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Once.Q | 9966 | ? | 497 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/nOverlay1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9729 | ? | 445 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cs/nOverlay1.SI | cs/nOverlay1 | 0 | 3 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9729 | ? | 445 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay1.D1 | 9968 | ? | 441 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay1.D2 | 9969 | ? | 441 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay0 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cs/nOverlay1.CE | 9970 | ? | 442 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | cs/nOverlay1.REG | cs/nOverlay1 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cs/nOverlay1.D | 9967 | ? | 441 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cs/nOverlay1.CE | 9970 | ? | 442 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cs/nOverlay1.Q | 9971 | ? | 499 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/BERR | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOBERR | 9781 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/BERR.SI | iobs/BERR | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOBERR | 9781 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/BERR.D1 | 9973 | ? | 443 | 4096 | iobs/BERR | NULL | NULL | iobs/BERR.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/BERR.D2 | 9974 | ? | 443 | 4096 | iobs/BERR | NULL | NULL | iobs/BERR.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobs/BERR | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | iobs/BERR | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | iobm/IOBERR | IV_TRUE | iobs/ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/BERR | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | iobm/IOBERR | IV_TRUE | iobs/ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/BERR | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | iobm/IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 + +SRFF_INSTANCE | iobs/BERR.REG | iobs/BERR | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/BERR.D | 9972 | ? | 443 | 0 | iobs/BERR | NULL | NULL | iobs/BERR.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/BERR.Q | 9975 | ? | 467 | 0 | iobs/BERR | NULL | NULL | iobs/BERR.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP32_.EXP | 10518 | ? | 444 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP37_.EXP | 10530 | ? | 444 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP37_.EXP | EXP37_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IORW0.SI | iobs/IORW0 | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP32_.EXP | 10518 | ? | 444 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP37_.EXP | 10530 | ? | 444 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP37_.EXP | EXP37_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW0.D1 | 9977 | ? | 444 | 4096 | iobs/IORW0 | NULL | NULL | iobs/IORW0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IORW0.D2 | 9978 | ? | 444 | 4096 | iobs/IORW0 | NULL | NULL | iobs/IORW0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP32_.EXP +SPPTERM | 1 | IV_TRUE | EXP37_.EXP +SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | iobs/ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | iobs/ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | iobs/ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | iobs/ALE1 + +SRFF_INSTANCE | iobs/IORW0.REG | iobs/IORW0 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IORW0.D | 9976 | ? | 444 | 0 | iobs/IORW0 | NULL | NULL | iobs/IORW0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IORW0.Q | 9979 | ? | 535 | 0 | iobs/IORW0 | NULL | NULL | iobs/IORW0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | nRES_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nRES | 9911 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nRES_IBUF | 9728 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay0 | WarpSE_COPY_0_COPY_0 | 2155877376 | 20 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9729 | ? | 445 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9728 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9729 | ? | 445 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay0.EXP | 10442 | ? | 453 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cs/nOverlay0.SI | cs/nOverlay0 | 0 | 19 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9729 | ? | 445 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9728 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay0.D1 | 9981 | ? | 445 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay0.D2 | 9982 | ? | 445 | 6144 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | cs/nOverlay0.RSTF | 9983 | ? | 446 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | nRES_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cs/nOverlay0.EXP | 10427 | ? | 453 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/ALE1 +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/ALE1 + +SRFF_INSTANCE | cs/nOverlay0.REG | cs/nOverlay0 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cs/nOverlay0.D | 9980 | ? | 445 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | cs/nOverlay0.RSTF | 9983 | ? | 446 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | nRES_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cs/nOverlay0.Q | 9984 | ? | 445 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/TimeoutA | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/TimeoutA.SI | cnt/TimeoutA | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/TimeoutA.D1 | 9986 | ? | 447 | 4096 | cnt/TimeoutA | NULL | NULL | cnt/TimeoutA.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/TimeoutA.D2 | 9987 | ? | 447 | 4096 | cnt/TimeoutA | NULL | NULL | cnt/TimeoutA.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/TimeoutA | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | cnt/TimeoutA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<4> +SPPTERM | 9 | IV_FALSE | cnt/TimeoutA | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<4> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | cnt/TimeoutA.REG | cnt/TimeoutA | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/TimeoutA.D | 9985 | ? | 447 | 0 | cnt/TimeoutA | NULL | NULL | cnt/TimeoutA.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/TimeoutA.Q | 9988 | ? | 467 | 0 | cnt/TimeoutA | NULL | NULL | cnt/TimeoutA.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/TimeoutB | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre | 9732 | ? | 449 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK20EN_OBUF | 9844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK20EN_OBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/TimeoutB.EXP | 10501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.EXP | cnt/TimeoutB | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/TimeoutB.SI | cnt/TimeoutB | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre | 9732 | ? | 449 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK20EN_OBUF | 9844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK20EN_OBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/TimeoutB.D1 | 9990 | ? | 448 | 4096 | cnt/TimeoutB | NULL | NULL | cnt/TimeoutB.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/TimeoutB.D2 | 9991 | ? | 448 | 4096 | cnt/TimeoutB | NULL | NULL | cnt/TimeoutB.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/TimeoutB | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 11 | IV_FALSE | cnt/TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<4> +SPPTERM | 11 | IV_FALSE | cnt/TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<4> | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/TimeoutB.EXP | 10489 | ? | 0 | 0 | cnt/TimeoutB | NULL | NULL | cnt/TimeoutB.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | CLK20EN_OBUF + +SRFF_INSTANCE | cnt/TimeoutB.REG | cnt/TimeoutB | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/TimeoutB.D | 9989 | ? | 448 | 0 | cnt/TimeoutB | NULL | NULL | cnt/TimeoutB.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/TimeoutB.Q | 9992 | ? | 467 | 0 | cnt/TimeoutB | NULL | NULL | cnt/TimeoutB.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/TimeoutBPre | WarpSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre | 9732 | ? | 449 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/TimeoutBPre | 9732 | ? | 449 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/TimeoutBPre.EXP | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.EXP | cnt/TimeoutBPre | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/TimeoutBPre.SI | cnt/TimeoutBPre | 0 | 17 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre | 9732 | ? | 449 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/TimeoutBPre.D1 | 9994 | ? | 449 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/TimeoutBPre.D2 | 9995 | ? | 449 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/TimeoutBPre | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<4> +SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<4> | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/TimeoutBPre.EXP | 10485 | ? | 0 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/RASEL +SPPTERM | 6 | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/RASEL + +SRFF_INSTANCE | cnt/TimeoutBPre.REG | cnt/TimeoutBPre | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/TimeoutBPre.D | 9993 | ? | 449 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/TimeoutBPre.Q | 9996 | ? | 449 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR0r | WarpSE_COPY_0_COPY_0 | 2155873536 | 25 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | fsb/BERR0r.EXP | 10441 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | fsb/BERR0r.SI | fsb/BERR0r | 0 | 24 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/BERR0r.D1 | 9998 | ? | 450 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/BERR0r.D2 | 9999 | ? | 450 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | cnt/TimeoutB | IV_FALSE | fsb/BERR0r +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/BERR0r +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | fsb/BERR0r.EXP | 10426 | ? | 467 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 22 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/BERR | IV_FALSE | cnt/TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_TRUE | Disable + +SRFF_INSTANCE | fsb/BERR0r.REG | fsb/BERR0r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/BERR0r.D | 9997 | ? | 450 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/BERR0r.Q | 10000 | ? | 467 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR1r | WarpSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/BERR1r.SI | fsb/BERR1r | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/BERR1r.D1 | 10002 | ? | 451 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/BERR1r.D2 | 10003 | ? | 451 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobs/BERR | IV_FALSE | fsb/BERR1r +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | fsb/BERR1r.REG | fsb/BERR1r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/BERR1r.D | 10001 | ? | 451 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/BERR1r.Q | 10004 | ? | 467 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | WarpSE_COPY_0_COPY_0 | 2155873536 | 33 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9735 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9814 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 10435 | ? | 452 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9735 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | fsb/Ready0r.EXP | 10434 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 32 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9735 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9814 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 10435 | ? | 452 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready0r.D1 | 10006 | ? | 452 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready0r.D2 | 10007 | ? | 452 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP16_.EXP +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | fsb/Ready0r.EXP | 10419 | ? | 455 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/BERR | IV_FALSE | cnt/TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_TRUE | Disable | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/BERR | IV_FALSE | cnt/TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_TRUE | Disable | IV_FALSE | $OpTx$FX_DC$591.UIM + +SRFF_INSTANCE | fsb/Ready0r.REG | fsb/Ready0r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/Ready0r.D | 10005 | ? | 452 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/Ready0r.Q | 10008 | ? | 467 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | WarpSE_COPY_0_COPY_0 | 2155873536 | 23 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP22_.EXP | 10443 | ? | 453 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | fsb/Ready1r.EXP | 10444 | ? | 454 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 22 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP22_.EXP | 10443 | ? | 453 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready1r.D1 | 10010 | ? | 453 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready1r.D2 | 10011 | ? | 453 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP22_.EXP +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | fsb/Ready1r.EXP | 10429 | ? | 454 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r + +SRFF_INSTANCE | fsb/Ready1r.REG | fsb/Ready1r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/Ready1r.D | 10009 | ? | 453 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/Ready1r.Q | 10012 | ? | 467 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready2r | WarpSE_COPY_0_COPY_0 | 2155873536 | 22 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r.EXP | 10444 | ? | 454 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/Ready2r.SI | fsb/Ready2r | 0 | 21 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r.EXP | 10444 | ? | 454 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready2r.D1 | 10014 | ? | 454 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready2r.D2 | 10015 | ? | 454 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | fsb/Ready1r.EXP +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r + +SRFF_INSTANCE | fsb/Ready2r.REG | fsb/Ready2r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/Ready2r.D | 10013 | ? | 454 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/Ready2r.Q | 10016 | ? | 467 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/VPA | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP14_.EXP | 10432 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP23_.EXP | 10446 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP14_.EXP | 10432 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP23_.EXP | 10446 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/VPA.D1 | 10018 | ? | 455 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/VPA.D2 | 10019 | ? | 455 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP14_.EXP +SPPTERM | 1 | IV_TRUE | EXP23_.EXP +SPPTERM | 3 | IV_TRUE | iobs/BERR | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 3 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 3 | IV_TRUE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_FALSE | Disable | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 4 | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cnt/TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM + +SRFF_INSTANCE | fsb/VPA.REG | fsb/VPA | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/VPA.D | 10017 | ? | 455 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/VPA.Q | 10020 | ? | 455 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nLDS_FSB | 9912 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9740 | ? | 458 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL1 | 9741 | ? | 456 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9740 | ? | 458 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOL0 | 9739 | ? | 508 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL0.Q | iobs/IOL0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOL0.SI | iobs/IOL0 | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL1 | 9741 | ? | 456 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9740 | ? | 458 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL0.D1 | 10022 | ? | 456 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL0.D2 | 10023 | ? | 456 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | iobs/ALE1 +SPPTERM | 2 | IV_TRUE | iobs/IOL1 | IV_FALSE | iobs/ALE1 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL0.CE | 10024 | ? | 457 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | iobs/IOL0.REG | iobs/IOL0 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOL0.D | 10021 | ? | 456 | 0 | iobs/IOL0 | NULL | NULL | iobs/IOL0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | iobs/IOL0.CE | 10024 | ? | 457 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOL0.Q | 10025 | ? | 508 | 0 | iobs/IOL0 | NULL | NULL | iobs/IOL0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9740 | ? | 458 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9777 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9741 | ? | 456 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9740 | ? | 458 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9777 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 10027 | ? | 458 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 10028 | ? | 458 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 10029 | ? | 459 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 + +SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOL1.D | 10026 | ? | 458 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | iobs/IOL1.CE | 10029 | ? | 459 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOL1.Q | 10030 | ? | 456 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877632 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9742 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP36_.EXP | 10528 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Park.EXP | 10529 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.EXP | Park | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9742 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9742 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP36_.EXP | 10528 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Park.EXP | 10529 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.EXP | Park | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 10032 | ? | 460 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IORW1.D2 | 10033 | ? | 460 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Once +SPPTERM | 1 | IV_FALSE | iobs/ALE1 +SPPTERM | 1 | IV_TRUE | EXP36_.EXP +SPPTERM | 1 | IV_TRUE | Park.EXP +SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/IORW1 + +SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IORW1.D | 10031 | ? | 460 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IORW1.Q | 10034 | ? | 460 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | WarpSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOBERR | 9781 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/IOReady.EXP | 10450 | ? | 468 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 18 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOBERR | 9781 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOReady.D1 | 10036 | ? | 461 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOReady.D2 | 10037 | ? | 461 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobs/IOReady | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | iobm/IOBERR | IV_TRUE | iobs/ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | iobm/IOBERR | IV_TRUE | iobs/ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | iobm/IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/IOReady.EXP | 10448 | ? | 468 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | iobs/IOReady.REG | iobs/IOReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOReady.D | 10035 | ? | 461 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOReady.Q | 10038 | ? | 467 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nUDS_FSB | 9913 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9745 | ? | 464 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU1 | 9746 | ? | 462 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9745 | ? | 464 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOU0 | 9744 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU0.Q | iobs/IOU0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOU0.SI | iobs/IOU0 | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU1 | 9746 | ? | 462 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9745 | ? | 464 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU0.D1 | 10040 | ? | 462 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU0.D2 | 10041 | ? | 462 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | iobs/ALE1 +SPPTERM | 2 | IV_TRUE | iobs/IOU1 | IV_FALSE | iobs/ALE1 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU0.CE | 10042 | ? | 463 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | iobs/IOU0.REG | iobs/IOU0 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOU0.D | 10039 | ? | 462 | 0 | iobs/IOU0 | NULL | NULL | iobs/IOU0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | iobs/IOU0.CE | 10042 | ? | 463 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOU0.Q | 10043 | ? | 509 | 0 | iobs/IOU0 | NULL | NULL | iobs/IOU0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9745 | ? | 464 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9777 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9746 | ? | 462 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9745 | ? | 464 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9777 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 10045 | ? | 464 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 10046 | ? | 464 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 10047 | ? | 465 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 + +SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOU1.D | 10044 | ? | 464 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | iobs/IOU1.CE | 10047 | ? | 465 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOU1.Q | 10048 | ? | 462 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RAMDIS2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 16 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9747 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 10464 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMDIS2 | 9747 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RAMDIS2.SI | ram/RAMDIS2 | 0 | 15 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9747 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 10464 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMDIS2.D1 | 10050 | ? | 466 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMDIS2.D2 | 10051 | ? | 466 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP +SPPTERM | 3 | IV_TRUE | ram/RAMDIS2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 10 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 10 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_FALSE | ram/RAMDIS2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +SPPTERM | 12 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 12 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RAMDIS2.REG | ram/RAMDIS2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMDIS2.D | 10049 | ? | 466 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMDIS2.Q | 10052 | ? | 466 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | CLK_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | CLK_IOB | 9914 | PI | 428 | 0 | WarpSE_COPY_0_COPY_0 | CLK_IOB;CLK_IOB;CLK_IOB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | CLK_IOB_IBUF/FCLK- | 9749 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV + +INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nBERR_IOB | 9916 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9751 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/nDTACK | WarpSE_COPY_0_COPY_0 | 2155873280 | 24 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP19_.EXP | 10438 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 10439 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | fsb/nDTACK | 9752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/nDTACK.SI | fsb/nDTACK | 0 | 23 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP19_.EXP | 10438 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 10439 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/nDTACK.D1 | 10054 | ? | 467 | 4096 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/nDTACK.D2 | 10055 | ? | 467 | 4096 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP19_.EXP +SPPTERM | 1 | IV_TRUE | EXP20_.EXP +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/nDTACK.UIM + +SRFF_INSTANCE | fsb/nDTACK.REG | fsb/nDTACK | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/nDTACK.D | 10053 | ? | 467 | 0 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/nDTACK.Q | 10056 | ? | 467 | 0 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 16 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1.EXP | 10449 | ? | 468 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady.EXP | 10450 | ? | 468 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 15 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1.EXP | 10449 | ? | 468 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady.EXP | 10450 | ? | 468 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 10058 | ? | 468 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 10059 | ? | 468 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP +SPPTERM | 1 | IV_TRUE | iobs/IOReady.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 7 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd1.D | 10057 | ? | 468 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd1.Q | 10060 | ? | 499 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP24_.EXP | 10463 | ? | 469 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP27_.EXP | 10474 | ? | 469 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP24_.EXP | 10463 | ? | 469 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP27_.EXP | 10474 | ? | 469 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEL.D1 | 10062 | ? | 469 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASEL.D2 | 10063 | ? | 469 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP24_.EXP +SPPTERM | 1 | IV_TRUE | EXP27_.EXP +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RASEL.D | 10061 | ? | 469 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RASEL.Q | 10064 | ? | 500 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF$BUF0.EXP | 10498 | ? | 470 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_9_OBUF.EXP | 10499 | ? | 470 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF$BUF0.EXP | 10498 | ? | 470 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_9_OBUF.EXP | 10499 | ? | 470 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 10066 | ? | 470 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 10067 | ? | 470 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF$BUF0.EXP +SPPTERM | 1 | IV_TRUE | RA_9_OBUF.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | ram/BACTr + +SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd2.D | 10065 | ? | 470 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 10068 | ? | 499 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9791 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9791 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 10070 | ? | 510 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 10071 | ? | 510 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 +SPPTERM | 5 | IV_FALSE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOREQr | IV_FALSE | iobm/BG + +SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd3.D | 10069 | ? | 510 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 10072 | ? | 535 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 10074 | ? | 511 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 10075 | ? | 511 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd1.D | 10073 | ? | 511 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd1.Q | 10076 | ? | 534 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/IOS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9787 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9798 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9799 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9802 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9803 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9805 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9806 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9787 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9798 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9799 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9802 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9803 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9805 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9806 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 10078 | ? | 512 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 10079 | ? | 512 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 +SPPTERM | 5 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/ETACK +SPPTERM | 6 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 6 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 6 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd2.D | 10077 | ? | 512 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd2.Q | 10080 | ? | 535 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP29_.EXP | 10495 | ? | 471 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_7_OBUF.EXP | 10496 | ? | 471 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP29_.EXP | 10495 | ? | 471 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_7_OBUF.EXP | 10496 | ? | 471 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 10082 | ? | 471 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 10083 | ? | 471 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP29_.EXP +SPPTERM | 1 | IV_TRUE | RA_7_OBUF.EXP +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd3.D | 10081 | ? | 471 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd3.Q | 10084 | ? | 499 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/PS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP33_.EXP | 10520 | ? | 472 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAS_OBUF.EXP | 10521 | ? | 472 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP33_.EXP | 10520 | ? | 472 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAS_OBUF.EXP | 10521 | ? | 472 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 10086 | ? | 472 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 10087 | ? | 472 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP33_.EXP +SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP +SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/IOACTr +SPPTERM | 4 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 + +SRFF_INSTANCE | iobs/PS_FSM_FFd2.REG | iobs/PS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/PS_FSM_FFd2.D | 10085 | ? | 472 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd2.Q | 10088 | ? | 497 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<5> | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<5>.SI | cnt/RefCnt<5> | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<5>.D1 | 10090 | ? | 473 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<5>.D2 | 10091 | ? | 473 | 4096 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<4> + +SRFF_INSTANCE | cnt/RefCnt<5>.REG | cnt/RefCnt<5> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<5>.D | 10089 | ? | 473 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<5>.Q | 10092 | ? | 499 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<6> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<6>.SI | cnt/RefCnt<6> | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<6>.D1 | 10094 | ? | 474 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<6>.D2 | 10095 | ? | 474 | 4096 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<4> + +SRFF_INSTANCE | cnt/RefCnt<6>.REG | cnt/RefCnt<6> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<6>.D | 10093 | ? | 474 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<6>.Q | 10096 | ? | 499 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobm/ES<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 10098 | ? | 513 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 10099 | ? | 513 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/Er +SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_FALSE | iobm/Er2 + +SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<0>.D | 10097 | ? | 513 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 10100 | ? | 520 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 10102 | ? | 475 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 10103 | ? | 475 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr + +SRFF_INSTANCE | iobs/PS_FSM_FFd1.REG | iobs/PS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/PS_FSM_FFd1.D | 10101 | ? | 475 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd1.Q | 10104 | ? | 497 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 10106 | ? | 514 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 10107 | ? | 514 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> +SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> +SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 + +SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<1>.D | 10105 | ? | 514 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 10108 | ? | 520 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<0> | WarpSE_COPY_0_COPY_0 | 2155877376 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<0>.SI | cnt/RefCnt<0> | 0 | 0 | 2 +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<0>.D1 | 10110 | ? | 476 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<0>.D2 | 10111 | ? | 476 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_DC + +SRFF_INSTANCE | cnt/RefCnt<0>.REG | cnt/RefCnt<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<0>.D | 10109 | ? | 476 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<0>.Q | 10112 | ? | 482 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<7> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<7>.SI | cnt/RefCnt<7> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<7>.D1 | 10114 | ? | 477 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<7>.D2 | 10115 | ? | 477 | 4096 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<4> + +SRFF_INSTANCE | cnt/RefCnt<7>.REG | cnt/RefCnt<7> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<7>.D | 10113 | ? | 477 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<7>.Q | 10116 | ? | 499 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 10118 | ? | 515 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 10119 | ? | 515 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> +SPPTERM | 2 | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> +SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> +SPPTERM | 3 | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> + +SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<2>.D | 10117 | ? | 515 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<2>.Q | 10120 | ? | 520 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 10122 | ? | 516 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 10123 | ? | 516 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/Er +SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/Er2 + +SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<3>.D | 10121 | ? | 516 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<3>.Q | 10124 | ? | 520 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<4>.SI | iobm/ES<4> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<4>.D1 | 10126 | ? | 517 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<4>.D2 | 10127 | ? | 517 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<4> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_TRUE | iobm/Er +SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er2 +SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> + +SRFF_INSTANCE | iobm/ES<4>.REG | iobm/ES<4> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<4>.D | 10125 | ? | 517 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<4>.Q | 10128 | ? | 520 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<1> | WarpSE_COPY_0_COPY_0 | 2155877376 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<1>.SI | cnt/RefCnt<1> | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<1>.D1 | 10130 | ? | 478 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<1>.D2 | 10131 | ? | 478 | 4096 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/RefCnt<0> + +SRFF_INSTANCE | cnt/RefCnt<1>.REG | cnt/RefCnt<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<1>.D | 10129 | ? | 478 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<1>.Q | 10132 | ? | 482 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<3>.SI | cnt/RefCnt<3> | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<3>.D1 | 10134 | ? | 479 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<3>.D2 | 10135 | ? | 479 | 4096 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> + +SRFF_INSTANCE | cnt/RefCnt<3>.REG | cnt/RefCnt<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<3>.D | 10133 | ? | 479 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<3>.Q | 10136 | ? | 482 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOACT | 9790 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOACT | 9790 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 10138 | ? | 480 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOACTr.D2 | 10139 | ? | 480 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOACT + +SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOACTr.D | 10137 | ? | 480 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOACTr.Q | 10140 | ? | 497 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<2>.SI | cnt/RefCnt<2> | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<2>.D1 | 10142 | ? | 481 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<2>.D2 | 10143 | ? | 481 | 4096 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> + +SRFF_INSTANCE | cnt/RefCnt<2>.REG | cnt/RefCnt<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<2>.D | 10141 | ? | 481 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<2>.Q | 10144 | ? | 482 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<4>.SI | cnt/RefCnt<4> | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<4>.D1 | 10146 | ? | 482 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<4>.D2 | 10147 | ? | 482 | 4096 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<2> + +SRFF_INSTANCE | cnt/RefCnt<4>.REG | cnt/RefCnt<4> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<4>.D | 10145 | ? | 482 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<4>.Q | 10148 | ? | 477 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_5_OBUF.EXP | 10470 | ? | 483 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_2_OBUF.EXP | 10471 | ? | 483 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9777 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_5_OBUF.EXP | 10470 | ? | 483 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_2_OBUF.EXP | 10471 | ? | 483 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 10150 | ? | 483 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Load1.D2 | 10151 | ? | 483 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Once +SPPTERM | 1 | IV_FALSE | iobs/ALE1 +SPPTERM | 1 | IV_TRUE | RA_5_OBUF.EXP +SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 + +SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Load1.D | 10149 | ? | 483 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Load1.Q | 10152 | ? | 503 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/BACTr.D1 | 10154 | ? | 484 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/BACTr.D2 | 10155 | ? | 484 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/BACTr.D | 10153 | ? | 484 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/BACTr.Q | 10156 | ? | 499 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | RESr0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9728 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | RESr0 | 9779 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | RESr0.SI | RESr0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9728 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RESr0.D1 | 10158 | ? | 485 | 4096 | RESr0 | NULL | NULL | RESr0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RESr0.D2 | 10159 | ? | 485 | 4096 | RESr0 | NULL | NULL | RESr0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nRES_IBUF + +SRFF_INSTANCE | RESr0.REG | RESr0 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RESr0.D | 10157 | ? | 485 | 0 | RESr0 | NULL | NULL | RESr0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RESr0.Q | 10160 | ? | 502 | 0 | RESr0 | NULL | NULL | RESr0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | RESr1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RESr0 | 9779 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | RESr1 | 9780 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | RESr1.SI | RESr1 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RESr0 | 9779 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RESr1.D1 | 10162 | ? | 486 | 4096 | RESr1 | NULL | NULL | RESr1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RESr1.D2 | 10163 | ? | 486 | 4096 | RESr1 | NULL | NULL | RESr1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RESr0 + +SRFF_INSTANCE | RESr1.REG | RESr1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RESr1.D | 10161 | ? | 486 | 0 | RESr1 | NULL | NULL | RESr1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RESr1.Q | 10164 | ? | 502 | 0 | RESr1 | NULL | NULL | RESr1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/IOBERR | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOBERR | 9781 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9751 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9787 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9798 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9799 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9802 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9803 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK.EXP | 10478 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOBERR | 9781 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOBERR.SI | iobm/IOBERR | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOBERR | 9781 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9751 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9787 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9798 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9799 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9802 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9803 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK.EXP | 10478 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOBERR.D1 | 10166 | ? | 518 | 4096 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOBERR.D2 | 10167 | ? | 518 | 4096 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/ETACK.EXP +SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR +SPPTERM | 7 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR | IV_TRUE | iobm/ETACK +SPPTERM | 7 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/ETACK +SPPTERM | 8 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 8 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr + +SRFF_INSTANCE | iobm/IOBERR.REG | iobm/IOBERR | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOBERR.D | 10165 | ? | 518 | 0 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOBERR.Q | 10168 | ? | 518 | 0 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +INPUT_INSTANCE | 0 | 0 | NULL | nIPL2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nIPL2 | 9917 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 9782 | ? | 487 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | IPL2r0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nIPL2_IBUF | 9782 | ? | 487 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IPL2r0 | 9783 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IPL2r0.Q | IPL2r0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IPL2r0.SI | IPL2r0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nIPL2_IBUF | 9782 | ? | 487 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IPL2r0.D1 | 10170 | ? | 487 | 4096 | IPL2r0 | NULL | NULL | IPL2r0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IPL2r0.D2 | 10171 | ? | 487 | 4096 | IPL2r0 | NULL | NULL | IPL2r0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nIPL2_IBUF + +SRFF_INSTANCE | IPL2r0.REG | IPL2r0 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IPL2r0.D | 10169 | ? | 487 | 0 | IPL2r0 | NULL | NULL | IPL2r0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IPL2r0.Q | 10172 | ? | 502 | 0 | IPL2r0 | NULL | NULL | IPL2r0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | RESr2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RESr1 | 9780 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | RESr2 | 9784 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr2.Q | RESr2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | RESr2.SI | RESr2 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RESr1 | 9780 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RESr2.D1 | 10174 | ? | 488 | 4096 | RESr2 | NULL | NULL | RESr2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RESr2.D2 | 10175 | ? | 488 | 4096 | RESr2 | NULL | NULL | RESr2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RESr1 + +SRFF_INSTANCE | RESr2.REG | RESr2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RESr2.D | 10173 | ? | 488 | 0 | RESr2 | NULL | NULL | RESr2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RESr2.Q | 10176 | ? | 502 | 0 | RESr2 | NULL | NULL | RESr2.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | nBG_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nBG_IOB | 9918 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nBG_IOB_IBUF | 9785 | ? | 519 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBG_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BGr0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBG_IOB_IBUF | 9785 | ? | 519 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBG_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/BGr0 | 9786 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BGr0.Q | iobm/BGr0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/BGr0.SI | iobm/BGr0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBG_IOB_IBUF | 9785 | ? | 519 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBG_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BGr0.D1 | 10178 | ? | 519 | 4096 | iobm/BGr0 | NULL | NULL | iobm/BGr0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BGr0.D2 | 10179 | ? | 519 | 4096 | iobm/BGr0 | NULL | NULL | iobm/BGr0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nBG_IOB_IBUF + +SRFF_INSTANCE | iobm/BGr0.REG | iobm/BGr0 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/BGr0.D | 10177 | ? | 519 | 0 | iobm/BGr0 | NULL | NULL | iobm/BGr0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/BGr0.Q | 10180 | ? | 536 | 0 | iobm/BGr0 | NULL | NULL | iobm/BGr0.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | WarpSE_COPY_0_COPY_0 | 2155873280 | 19 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/nVMA | 9710 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9751 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOBERR | 9781 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9805 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9806 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9798 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9799 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9802 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9803 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9787 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobm/ETACK.EXP | 10478 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 18 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/nVMA | 9710 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9751 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOBERR | 9781 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9805 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9806 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9798 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9799 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9802 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9803 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ETACK.D1 | 10182 | ? | 520 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ETACK.D2 | 10183 | ? | 520 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | iobm/nVMA | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/ETACK.EXP | 10475 | ? | 518 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr +SPPTERM | 8 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 8 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 8 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ETACK.D | 10181 | ? | 520 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ETACK.Q | 10184 | ? | 521 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +INPUT_INSTANCE | 0 | 0 | NULL | E_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | E_IOB | 9919 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | E_IOB_IBUF | 9788 | ? | 434 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IOB_IBUF | 9788 | ? | 434 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF/FCLK- | 9749 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IOB_IBUF | 9788 | ? | 434 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 10186 | ? | 434 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er.D2 | 10187 | ? | 434 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | E_IOB_IBUF + +SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/Er.D | 10185 | ? | 434 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_IOB_IBUF/FCLK- | 9749 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/Er.Q | 10188 | ? | 529 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOACT | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9791 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9787 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9798 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9799 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nADoutLE0_OBUF.EXP | 10479 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/nDinLE.EXP | 10480 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.EXP | iobm/nDinLE | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOACT | 9790 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOACT.SI | iobm/IOACT | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9791 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9787 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9798 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9799 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nADoutLE0_OBUF.EXP | 10479 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/nDinLE.EXP | 10480 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.EXP | iobm/nDinLE | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOACT.D1 | 10190 | ? | 521 | 4096 | iobm/IOACT | NULL | NULL | iobm/IOACT.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOACT.D2 | 10191 | ? | 521 | 4096 | iobm/IOACT | NULL | NULL | iobm/IOACT.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nADoutLE0_OBUF.EXP +SPPTERM | 1 | IV_TRUE | iobm/nDinLE.EXP +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/BG +SPPTERM | 4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/ETACK +SPPTERM | 5 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr + +SRFF_INSTANCE | iobm/IOACT.REG | iobm/IOACT | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOACT.D | 10189 | ? | 521 | 0 | iobm/IOACT | NULL | NULL | iobm/IOACT.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOACT.Q | 10192 | ? | 504 | 0 | iobm/IOACT | NULL | NULL | iobm/IOACT.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/IOREQr | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOREQ | 9812 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.Q | iobs/IOREQ | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9791 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOREQr.SI | iobm/IOREQr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOREQ | 9812 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.Q | iobs/IOREQ | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOREQr.D1 | 10194 | ? | 522 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOREQr.D2 | 10195 | ? | 522 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/IOREQ + +SRFF_INSTANCE | iobm/IOREQr.REG | iobm/IOREQr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOREQr.D | 10193 | ? | 522 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOREQr.Q | 10196 | ? | 523 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefRAS | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RefRAS | 9792 | ? | 437 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RefRAS.SI | ram/RefRAS | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefRAS.D1 | 10198 | ? | 489 | 4096 | ram/RefRAS | NULL | NULL | ram/RefRAS.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefRAS.D2 | 10199 | ? | 489 | 4096 | ram/RefRAS | NULL | NULL | ram/RefRAS.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 + +SRFF_INSTANCE | ram/RefRAS.REG | ram/RefRAS | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RefRAS.D | 10197 | ? | 489 | 0 | ram/RefRAS | NULL | NULL | ram/RefRAS.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RefRAS.Q | 10200 | ? | 437 | 0 | ram/RefRAS | NULL | NULL | ram/RefRAS.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | RESDone | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RESr0 | 9779 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RESr1 | 9780 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RESr2 | 9784 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr2.Q | RESr2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9745 | ? | 464 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9747 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | RESDone | 9793 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESDone.Q | RESDone | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RESDone.EXP | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESDone.EXP | RESDone | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RESDone.SI | RESDone | 0 | 8 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RESr0 | 9779 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RESr1 | 9780 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RESr2 | 9784 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr2.Q | RESr2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9745 | ? | 464 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9747 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RESDone.D1 | 10202 | ? | 490 | 4096 | RESDone | NULL | NULL | RESDone.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RESDone.D2 | 10203 | ? | 490 | 6144 | RESDone | NULL | NULL | RESDone.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_DC +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RESDone.EXP | 10510 | ? | 0 | 0 | RESDone | NULL | NULL | RESDone.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RESDone.CE | 10204 | ? | 491 | 4096 | RESDone | NULL | NULL | RESDone.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_FALSE | RESr0 | IV_FALSE | RESr1 | IV_TRUE | RESr2 + +SRFF_INSTANCE | RESDone.REG | RESDone | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RESDone.D | 10201 | ? | 490 | 0 | RESDone | NULL | NULL | RESDone.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | RESDone.CE | 10204 | ? | 491 | 4096 | RESDone | NULL | NULL | RESDone.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_FALSE | RESr0 | IV_FALSE | RESr1 | IV_TRUE | RESr2 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RESDone.Q | 10205 | ? | 502 | 0 | RESDone | NULL | NULL | RESDone.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | IPL2r1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IPL2r0 | 9783 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IPL2r0.Q | IPL2r0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IPL2r1 | 9794 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IPL2r1.Q | IPL2r1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IPL2r1.SI | IPL2r1 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IPL2r0 | 9783 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IPL2r0.Q | IPL2r0 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IPL2r1.D1 | 10207 | ? | 492 | 4096 | IPL2r1 | NULL | NULL | IPL2r1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IPL2r1.D2 | 10208 | ? | 492 | 4096 | IPL2r1 | NULL | NULL | IPL2r1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IPL2r0 + +SRFF_INSTANCE | IPL2r1.REG | IPL2r1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IPL2r1.D | 10206 | ? | 492 | 0 | IPL2r1 | NULL | NULL | IPL2r1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IPL2r1.Q | 10209 | ? | 502 | 0 | IPL2r1 | NULL | NULL | IPL2r1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK- | 9721 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 10211 | ? | 493 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 10212 | ? | 493 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/ASrf.D | 10210 | ? | 493 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK- | 9721 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 10213 | ? | 499 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ALE0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9791 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ALE0 | 9797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ALE0.Q | iobm/ALE0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ALE0.SI | iobm/ALE0 | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9791 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ALE0.D1 | 10215 | ? | 523 | 4096 | iobm/ALE0 | NULL | NULL | iobm/ALE0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ALE0.D2 | 10216 | ? | 523 | 4096 | iobm/ALE0 | NULL | NULL | iobm/ALE0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd2 +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOREQr | IV_FALSE | iobm/BG + +SRFF_INSTANCE | iobm/ALE0.REG | iobm/ALE0 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ALE0.D | 10214 | ? | 523 | 0 | iobm/ALE0 | NULL | NULL | iobm/ALE0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ALE0.Q | 10217 | ? | 0 | 0 | iobm/ALE0 | NULL | NULL | iobm/ALE0.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/BERRrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9751 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9798 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/BERRrf.SI | iobm/BERRrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9751 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrf.D1 | 10219 | ? | 524 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrf.D2 | 10220 | ? | 524 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF + +SRFF_INSTANCE | iobm/BERRrf.REG | iobm/BERRrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/BERRrf.D | 10218 | ? | 524 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/BERRrf.Q | 10221 | ? | 521 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BERRrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9751 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9799 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/BERRrr.SI | iobm/BERRrr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9751 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrr.D1 | 10223 | ? | 525 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrr.D2 | 10224 | ? | 525 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF + +SRFF_INSTANCE | iobm/BERRrr.REG | iobm/BERRrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/BERRrr.D | 10222 | ? | 525 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/BERRrr.Q | 10225 | ? | 521 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BGr1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BGr0 | 9786 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BGr0.Q | iobm/BGr0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/BGr1 | 9800 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BGr1.Q | iobm/BGr1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/BGr1.SI | iobm/BGr1 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BGr0 | 9786 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BGr0.Q | iobm/BGr0 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BGr1.D1 | 10227 | ? | 526 | 4096 | iobm/BGr1 | NULL | NULL | iobm/BGr1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BGr1.D2 | 10228 | ? | 526 | 4096 | iobm/BGr1 | NULL | NULL | iobm/BGr1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/BGr0 + +SRFF_INSTANCE | iobm/BGr1.REG | iobm/BGr1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/BGr1.D | 10226 | ? | 526 | 0 | iobm/BGr1 | NULL | NULL | iobm/BGr1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/BGr1.Q | 10229 | ? | 536 | 0 | iobm/BGr1 | NULL | NULL | iobm/BGr1.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nDTACK_IOB | 9921 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9801 | ? | 528 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/DTACKrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9801 | ? | 528 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9802 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/DTACKrf.SI | iobm/DTACKrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9801 | ? | 528 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrf.D1 | 10231 | ? | 527 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrf.D2 | 10232 | ? | 527 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF + +SRFF_INSTANCE | iobm/DTACKrf.REG | iobm/DTACKrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/DTACKrf.D | 10230 | ? | 527 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrf.Q | 10233 | ? | 521 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DTACKrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9801 | ? | 528 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9803 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/DTACKrr.SI | iobm/DTACKrr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9801 | ? | 528 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrr.D1 | 10235 | ? | 528 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrr.D2 | 10236 | ? | 528 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF + +SRFF_INSTANCE | iobm/DTACKrr.REG | iobm/DTACKrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/DTACKrr.D | 10234 | ? | 528 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrr.Q | 10237 | ? | 521 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/Er2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/Er2.SI | iobm/Er2 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er2.D1 | 10239 | ? | 529 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er2.D2 | 10240 | ? | 529 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/Er + +SRFF_INSTANCE | iobm/Er2.REG | iobm/Er2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/Er2.D | 10238 | ? | 529 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/Er2.Q | 10241 | ? | 517 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/RESrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9728 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9805 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/RESrf.SI | iobm/RESrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9728 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrf.D1 | 10243 | ? | 530 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrf.D2 | 10244 | ? | 530 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nRES_IBUF + +SRFF_INSTANCE | iobm/RESrf.REG | iobm/RESrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/RESrf.D | 10242 | ? | 530 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/RESrf.Q | 10245 | ? | 521 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/RESrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9728 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9806 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/RESrr.SI | iobm/RESrr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9728 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrr.D1 | 10247 | ? | 531 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrr.D2 | 10248 | ? | 531 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nRES_IBUF + +SRFF_INSTANCE | iobm/RESrr.REG | iobm/RESrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/RESrr.D | 10246 | ? | 531 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/RESrr.Q | 10249 | ? | 521 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nVPA_IOB | 9922 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9807 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPArf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9807 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9808 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/VPArf.SI | iobm/VPArf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9807 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArf.D1 | 10251 | ? | 532 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArf.D2 | 10252 | ? | 532 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF + +SRFF_INSTANCE | iobm/VPArf.REG | iobm/VPArf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/VPArf.D | 10250 | ? | 532 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/VPArf.Q | 10253 | ? | 504 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/VPArr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9807 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9809 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/VPArr.SI | iobm/VPArr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9807 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArr.D1 | 10255 | ? | 533 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArr.D2 | 10256 | ? | 533 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF + +SRFF_INSTANCE | iobm/VPArr.REG | iobm/VPArr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/VPArr.D | 10254 | ? | 533 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/VPArr.Q | 10257 | ? | 504 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/ALE0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/ALE0 | 9810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/ALE0.Q | iobs/ALE0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/ALE0.SI | iobs/ALE0 | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/ALE0.D1 | 10259 | ? | 495 | 4096 | iobs/ALE0 | NULL | NULL | iobs/ALE0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/ALE0.D2 | 10260 | ? | 495 | 4096 | iobs/ALE0 | NULL | NULL | iobs/ALE0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | iobs/ALE0.REG | iobs/ALE0 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/ALE0.D | 10258 | ? | 495 | 0 | iobs/ALE0 | NULL | NULL | iobs/ALE0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/ALE0.Q | 10261 | ? | 0 | 0 | iobs/ALE0 | NULL | NULL | iobs/ALE0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 17 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9811 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/Clear1.EXP | 10449 | ? | 468 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 16 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Clear1.D1 | 10263 | ? | 496 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Clear1.D2 | 10264 | ? | 496 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/Clear1.EXP | 10447 | ? | 468 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 12 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 12 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Clear1.D | 10262 | ? | 496 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Clear1.Q | 10265 | ? | 503 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/IOREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAMUWE_OBUF.EXP | 10524 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP34_.EXP | 10525 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOREQ | 9812 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.Q | iobs/IOREQ | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOREQ.SI | iobs/IOREQ | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAMUWE_OBUF.EXP | 10524 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP34_.EXP | 10525 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOREQ.D1 | 10267 | ? | 497 | 4096 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOREQ.D2 | 10268 | ? | 497 | 4096 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP +SPPTERM | 1 | IV_TRUE | EXP34_.EXP +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 3 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 + +SRFF_INSTANCE | iobs/IOREQ.REG | iobs/IOREQ | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOREQ.D | 10266 | ? | 497 | 0 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOREQ.Q | 10269 | ? | 522 | 0 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMDIS1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP28_.EXP | 10493 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP31_.EXP | 10504 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMDIS1 | 9813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RAMDIS1.SI | ram/RAMDIS1 | 0 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP28_.EXP | 10493 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP31_.EXP | 10504 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMDIS1.D1 | 10271 | ? | 498 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMDIS1.D2 | 10272 | ? | 498 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP28_.EXP +SPPTERM | 1 | IV_TRUE | EXP31_.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | ram/RAMDIS1.REG | ram/RAMDIS1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMDIS1.D | 10270 | ? | 498 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMDIS1.Q | 10273 | ? | 0 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RAMReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK20EN_OBUF$BUF0.EXP | 10502 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | CLK20EN_OBUF$BUF0.EXP | CLK20EN_OBUF$BUF0 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP30_.EXP | 10503 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9814 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK20EN_OBUF$BUF0.EXP | 10502 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | CLK20EN_OBUF$BUF0.EXP | CLK20EN_OBUF$BUF0 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP30_.EXP | 10503 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMReady.D1 | 10275 | ? | 499 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMReady.D2 | 10276 | ? | 499 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 1 | IV_TRUE | CLK20EN_OBUF$BUF0.EXP +SPPTERM | 1 | IV_TRUE | EXP30_.EXP +SPPTERM | 4 | IV_FALSE | cnt/RefDone | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RAMReady.REG | ram/RAMReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMReady.D | 10274 | ? | 499 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMReady.Q | 10277 | ? | 467 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<1> | 9923 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<2> | 9924 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 9816 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<3> | 9925 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<4> | 9926 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<5> | 9927 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 9819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<6> | 9928 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 9820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<7> | 9929 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 9821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_1_IBUF | 9815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 9822 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_1_IBUF | 9815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_0_OBUF.D1 | 10279 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_0_OBUF.D2 | 10280 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF + +SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_0_OBUF.D | 10278 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_0_OBUF.Q | 10281 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_2_IBUF | 9816 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 9823 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF.EXP | 10494 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_2_IBUF | 9816 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_1_OBUF.D1 | 10283 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_1_OBUF.D2 | 10284 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_1_OBUF.EXP | 10482 | ? | 498 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_1_OBUF.D | 10282 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_1_OBUF.Q | 10285 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_6_OBUF.EXP | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 9824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF.EXP | 10471 | ? | 483 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_6_OBUF.EXP | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_2_OBUF.D1 | 10287 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_2_OBUF.D2 | 10288 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_2_OBUF.EXP | 10459 | ? | 483 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | SW_1_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 + +SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_2_OBUF.D | 10286 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_2_OBUF.Q | 10289 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_4_IBUF | 9818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_6_IBUF | 9820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_3_OBUF | 9825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_3_OBUF.EXP | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_4_IBUF | 9818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_6_IBUF | 9820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_3_OBUF.D1 | 10291 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_3_OBUF.D2 | 10292 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_3_OBUF.EXP | 10457 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF + +SRFF_INSTANCE | RA_3_OBUF.REG | RA_3_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_3_OBUF.D | 10290 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_3_OBUF.Q | 10293 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 9826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_4_OBUF.EXP | 10468 | ? | 440 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_4_OBUF.D1 | 10295 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_4_OBUF.D2 | 10296 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_4_OBUF.EXP | 10456 | ? | 440 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | SW_1_IBUF +SPPTERM | 5 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 + +SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_4_OBUF.D | 10294 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_4_OBUF.Q | 10297 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_3_OBUF.EXP | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 9827 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_5_OBUF.EXP | 10470 | ? | 483 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_3_OBUF.EXP | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_5_OBUF.D1 | 10299 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_5_OBUF.D2 | 10300 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_3_OBUF.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_5_OBUF.EXP | 10458 | ? | 483 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF + +SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_5_OBUF.D | 10298 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_5_OBUF.Q | 10301 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_7_IBUF | 9821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_3_IBUF | 9817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 9828 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_7_IBUF | 9821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_3_IBUF | 9817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_6_OBUF.D1 | 10303 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_6_OBUF.D2 | 10304 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_6_OBUF.EXP | 10460 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF + +SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_6_OBUF.D | 10302 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_6_OBUF.Q | 10305 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 9829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_7_OBUF.EXP | 10496 | ? | 471 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_7_OBUF.D1 | 10307 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_7_OBUF.D2 | 10308 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_7_OBUF.EXP | 10484 | ? | 471 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_7_OBUF.D | 10306 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_7_OBUF.Q | 10309 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre.EXP | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.EXP | cnt/TimeoutBPre | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 9830 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre.EXP | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.EXP | cnt/TimeoutBPre | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_8_OBUF.D1 | 10311 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_8_OBUF.D2 | 10312 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/TimeoutBPre.EXP +SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_18_IBUF +SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_18_IBUF +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | cs/nOverlay1 +SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | cs/nOverlay1 + +SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_8_OBUF.D | 10310 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_8_OBUF.Q | 10313 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK25EN_OBUF.EXP | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | CLK25EN_OBUF.EXP | CLK25EN_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 9831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_9_OBUF.EXP | 10499 | ? | 470 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK25EN_OBUF.EXP | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | CLK25EN_OBUF.EXP | CLK25EN_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_9_OBUF.D1 | 10315 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_9_OBUF.D2 | 10316 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | CLK25EN_OBUF.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_9_OBUF.EXP | 10487 | ? | 470 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_9_OBUF.D | 10314 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_9_OBUF.Q | 10317 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nBERR_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP35_.EXP | 10526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 9832 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 10527 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP35_.EXP | 10526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 10319 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 10320 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF +SPPTERM | 1 | IV_TRUE | EXP35_.EXP +SPPTERM | 4 | IV_FALSE | iobs/BERR | IV_FALSE | cnt/TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 10514 | ? | 460 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | SW_1_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 + +SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nBERR_FSB_OBUF.D | 10318 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nBERR_FSB_OBUF.Q | 10321 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/nCAS.EXP | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nCAS.EXP | ram/nCAS | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nOE_OBUF | 9833 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nOE_OBUF.EXP | 10466 | ? | 440 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/nCAS.EXP | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nCAS.EXP | ram/nCAS | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 10323 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nOE_OBUF.D2 | 10324 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/nCAS.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nOE_OBUF.EXP | 10454 | ? | 440 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_FALSE | cs/nOverlay1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/Once + +SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nOE_OBUF.D | 10322 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 10325 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 26 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 9834 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 10445 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 26 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 10327 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMWE_OBUF.D2 | 10328 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nROMWE_OBUF.EXP | 10430 | ? | 455 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/ALE1 | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/ALE1 | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM + +SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nROMWE_OBUF.D | 10326 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 10329 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 9835 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 10331 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 10332 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nVPA_FSB_OBUF.D | 10330 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 10333 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/nDinLE | WarpSE_COPY_0_COPY_0 | 2424308736 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9805 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9806 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | iobm/nDinLE | 9836 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.Q | iobm/nDinLE | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobm/nDinLE.EXP | 10480 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.EXP | iobm/nDinLE | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobm/nDinLE.SI | iobm/nDinLE | 0 | 6 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9805 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9806 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/nDinLE.D1 | 10335 | ? | 534 | 4096 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/nDinLE.D2 | 10336 | ? | 534 | 4096 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/nDinLE.EXP | 10477 | ? | 521 | 0 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | iobm/nDinLE.REG | iobm/nDinLE | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/nDinLE.D | 10334 | ? | 534 | 0 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/nDinLE.Q | 10337 | ? | 0 | 0 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/nDoutOE | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | iobm/nDoutOE | 9837 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDoutOE.Q | iobm/nDoutOE | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | iobm/nDoutOE.SI | iobm/nDoutOE | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/nDoutOE.D1 | 10339 | ? | 535 | 4096 | iobm/nDoutOE | NULL | NULL | iobm/nDoutOE.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/nDoutOE.D2 | 10340 | ? | 535 | 4096 | iobm/nDoutOE | NULL | NULL | iobm/nDoutOE.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | iobs/IORW0 +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 + +SRFF_INSTANCE | iobm/nDoutOE.REG | iobm/nDoutOE | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/nDoutOE.D | 10338 | ? | 535 | 0 | iobm/nDoutOE | NULL | NULL | iobm/nDoutOE.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/nDoutOE.Q | 10341 | ? | 0 | 0 | iobm/nDoutOE | NULL | NULL | iobm/nDoutOE.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ALE0 | 9797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ALE0.Q | iobm/ALE0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE0 | 9810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/ALE0.Q | iobs/ALE0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9802 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9803 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 9838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nADoutLE0_OBUF.EXP | 10479 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 7 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ALE0 | 9797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ALE0.Q | iobm/ALE0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE0 | 9810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/ALE0.Q | iobs/ALE0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9802 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9803 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 10343 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 10344 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/ALE0 | IV_FALSE | iobs/ALE0 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nADoutLE0_OBUF.EXP | 10476 | ? | 521 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr + +SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nADoutLE0_OBUF.D | 10342 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 10345 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 9839 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 10347 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 10348 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | SW_1_IBUF + +SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDinOE_OBUF.D | 10346 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDinOE_OBUF.Q | 10349 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAMLWE_OBUF.EXP | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 9840 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nRAS_OBUF.EXP | 10521 | ? | 472 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAMLWE_OBUF.EXP | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 10351 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAS_OBUF.D2 | 10352 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nRAMLWE_OBUF.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAS_OBUF.EXP | 10508 | ? | 472 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | SW_1_IBUF | IV_TRUE | iobs/ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 + +SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAS_OBUF.D | 10350 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 10353 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | ram/nCAS | WarpSE_COPY_0_COPY_0 | 2424308736 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK- | 9721 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | ram/nCAS | 9841 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nCAS.Q | ram/nCAS | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/nCAS.EXP | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nCAS.EXP | ram/nCAS | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/nCAS.SI | ram/nCAS | 0 | 3 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/nCAS.D1 | 10355 | ? | 500 | 4096 | ram/nCAS | NULL | NULL | ram/nCAS.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/nCAS.D2 | 10356 | ? | 500 | 4096 | ram/nCAS | NULL | NULL | ram/nCAS.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | ram/RASEL +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/nCAS.EXP | 10453 | ? | 0 | 0 | ram/nCAS | NULL | NULL | ram/nCAS.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | ram/nCAS.REG | ram/nCAS | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/nCAS.D | 10354 | ? | 500 | 0 | ram/nCAS | NULL | NULL | ram/nCAS.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK- | 9721 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/nCAS.Q | 10357 | ? | 0 | 0 | ram/nCAS | NULL | NULL | ram/nCAS.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_19_IBUF$BUF0 | 9842 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | A_FSB_19_IBUF$BUF0.EXP | 10519 | ? | 444 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 7 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 10359 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 10360 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.EXP | 10506 | ? | 444 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd1 +SPPTERM | 2 | IV_TRUE | iobs/Once | IV_TRUE | iobs/ALE1 +SPPTERM | 3 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW0 | IV_TRUE | iobs/ALE1 + +SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_19_IBUF$BUF0.D | 10358 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_19_IBUF$BUF0.Q | 10361 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_21_IBUF$BUF0 | 9843 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | A_FSB_21_IBUF$BUF0.EXP | 10498 | ? | 470 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 10363 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 10364 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.EXP | 10486 | ? | 470 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_TRUE | ram/BACTr +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_TRUE | ram/BACTr +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_21_IBUF$BUF0.D | 10362 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_21_IBUF$BUF0.Q | 10365 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | CLK20EN_OBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | SW<0> | 9930 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | CLK20EN_OBUF | 9844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK20EN_OBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | OptxMapped | CLK20EN_OBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutB.EXP | 10501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.EXP | cnt/TimeoutB | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | CLK20EN_OBUF$BUF0 | 9845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | CLK20EN_OBUF$BUF0.Q | CLK20EN_OBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | CLK20EN_OBUF$BUF0.EXP | 10502 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | CLK20EN_OBUF$BUF0.EXP | CLK20EN_OBUF$BUF0 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | CLK20EN_OBUF$BUF0.SI | CLK20EN_OBUF$BUF0 | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutB.EXP | 10501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.EXP | cnt/TimeoutB | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | CLK20EN_OBUF$BUF0.D1 | 10367 | ? | 0 | 4096 | CLK20EN_OBUF$BUF0 | NULL | NULL | CLK20EN_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | CLK20EN_OBUF$BUF0.D2 | 10368 | ? | 0 | 4096 | CLK20EN_OBUF$BUF0 | NULL | NULL | CLK20EN_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/TimeoutB.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | CLK20EN_OBUF$BUF0.EXP | 10490 | ? | 499 | 0 | CLK20EN_OBUF$BUF0 | NULL | NULL | CLK20EN_OBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr + +SRFF_INSTANCE | CLK20EN_OBUF$BUF0.REG | CLK20EN_OBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | CLK20EN_OBUF$BUF0.D | 10366 | ? | 0 | 0 | CLK20EN_OBUF$BUF0 | NULL | NULL | CLK20EN_OBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | CLK20EN_OBUF$BUF0.Q | 10369 | ? | 0 | 0 | CLK20EN_OBUF$BUF0 | NULL | NULL | CLK20EN_OBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | CLK25EN_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK20EN_OBUF | 9844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK20EN_OBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | CLK25EN_OBUF | 9846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | CLK25EN_OBUF.Q | CLK25EN_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | CLK25EN_OBUF.EXP | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | CLK25EN_OBUF.EXP | CLK25EN_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | CLK25EN_OBUF.SI | CLK25EN_OBUF | 0 | 4 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK20EN_OBUF | 9844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK20EN_OBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | CLK25EN_OBUF.D1 | 10371 | ? | 0 | 4096 | CLK25EN_OBUF | NULL | NULL | CLK25EN_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | CLK25EN_OBUF.D2 | 10372 | ? | 0 | 4096 | CLK25EN_OBUF | NULL | NULL | CLK25EN_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | CLK20EN_OBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | CLK25EN_OBUF.EXP | 10488 | ? | 0 | 0 | CLK25EN_OBUF | NULL | NULL | CLK25EN_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL + +SRFF_INSTANCE | CLK25EN_OBUF.REG | CLK25EN_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | CLK25EN_OBUF.D | 10370 | ? | 0 | 0 | CLK25EN_OBUF | NULL | NULL | CLK25EN_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | CLK25EN_OBUF.Q | 10373 | ? | 0 | 0 | CLK25EN_OBUF | NULL | NULL | CLK25EN_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldHigh+OptxMapped | Park | WarpSE_COPY_0_COPY_0 | 2155872768 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RESr0 | 9779 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RESr1 | 9780 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IPL2r0 | 9783 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IPL2r0.Q | IPL2r0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RESr2 | 9784 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr2.Q | RESr2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RESDone | 9793 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESDone.Q | RESDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IPL2r1 | 9794 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IPL2r1.Q | IPL2r1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | Park | 9847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | Park.EXP | 10529 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.EXP | Park | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | Park.SI | Park | 0 | 12 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RESr0 | 9779 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RESr1 | 9780 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IPL2r0 | 9783 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IPL2r0.Q | IPL2r0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RESr2 | 9784 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr2.Q | RESr2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RESDone | 9793 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESDone.Q | RESDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IPL2r1 | 9794 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IPL2r1.Q | IPL2r1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | Park.D1 | 10375 | ? | 501 | 4096 | Park | NULL | NULL | Park.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | Park.D2 | 10376 | ? | 501 | 6144 | Park | NULL | NULL | Park.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | Park.EXP | 10516 | ? | 460 | 0 | Park | NULL | NULL | Park.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | Park.CE | 10377 | ? | 502 | 4096 | Park | NULL | NULL | Park.SI | 10 | 9 | MC_SI_CE +SPPTERM | 6 | IV_TRUE | RESr0 | IV_TRUE | RESr1 | IV_TRUE | IPL2r0 | IV_TRUE | RESr2 | IV_FALSE | RESDone | IV_TRUE | IPL2r1 + +SRFF_INSTANCE | Park.REG | Park | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | Park.D | 10374 | ? | 501 | 0 | Park | NULL | NULL | Park.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | Park.CE | 10377 | ? | 502 | 4096 | Park | NULL | NULL | Park.SI | 10 | 9 | MC_SI_CE +SPPTERM | 6 | IV_TRUE | RESr0 | IV_TRUE | RESr1 | IV_TRUE | IPL2r0 | IV_TRUE | RESr2 | IV_FALSE | RESDone | IV_TRUE | IPL2r1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | Park.Q | 10378 | ? | 467 | 0 | Park | NULL | NULL | Park.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 9811 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9777 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 9849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 9811 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9777 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 10380 | ? | 503 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 10381 | ? | 503 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Load1 +SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | iobs/ALE1 + +SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nADoutLE1_OBUF.D | 10379 | ? | 503 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nADoutLE1_OBUF.Q | 10382 | ? | 503 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldHigh+OptxMapped | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872768 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BGr1 | 9800 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BGr1.Q | iobm/BGr1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BGr0 | 9786 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BGr0.Q | iobm/BGr0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/nASout | 9713 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF | 9851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BGr1 | 9800 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BGr1.Q | iobm/BGr1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BGr0 | 9786 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BGr0.Q | iobm/BGr0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/nASout | 9713 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAoutOE_OBUF.D1 | 10384 | ? | 536 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAoutOE_OBUF.D2 | 10385 | ? | 536 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/BGr0 | IV_FALSE | iobm/BGr1 +SPPTERM | 2 | IV_FALSE | iobm/BGr1 | IV_TRUE | iobm/BG +SPPTERM | 3 | IV_FALSE | iobm/nASout | IV_FALSE | iobm/BGr0 | IV_FALSE | iobm/BG + +SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nAoutOE_OBUF.D | 10383 | ? | 536 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nAoutOE_OBUF.Q | 10386 | ? | 536 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9740 | ? | 458 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9747 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefRAS | 9792 | ? | 437 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 9853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nRAMLWE_OBUF.EXP | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9740 | ? | 458 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9747 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefRAS | 9792 | ? | 437 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 10388 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 10389 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAMLWE_OBUF.EXP | 10509 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | ram/RefRAS +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 + +SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAMLWE_OBUF.D | 10387 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 10390 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RESDone.EXP | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESDone.EXP | RESDone | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 9854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 10524 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RESDone.EXP | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESDone.EXP | RESDone | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 10392 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 10393 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RESDone.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAMUWE_OBUF.EXP | 10511 | ? | 497 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 + +SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAMUWE_OBUF.D | 10391 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 10394 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 16 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9747 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 9855 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 10464 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 16 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9747 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 10396 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMCS_OBUF.D2 | 10397 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | SW_1_IBUF +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | SW_1_IBUF +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nROMCS_OBUF.EXP | 10452 | ? | 466 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nROMCS_OBUF.D | 10395 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 10398 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q + +OUTPUT_INSTANCE | 0 | nVMA_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | iobm/nVMA$Q | 9709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | iobm/nVMA$OE | 9711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.BUFOE.OUT | iobm/nVMA | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 9856 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | iobm/nASout$Q | 9712 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | iobm/nASout$OE | 9714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.BUFOE.OUT | iobm/nASout | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAS_IOB | 9857 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nLDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | iobm/nLDS | 9715 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.Q | iobm/nLDS | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | iobm/nLDS$OE | 9716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.BUFOE.OUT | iobm/nLDS | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 9858 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nUDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | iobm/nUDS | 9717 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.Q | iobm/nUDS | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | iobm/nUDS$OE | 9718 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.BUFOE.OUT | iobm/nUDS | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 9859 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDTACK_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | fsb/nDTACK | 9752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 9860 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<0> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_0_OBUF | 9822 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<0> | 9861 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<1> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_1_OBUF | 9823 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<1> | 9862 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<2> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_2_OBUF | 9824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<2> | 9863 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<3> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_3_OBUF | 9825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<3> | 9864 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<4> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_4_OBUF | 9826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<4> | 9865 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<5> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_5_OBUF | 9827 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<5> | 9866 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<6> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_6_OBUF | 9828 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<6> | 9867 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<7> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_7_OBUF | 9829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<7> | 9868 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<8> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_8_OBUF | 9830 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<8> | 9869 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<9> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_9_OBUF | 9831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<9> | 9870 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nBERR_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nBERR_FSB_OBUF | 9832 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 9871 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nOE_OBUF | 9833 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nOE | 9872 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMWE_OBUF | 9834 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMWE | 9873 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nVPA_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nVPA_FSB_OBUF | 9835 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 9874 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinLE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | iobm/nDinLE | 9836 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.Q | iobm/nDinLE | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinLE | 9875 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | iobm/nDoutOE | 9837 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDoutOE.Q | iobm/nDoutOE | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDoutOE | 9876 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE0 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE0_OBUF | 9838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 9877 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinOE_OBUF | 9839 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinOE | 9878 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAS_OBUF | 9840 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAS | 9879 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nCAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | ram/nCAS | 9841 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nCAS.Q | ram/nCAS | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nCAS | 9880 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<11> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_19_IBUF$BUF0 | 9842 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<11> | 9881 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<10> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_21_IBUF$BUF0 | 9843 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<10> | 9882 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | CLK20EN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | CLK20EN_OBUF$BUF0 | 9845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | CLK20EN_OBUF$BUF0.Q | CLK20EN_OBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | CLK20EN | 9883 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK20EN | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | CLK25EN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | CLK25EN_OBUF | 9846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | CLK25EN_OBUF.Q | CLK25EN_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | CLK25EN | 9884 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK25EN | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nBR_IOB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | Park | 9847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nBR_IOB | 9885 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE1 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE1_OBUF | 9849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 9886 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nAoutOE_OBUF | 9851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAoutOE | 9887 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMLWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMLWE_OBUF | 9853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 9888 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMUWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMUWE_OBUF | 9854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 9889 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMCS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMCS_OBUF | 9855 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMCS | 9890 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT + +MACROCELL_INSTANCE | SoftPfbk | $OpTx$FX_DC$591 | WarpSE_COPY_0_COPY_0 | 2181038080 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | $OpTx$FX_DC$591.SI | $OpTx$FX_DC$591 | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$FX_DC$591.D1 | 10400 | ? | 455 | 4096 | $OpTx$FX_DC$591 | NULL | NULL | $OpTx$FX_DC$591.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$FX_DC$591.D2 | 10401 | ? | 455 | 4096 | $OpTx$FX_DC$591 | NULL | NULL | $OpTx$FX_DC$591.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | $OpTx$FX_DC$591.REG | $OpTx$FX_DC$591 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | $OpTx$FX_DC$591.D | 10399 | ? | 455 | 0 | $OpTx$FX_DC$591 | NULL | NULL | $OpTx$FX_DC$591.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | $OpTx$FX_DC$591.Q | 10402 | ? | 455 | 0 | $OpTx$FX_DC$591 | NULL | NULL | $OpTx$FX_DC$591.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 20 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9735 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9814 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 10433 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 10432 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 20 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9735 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9814 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 10433 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP14_.EXP | 10417 | ? | 455 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP15_.EXP +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | ram/RAMReady | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | SW_1_IBUF | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | ram/RAMReady | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$FX_DC$591.UIM + +MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 23 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r.EXP | 10434 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 10433 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 23 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r.EXP | 10434 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP15_.EXP | 10418 | ? | 455 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | fsb/Ready0r.EXP +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM + +MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 10435 | ? | 452 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP16_.EXP | 10420 | ? | 452 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 24 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 10436 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 24 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP17_.EXP | 10421 | ? | 467 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 22 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/BERR | IV_FALSE | cnt/TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_TRUE | Disable + +MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9735 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9814 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 10436 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 10437 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9735 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9814 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 10436 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP18_.EXP | 10422 | ? | 467 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP17_.EXP +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | cnt/TimeoutB | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cnt/TimeoutB | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 3 | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cnt/TimeoutB | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | ram/RAMReady + +MACROCELL_INSTANCE | NULL | EXP19_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 24 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 10437 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 10438 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 24 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 10437 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP19_.EXP | 10423 | ? | 467 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP18_.EXP +SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | iobs/ALE1 +SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/nDTACK.UIM + +MACROCELL_INSTANCE | NULL | EXP20_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 19 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9735 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9814 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP21_.EXP | 10440 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 10439 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 19 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9735 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9814 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP21_.EXP | 10440 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP20_.EXP | 10424 | ? | 467 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP21_.EXP +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | SW_1_IBUF +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | ram/RAMReady +SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | iobs/ALE1 + +MACROCELL_INSTANCE | NULL | EXP21_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r.EXP | 10441 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 10440 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r.EXP | 10441 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP21_.EXP | 10425 | ? | 467 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | fsb/BERR0r.EXP +SPPTERM | 2 | IV_TRUE | iobs/BERR | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 2 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 2 | IV_TRUE | fsb/BERR1r | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 2 | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | Disable +SPPTERM | 3 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cnt/TimeoutB | IV_TRUE | fsb/nDTACK.UIM + +MACROCELL_INSTANCE | NULL | EXP22_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 16 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0.EXP | 10442 | ? | 453 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 10443 | ? | 453 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 16 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0.EXP | 10442 | ? | 453 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP22_.EXP | 10428 | ? | 453 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | cs/nOverlay0.EXP +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | SW_1_IBUF +SPPTERM | 11 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 11 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady + +MACROCELL_INSTANCE | NULL | EXP23_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMWE_OBUF.EXP | 10445 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 10446 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMWE_OBUF.EXP | 10445 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP23_.EXP | 10431 | ? | 455 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | cnt/TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cnt/TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 4 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cnt/TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$FX_DC$591.UIM + +MACROCELL_INSTANCE | NULL | EXP24_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP24_.EXP | 10463 | ? | 469 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP24_.EXP | 10451 | ? | 469 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +MACROCELL_INSTANCE | NULL | EXP25_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_4_OBUF.EXP | 10468 | ? | 440 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP25_.EXP | 10467 | ? | 440 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_4_OBUF.EXP | 10468 | ? | 440 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP25_.EXP | 10455 | ? | 440 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/Once + +MACROCELL_INSTANCE | NULL | EXP26_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP26_.EXP | 10473 | ? | 469 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP26_.EXP | 10461 | ? | 469 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +MACROCELL_INSTANCE | NULL | EXP27_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP26_.EXP | 10473 | ? | 469 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP27_.EXP | 10474 | ? | 469 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP26_.EXP | 10473 | ? | 469 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP27_.EXP | 10462 | ? | 469 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP26_.EXP +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP28_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_1_OBUF.EXP | 10494 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP28_.EXP | 10493 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP28_.SI | EXP28_ | 0 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_1_OBUF.EXP | 10494 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP28_.EXP | 10481 | ? | 498 | 0 | EXP28_ | NULL | NULL | EXP28_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | RA_1_OBUF.EXP +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP29_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP29_.EXP | 10495 | ? | 471 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP29_.SI | EXP29_ | 0 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP29_.EXP | 10483 | ? | 471 | 0 | EXP29_ | NULL | NULL | EXP29_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +SPPTERM | 10 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 10 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +MACROCELL_INSTANCE | NULL | EXP30_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP30_.EXP | 10503 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP30_.SI | EXP30_ | 0 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP30_.EXP | 10491 | ? | 499 | 0 | EXP30_ | NULL | NULL | EXP30_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP31_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP31_.EXP | 10504 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP31_.SI | EXP31_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP31_.EXP | 10492 | ? | 498 | 0 | EXP31_ | NULL | NULL | EXP31_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/BACTr + +MACROCELL_INSTANCE | NULL | EXP32_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9742 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF$BUF0.EXP | 10519 | ? | 444 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP32_.EXP | 10518 | ? | 444 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP32_.SI | EXP32_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9742 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF$BUF0.EXP | 10519 | ? | 444 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP32_.EXP | 10505 | ? | 444 | 0 | EXP32_ | NULL | NULL | EXP32_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF$BUF0.EXP +SPPTERM | 3 | IV_TRUE | iobs/IORW0 | IV_TRUE | iobs/IORW1 | IV_FALSE | iobs/ALE1 +SPPTERM | 3 | IV_FALSE | iobs/IORW0 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/ALE1 +SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | iobs/ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/ALE1 + +MACROCELL_INSTANCE | NULL | EXP33_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP33_.EXP | 10520 | ? | 472 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP33_.SI | EXP33_ | 0 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP33_.EXP | 10507 | ? | 472 | 0 | EXP33_ | NULL | NULL | EXP33_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 + +MACROCELL_INSTANCE | NULL | EXP34_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP34_.EXP | 10525 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP34_.SI | EXP34_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP34_.EXP | 10512 | ? | 497 | 0 | EXP34_ | NULL | NULL | EXP34_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | SW_1_IBUF | IV_TRUE | iobs/ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 + +MACROCELL_INSTANCE | NULL | EXP35_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP35_.EXP | 10526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP35_.SI | EXP35_ | 0 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP35_.EXP | 10513 | ? | 0 | 0 | EXP35_ | NULL | NULL | EXP35_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/BERR | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r + +MACROCELL_INSTANCE | NULL | EXP36_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.EXP | 10527 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP36_.EXP | 10528 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP36_.SI | EXP36_ | 0 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.EXP | 10527 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP36_.EXP | 10515 | ? | 460 | 0 | EXP36_ | NULL | NULL | EXP36_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF + +MACROCELL_INSTANCE | NULL | EXP37_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP37_.EXP | 10530 | ? | 444 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP37_.EXP | EXP37_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP37_.SI | EXP37_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP37_.EXP | 10517 | ? | 444 | 0 | EXP37_ | NULL | NULL | EXP37_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_TRUE | iobs/ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_TRUE | iobs/ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_TRUE | iobs/ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_TRUE | iobs/ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | SW_1_IBUF | IV_TRUE | iobs/ALE1 + +FB_INSTANCE | FOOBAR1_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | ram/RefRAS | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | ram/BACTr | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 +FBPIN | 3 | iobm/RESrr | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 +FBPIN | 4 | iobm/RESrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/BERRrf | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 +FBPIN | 6 | fsb/ASrf | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 +FBPIN | 7 | cnt/RefCnt<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | RESr2 | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 +FBPIN | 9 | RESr1 | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 +FBPIN | 10 | RESr0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | IPL2r1 | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 +FBPIN | 12 | IPL2r0 | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 +FBPIN | 13 | $OpTx$FX_DC$591 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobs/IOU1 | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 +FBPIN | 15 | iobs/IOL1 | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 +FBPIN | 16 | iobm/IOS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | fsb/BERR1r | 1 | CLK2X_IOB_IBUF | 0 | NULL | 0 | 22 | 57344 +FBPIN | 18 | cs/nOverlay1 | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR2_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 6 | NULL | 0 | A_FSB_5_IBUF | 1 | NULL | 0 | 2 | 53248 +FBPIN | 7 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobm/VPArr | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 +FBPIN | 9 | iobm/VPArf | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 +FBPIN | 10 | iobm/IOREQr | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobm/Er2 | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 +FBPIN | 12 | iobm/Er | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 +FBPIN | 13 | iobm/DTACKrr | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobm/DTACKrf | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 +FBPIN | 15 | iobm/BGr1 | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 +FBPIN | 16 | iobm/BGr0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobm/BERRrr | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 +FBPIN | 18 | cnt/RefCnt<0> | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR3_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | fsb/VPA | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | EXP14_ | 1 | CLK_IOB_IBUF | 1 | NULL | 0 | 23 | 57344 +FBPIN | 3 | EXP15_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | EXP16_ | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 +FBPIN | 6 | EXP17_ | 1 | E_IOB_IBUF | 1 | NULL | 0 | 25 | 49152 +FBPIN | 7 | EXP18_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | EXP19_ | 1 | CLK_FSB_IBUF | 0 | NULL | 0 | 27 | 57344 +FBPIN | 9 | fsb/nDTACK | 1 | NULL | 0 | nDTACK_FSB | 1 | 28 | 49152 +FBPIN | 10 | EXP20_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | EXP21_ | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 +FBPIN | 12 | fsb/BERR0r | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 +FBPIN | 13 | cs/nOverlay0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | EXP22_ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 +FBPIN | 15 | fsb/Ready1r | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 +FBPIN | 16 | fsb/Ready2r | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 34 | 49152 +FBPIN | 18 | EXP23_ | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR4_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 87 | 49152 +FBPIN | 3 | iobs/ALE0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/RefCnt<7> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/nDoutOE | 1 | NULL | 0 | nDoutOE | 1 | 89 | 49152 +FBPIN | 6 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 90 | 49152 +FBPIN | 7 | cnt/RefCnt<6> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cnt/RefCnt<5> | 1 | nRES_IBUF | 1 | NULL | 0 | 91 | 49152 +FBPIN | 9 | cnt/RefCnt<4> | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 +FBPIN | 10 | cnt/RefCnt<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 93 | 49152 +FBPIN | 12 | cnt/RefCnt<2> | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 +FBPIN | 13 | iobs/PS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/RefDone | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 +FBPIN | 15 | iobs/IOU0 | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 +FBPIN | 16 | cnt/TimeoutA | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobs/IOReady | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 +FBPIN | 18 | ram/RS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR5_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | EXP24_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 35 | 49152 +FBPIN | 3 | ram/RAMDIS2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobs/IOL0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | ram/nCAS | 1 | NULL | 0 | nCAS | 1 | 36 | 49152 +FBPIN | 6 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 37 | 49152 +FBPIN | 7 | iobs/Once | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | EXP25_ | 1 | NULL | 0 | NULL | 0 | 39 | 49152 +FBPIN | 9 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 +FBPIN | 10 | ram/Once | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | RA_3_OBUF | 1 | NULL | 0 | RA<3> | 1 | 41 | 49152 +FBPIN | 12 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 42 | 49152 +FBPIN | 13 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 43 | 49152 +FBPIN | 15 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 46 | 49152 +FBPIN | 16 | EXP26_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP27_ | 1 | NULL | 0 | NULL | 0 | 49 | 49152 +FBPIN | 18 | ram/RASEL | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR6_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | iobm/ETACK | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | iobm/nVMA | 1 | NULL | 0 | nVMA_IOB | 1 | 74 | 49152 +FBPIN | 3 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobm/ES<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/ES<1> | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 76 | 49152 +FBPIN | 6 | iobm/ES<0> | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 77 | 49152 +FBPIN | 7 | iobm/ALE0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobm/ES<4> | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 78 | 49152 +FBPIN | 9 | iobm/nLDS | 1 | NULL | 0 | nLDS_IOB | 1 | 79 | 49152 +FBPIN | 10 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobm/nUDS | 1 | NULL | 0 | nUDS_IOB | 1 | 80 | 49152 +FBPIN | 12 | iobm/nASout | 1 | NULL | 0 | nAS_IOB | 1 | 81 | 49152 +FBPIN | 13 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | nADoutLE1_OBUF | 1 | NULL | 0 | nADoutLE1 | 1 | 82 | 49152 +FBPIN | 15 | nADoutLE0_OBUF | 1 | NULL | 0 | nADoutLE0 | 1 | 85 | 49152 +FBPIN | 16 | iobm/IOACT | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobm/nDinLE | 1 | NULL | 0 | nDinLE | 1 | 86 | 49152 +FBPIN | 18 | iobm/IOBERR | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR7_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | EXP28_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 50 | 49152 +FBPIN | 3 | EXP29_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 52 | 49152 +FBPIN | 6 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 53 | 49152 +FBPIN | 7 | cnt/TimeoutBPre | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 54 | 49152 +FBPIN | 9 | A_FSB_21_IBUF$BUF0 | 1 | NULL | 0 | RA<10> | 1 | 55 | 49152 +FBPIN | 10 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 56 | 49152 +FBPIN | 12 | CLK25EN_OBUF | 1 | NULL | 0 | CLK25EN | 1 | 58 | 49152 +FBPIN | 13 | cnt/TimeoutB | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | CLK20EN_OBUF$BUF0 | 1 | NULL | 0 | CLK20EN | 1 | 59 | 49152 +FBPIN | 15 | ram/RAMReady | 1 | SW_1_IBUF | 1 | NULL | 0 | 60 | 49152 +FBPIN | 16 | EXP30_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP31_ | 1 | CLK20EN_OBUF | 1 | NULL | 0 | 61 | 49152 +FBPIN | 18 | ram/RAMDIS1 | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR8_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | EXP32_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 +FBPIN | 3 | EXP33_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobs/PS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 64 | 49152 +FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 65 | 49152 +FBPIN | 7 | RESDone | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 66 | 49152 +FBPIN | 9 | iobs/IOREQ | 1 | NULL | 0 | NULL | 0 | 67 | 49152 +FBPIN | 10 | EXP34_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | EXP35_ | 1 | NULL | 0 | NULL | 0 | 68 | 49152 +FBPIN | 12 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 70 | 49152 +FBPIN | 13 | EXP36_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 | 71 | 49152 +FBPIN | 15 | Park | 1 | NULL | 0 | nBR_IOB | 1 | 72 | 49152 +FBPIN | 16 | iobs/BERR | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP37_ | 1 | nBG_IOB_IBUF | 1 | NULL | 0 | 73 | 49152 +FBPIN | 18 | iobs/IORW0 | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | INPUTPINS_FOOBAR9_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 + +BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> | 11 | A_FSB<13> | 10 | A_FSB<14> | 9 | A_FSB<15> | 8 | A_FSB<16> | 7 | A_FSB<17> | 6 | A_FSB<18> | 5 | A_FSB<19> | 4 | A_FSB<1> | 22 | A_FSB<20> | 3 | A_FSB<21> | 2 | A_FSB<22> | 1 | A_FSB<23> | 0 | A_FSB<2> | 21 | A_FSB<3> | 20 | A_FSB<4> | 19 | A_FSB<5> | 18 | A_FSB<6> | 17 | A_FSB<7> | 16 | A_FSB<8> | 15 | A_FSB<9> | 14 +BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 +BUSINFO | SW<2:0> | 3 | 0 | 0 | SW<0> | 2 | SW<1> | 1 | SW<2> | 0 + +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | nLDS_FSB | 30 | 4 | nRES | 91 | 6 | nIPL2 | 92 | 8 | nAS_FSB | 32 | 9 | iobm/IOS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 12 | cs/nOverlay0 | NULL | 15 | iobs/BERR | NULL | 16 | fsb/BERR1r | NULL | 17 | cnt/RefCnt<0> | NULL | 23 | nBERR_IOB | 76 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 27 | nUDS_FSB | 33 | 30 | iobm/IOS_FSM_FFd3 | NULL | 33 | RESr1 | NULL | 37 | iobs/Load1 | NULL | 41 | IPL2r0 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 44 | fsb/ASrf | NULL | 47 | ram/RS_FSM_FFd1 | NULL | 49 | ram/RS_FSM_FFd2 | NULL | 50 | iobm/IOS_FSM_FFd1 | NULL | 51 | RESr0 | NULL + +FB_IMUX_INDEX | FOOBAR1_ | 260 | -1 | -1 | -1 | 148 | -1 | 150 | -1 | 268 | 99 | -1 | -1 | 48 | -1 | -1 | 141 | 16 | 35 | -1 | -1 | -1 | -1 | -1 | 175 | -1 | -1 | -1 | 272 | -1 | -1 | 92 | -1 | -1 | 8 | -1 | -1 | -1 | 84 | -1 | -1 | -1 | 11 | -1 | -1 | 5 | -1 | -1 | 71 | -1 | 117 | 15 | 9 | -1 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR2_ | 7 | nDTACK_IOB | 78 | 8 | iobs/IOREQ | NULL | 11 | nVPA_IOB | 77 | 15 | iobm/IOACT | NULL | 16 | E_IOB | 25 +FB_ORDER_OF_INPUTS | FOOBAR2_ | 23 | nBERR_IOB | 76 | 41 | nBG_IOB | 73 | 43 | iobm/Er | NULL | 52 | iobm/BGr0 | NULL + +FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 167 | 134 | -1 | -1 | 171 | -1 | -1 | -1 | 105 | 242 | -1 | -1 | -1 | -1 | -1 | -1 | 175 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 183 | -1 | 29 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 33 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | fsb/VPA | NULL | 1 | A_FSB<14> | 12 | 2 | A_FSB<8> | 6 | 3 | A_FSB<15> | 13 | 4 | nRES | 91 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | fsb/ASrf | NULL | 6 | A_FSB<10> | 8 | 8 | fsb/nDTACK.UIM | NULL | 9 | A_FSB<18> | 16 | 10 | A_FSB<11> | 9 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 11 | fsb/BERR0r | NULL | 12 | $OpTx$FX_DC$591.UIM | NULL | 13 | A_FSB<19> | 17 | 14 | fsb/Ready1r | NULL | 15 | fsb/Ready2r | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 16 | fsb/BERR1r | NULL | 17 | cs/nOverlay1 | NULL | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 | 22 | A_FSB<12> | 10 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 23 | SW<1> | 60 | 24 | cs/nOverlay0 | NULL | 25 | ram/RAMReady | NULL | 28 | nWE_FSB | 29 | 30 | iobs/BERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 33 | nAS_FSB | 32 | 36 | A_FSB<23> | 24 | 37 | iobs/IOReady | NULL | 38 | fsb/Ready0r | NULL | 39 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 42 | Disable | NULL | 44 | A_FSB<13> | 11 | 46 | iobs/ALE1 | NULL | 47 | A_FSB<21> | 19 | 48 | cnt/TimeoutB | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 49 | A_FSB<17> | 15 | 50 | cnt/TimeoutA | NULL | 53 | A_FSB<9> | 7 + +FB_IMUX_INDEX | FOOBAR3_ | 36 | 210 | 194 | 212 | 148 | 5 | 198 | -1 | 44 | 218 | 202 | 47 | 12 | 222 | 50 | 51 | 16 | 17 | -1 | 226 | 214 | -1 | 206 | 215 | 48 | 122 | -1 | -1 | 256 | -1 | 141 | -1 | -1 | 268 | -1 | -1 | 240 | 70 | 39 | 230 | -1 | -1 | 140 | -1 | 208 | -1 | 103 | 228 | 120 | 216 | 69 | -1 | -1 | 196 + + +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | ram/RefRAS | NULL | 1 | iobm/BG | NULL | 2 | iobm/IOS_FSM_FFd3 | NULL | 3 | cnt/RefCnt<7> | NULL | 4 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 5 | fsb/ASrf | NULL | 6 | cnt/RefCnt<1> | NULL | 7 | cnt/RefCnt<5> | NULL | 8 | cnt/RefCnt<4> | NULL | 9 | cnt/RefCnt<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 11 | cnt/RefCnt<2> | NULL | 12 | iobs/PS_FSM_FFd1 | NULL | 13 | iobs/IOU1 | NULL | 14 | iobm/BGr1 | NULL | 15 | iobm/BGr0 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 16 | iobs/IOReady | NULL | 17 | cs/nOverlay1 | NULL | 19 | A_FSB<20> | 18 | 20 | cnt/RefCnt<0> | NULL | 21 | iobs/IORW0 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 24 | iobs/Once | NULL | 27 | nUDS_FSB | 33 | 28 | nWE_FSB | 29 | 30 | ram/Once | NULL | 33 | nAS_FSB | 32 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 34 | iobs/PS_FSM_FFd2 | NULL | 35 | cnt/RefCnt<6> | NULL | 36 | A_FSB<23> | 24 | 39 | SW<1> | 60 | 40 | iobm/IOS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 41 | cnt/RefDone | NULL | 42 | iobs/IOACTr | NULL | 44 | fsb/VPA | NULL | 45 | A_FSB<21> | 19 | 46 | iobs/ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 47 | ram/RS_FSM_FFd1 | NULL | 49 | ram/RS_FSM_FFd2 | NULL | 50 | cnt/TimeoutA | NULL | 51 | ram/RS_FSM_FFd3 | NULL | 52 | iobm/nASout | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 53 | iobm/IOBERR | NULL + +FB_IMUX_INDEX | FOOBAR4_ | 0 | 55 | 92 | 57 | 230 | 5 | 6 | 61 | 62 | 63 | -1 | 65 | 66 | 13 | 32 | 33 | 70 | 17 | -1 | 226 | 35 | 143 | -1 | -1 | 78 | -1 | -1 | 272 | 256 | -1 | 81 | -1 | -1 | 268 | 129 | 60 | 240 | -1 | -1 | 215 | 99 | 67 | 24 | -1 | 36 | 228 | 103 | 71 | -1 | 117 | 69 | 111 | 101 | 107 + + +FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | A_FSB<20> | 18 | 1 | ram/BACTr | NULL | 2 | ram/RAMDIS2 | NULL | 3 | A_FSB<15> | 13 | 4 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 5 | A_FSB<16> | 14 | 6 | cnt/RefCnt<6> | NULL | 7 | A_FSB<17> | 15 | 8 | A_FSB<3> | 96 | 9 | ram/RS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 10 | A_FSB<6> | 3 | 12 | A_FSB<4> | 97 | 13 | iobs/ALE1 | NULL | 14 | iobs/IOL1 | NULL | 16 | A_FSB<13> | 11 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 17 | ram/RS_FSM_FFd1 | NULL | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 | 23 | SW<1> | 60 | 24 | iobs/Once | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 28 | nWE_FSB | 29 | 30 | ram/Once | NULL | 32 | cnt/RefCnt<5> | NULL | 33 | nAS_FSB | 32 | 34 | cs/nOverlay1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 35 | A_FSB<14> | 12 | 36 | A_FSB<23> | 24 | 37 | nLDS_FSB | 30 | 39 | A_FSB<5> | 2 | 40 | A_FSB<7> | 4 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 41 | cnt/RefDone | NULL | 42 | cnt/RefCnt<7> | NULL | 44 | fsb/ASrf | NULL | 45 | iobs/PS_FSM_FFd2 | NULL | 46 | ram/RASEL | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 47 | A_FSB<21> | 19 | 49 | iobs/PS_FSM_FFd1 | NULL | 50 | A_FSB<18> | 16 | 51 | ram/RS_FSM_FFd3 | NULL + +FB_IMUX_INDEX | FOOBAR5_ | 226 | 1 | 74 | 212 | 230 | 214 | 60 | 216 | 168 | 117 | 186 | -1 | 172 | 103 | 14 | -1 | 208 | 71 | -1 | -1 | -1 | 222 | 206 | 215 | 78 | -1 | -1 | -1 | 256 | -1 | 81 | -1 | 61 | 268 | 17 | 210 | 240 | 260 | -1 | 182 | 190 | 67 | 57 | -1 | 5 | 129 | 89 | 228 | -1 | 66 | 218 | 111 | -1 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobs/Clear1 | NULL | 1 | iobm/nVMA | NULL | 2 | iobm/RESrr | NULL | 3 | iobm/RESrf | NULL | 4 | iobm/BERRrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/ES<0> | NULL | 6 | iobm/ALE0 | NULL | 7 | iobm/VPArr | NULL | 8 | iobm/VPArf | NULL | 9 | iobm/IOREQr | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | iobm/Er2 | NULL | 11 | iobm/Er | NULL | 12 | iobm/DTACKrr | NULL | 13 | iobm/DTACKrf | NULL | 14 | iobs/IOU0 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 15 | iobm/IOS_FSM_FFd1 | NULL | 16 | iobm/BERRrr | NULL | 17 | iobs/IORW0 | NULL | 20 | iobm/ES<3> | NULL | 23 | nBERR_IOB | 76 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 24 | iobm/ETACK | NULL | 28 | iobm/ES<1> | NULL | 30 | iobm/IOS_FSM_FFd3 | NULL | 33 | iobs/ALE0 | NULL | 37 | iobs/Load1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 38 | iobm/IOACT | NULL | 40 | iobm/IOS_FSM_FFd2 | NULL | 42 | iobm/ES<4> | NULL | 43 | iobm/ES<2> | NULL | 46 | iobs/ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 48 | CLK_IOB | 23 | 51 | iobm/BG | NULL | 52 | iobs/IOL0 | NULL | 53 | iobm/IOBERR | NULL + +FB_IMUX_INDEX | FOOBAR6_ | 54 | 91 | 2 | 3 | 4 | 95 | 96 | 25 | 26 | 27 | 28 | 29 | 30 | 31 | 68 | 15 | 34 | 143 | -1 | -1 | 93 | -1 | -1 | 175 | 90 | -1 | -1 | -1 | 94 | -1 | 92 | -1 | -1 | 56 | -1 | -1 | -1 | 84 | 105 | -1 | 99 | -1 | 97 | 102 | -1 | -1 | 103 | -1 | 238 | -1 | -1 | 55 | 75 | 107 + + +FB_ORDER_OF_INPUTS | FOOBAR7_ | 2 | A_FSB<21> | 19 | 3 | cnt/RefCnt<7> | NULL | 4 | SW<0> | 61 | 5 | fsb/ASrf | NULL | 6 | cnt/TimeoutBPre | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 7 | A_FSB<17> | 15 | 8 | nAS_FSB | 32 | 9 | ram/Once | NULL | 12 | cnt/TimeoutB | NULL | 13 | cnt/RefDone | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 14 | A_FSB<23> | 24 | 17 | cs/nOverlay1 | NULL | 19 | A_FSB<20> | 18 | 20 | cnt/RefCnt<0> | NULL | 21 | A_FSB<19> | 17 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 22 | ram/BACTr | NULL | 26 | A_FSB<9> | 7 | 31 | A_FSB<10> | 8 | 34 | cnt/RefCnt<5> | NULL | 35 | cnt/RefCnt<6> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 36 | cnt/RefCnt<3> | NULL | 38 | cnt/RefCnt<4> | NULL | 39 | A_FSB<22> | 20 | 40 | A_FSB<8> | 6 | 43 | cnt/RefCnt<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 45 | A_FSB<1> | 94 | 46 | ram/RASEL | NULL | 47 | ram/RS_FSM_FFd1 | NULL | 48 | A_FSB<2> | 95 | 49 | ram/RS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 50 | A_FSB<18> | 16 | 51 | ram/RS_FSM_FFd3 | NULL | 52 | A_FSB<11> | 9 | 53 | cnt/RefCnt<2> | NULL + +FB_IMUX_INDEX | FOOBAR7_ | -1 | -1 | 228 | 57 | 213 | 5 | 114 | 216 | 268 | 81 | -1 | -1 | 120 | 67 | 240 | -1 | -1 | 17 | -1 | 226 | 35 | 222 | 1 | -1 | -1 | -1 | 196 | -1 | -1 | -1 | -1 | 198 | -1 | -1 | 61 | 60 | 63 | -1 | 62 | 230 | 194 | -1 | -1 | 6 | -1 | 156 | 89 | 71 | 164 | 117 | 218 | 111 | 202 | 65 + + +FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | A_FSB<20> | 18 | 2 | A_FSB<21> | 19 | 3 | iobs/PS_FSM_FFd2 | NULL | 4 | A_FSB<22> | 20 | 6 | iobs/IOACTr | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 7 | RESr2 | NULL | 8 | RESr1 | NULL | 9 | RESr0 | NULL | 10 | IPL2r1 | NULL | 11 | IPL2r0 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 12 | iobs/PS_FSM_FFd1 | NULL | 13 | iobs/ALE1 | NULL | 14 | A_FSB<23> | 24 | 15 | iobs/BERR | NULL | 16 | A_FSB<13> | 11 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 17 | iobm/IOBERR | NULL | 18 | A_FSB<19> | 17 | 21 | iobs/IORW0 | NULL | 23 | SW<1> | 60 | 24 | iobs/Once | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 27 | nUDS_FSB | 33 | 28 | nWE_FSB | 29 | 32 | cnt/TimeoutB | NULL | 33 | nAS_FSB | 32 | 34 | cs/nOverlay1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 35 | A_FSB<14> | 12 | 37 | nLDS_FSB | 30 | 39 | ram/RAMDIS2 | NULL | 40 | ram/RAMDIS1 | NULL | 42 | ram/RefRAS | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 43 | iobs/IORW1 | NULL | 44 | fsb/ASrf | NULL | 45 | fsb/BERR1r | NULL | 48 | fsb/BERR0r | NULL | 49 | A_FSB<17> | 15 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 50 | A_FSB<18> | 16 | 51 | RESDone | NULL | 53 | A_FSB<16> | 14 + +FB_IMUX_INDEX | FOOBAR8_ | 226 | -1 | 228 | 129 | 230 | -1 | 24 | 7 | 8 | 9 | 10 | 11 | 66 | 103 | 240 | 141 | 208 | 107 | 222 | -1 | -1 | 143 | -1 | 215 | 78 | -1 | -1 | 272 | 256 | -1 | -1 | -1 | 120 | 268 | 17 | 210 | -1 | 260 | -1 | 74 | 125 | -1 | 0 | 139 | 5 | 16 | -1 | -1 | 47 | 216 | 218 | 132 | -1 | 214 + + +GLOBAL_FCLK | CLK2X_IOB | 0 | 0 | CLK_IOB | 1 | 1 | CLK_FSB | 2 | 2 + +TIME_TSPEC | TS_CLK_IOB | PERIOD:CLK_IOB:142.857nS:HIGH:71.428nS | CLK_IOB | 10003 | 1428 | 714 | NULL + +TIME_TSPEC | TS_CLK_FSB | PERIOD:CLK_FSB:40.000nS:HIGH:20.000nS | CLK_FSB | 10003 | 400 | 200 | NULL + +TIME_TSPEC | TS_CLK2X_IOB | PERIOD:CLK2X_IOB:66.666nS:HIGH:33.333nS | CLK2X_IOB | 10003 | 666 | 333 | NULL diff --git a/cpld/XC95144XL/WarpSE.xise b/cpld/XC95144XL/WarpSE.xise index 34e521f..c18d7f4 100644 --- a/cpld/XC95144XL/WarpSE.xise +++ b/cpld/XC95144XL/WarpSE.xise @@ -35,17 +35,17 @@ - - - - - - - + + + + + + + @@ -64,7 +64,7 @@ - + @@ -78,6 +78,7 @@ + @@ -96,14 +97,14 @@ - + - - - - + + + + @@ -112,7 +113,7 @@ - + @@ -130,7 +131,7 @@ - + @@ -148,15 +149,15 @@ - + - - - - + + + + @@ -194,7 +195,7 @@ - + @@ -239,8 +240,8 @@ - - + + diff --git a/cpld/XC95144XL/WarpSE.xml b/cpld/XC95144XL/WarpSE.xml new file mode 100644 index 0000000..2b78aa1 --- /dev/null +++ b/cpld/XC95144XL/WarpSE.xml @@ -0,0 +1,3 @@ + + +WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation. diff --git a/cpld/XC95144XL/MXSE.xst b/cpld/XC95144XL/WarpSE.xst similarity index 80% rename from cpld/XC95144XL/MXSE.xst rename to cpld/XC95144XL/WarpSE.xst index de9ca6e..b4b1324 100644 --- a/cpld/XC95144XL/MXSE.xst +++ b/cpld/XC95144XL/WarpSE.xst @@ -1,16 +1,16 @@ set -tmpdir "xst/projnav.tmp" set -xsthdpdir "xst" run --ifn MXSE.prj +-ifn WarpSE.prj -ifmt mixed --ofn MXSE +-ofn WarpSE -ofmt NGC -p xc9500xl --top MXSE +-top WarpSE -opt_mode Speed --opt_level 1 +-opt_level 2 -iuc NO --keep_hierarchy Yes +-keep_hierarchy No -netlist_hierarchy As_Optimized -rtlview Yes -hierarchy_separator / diff --git a/cpld/XC95144XL/WarpSE_bld.html b/cpld/XC95144XL/WarpSE_bld.html new file mode 100644 index 0000000..2b90479 --- /dev/null +++ b/cpld/XC95144XL/WarpSE_bld.html @@ -0,0 +1 @@ +Translation Report
Translation Report

Mon Mar 28 09:31:43 2022



Release 14.7 ngdbuild P.20131013 (nt)
Copyright (c) 1995-2013 Xilinx, Inc.  All rights reserved.

Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt\unwrapped\ngdbuild.exe -intstyle
ise -dd _ngo -uc Z:/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10
WarpSE.ngc WarpSE.ngd

Reading NGO file "Z:/Warp-SE/cpld/XC95144XL/WarpSE.ngc" ...
Gathering constraint information from source properties...
Done.

Annotating constraints to design from ucf file
"Z:/Warp-SE/cpld/WarpSE-XC95144XL.ucf" ...
Resolving constraint associations...
Checking Constraint Associations...
Done...

Checking expanded design ...

Partition Implementation Status
-------------------------------

  No Partitions were found in this design.

-------------------------------

NGDBUILD Design Results Summary:
  Number of errors:     0
  Number of warnings:   0

Total memory usage is 117300 kilobytes

Writing NGD file "WarpSE.ngd" ...
Total REAL time to NGDBUILD completion:  17 sec
Total CPU time to NGDBUILD completion:   16 sec

Writing NGDBUILD log file "WarpSE.bld"...
\ No newline at end of file diff --git a/cpld/XC95144XL/MXSE_build.xml b/cpld/XC95144XL/WarpSE_build.xml similarity index 94% rename from cpld/XC95144XL/MXSE_build.xml rename to cpld/XC95144XL/WarpSE_build.xml index 9e2c7fc..017b652 100644 --- a/cpld/XC95144XL/MXSE_build.xml +++ b/cpld/XC95144XL/WarpSE_build.xml @@ -2,10 +2,10 @@ - - + + - + @@ -64,7 +64,7 @@ - + @@ -87,7 +87,7 @@ - + @@ -229,7 +229,7 @@ - - + + diff --git a/cpld/XC95144XL/MXSE_envsettings.html b/cpld/XC95144XL/WarpSE_envsettings.html similarity index 98% rename from cpld/XC95144XL/MXSE_envsettings.html rename to cpld/XC95144XL/WarpSE_envsettings.html index e84a8e8..a438b05 100644 --- a/cpld/XC95144XL/MXSE_envsettings.html +++ b/cpld/XC95144XL/WarpSE_envsettings.html @@ -56,7 +56,7 @@ -ifn   -MXSE.prj +WarpSE.prj   @@ -68,7 +68,7 @@ -ofn   -MXSE +WarpSE   @@ -86,7 +86,7 @@ -top   -MXSE +WarpSE   @@ -98,7 +98,7 @@ -opt_level Optimization Effort -1 +2 1 @@ -110,7 +110,7 @@ -keep_hierarchy Keep Hierarchy -Yes +No YES @@ -206,7 +206,7 @@ -uc   -//192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf +Z:/Warp-SE/cpld/WarpSE-XC95144XL.ucf None diff --git a/cpld/XC95144XL/MXSE_html/fit/applet.js b/cpld/XC95144XL/WarpSE_html/fit/applet.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/applet.js rename to cpld/XC95144XL/WarpSE_html/fit/applet.js diff --git a/cpld/XC95144XL/MXSE_html/fit/appletref.htm b/cpld/XC95144XL/WarpSE_html/fit/appletref.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/appletref.htm rename to cpld/XC95144XL/WarpSE_html/fit/appletref.htm diff --git a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm new file mode 100644 index 0000000..9e28b5b --- /dev/null +++ b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm @@ -0,0 +1,1844 @@ + +
+ 
+cpldfit:  version P.20131013                        Xilinx Inc.
+                                  Fitter Report
+Design Name: WarpSE                              Date:  3-28-2022,  9:46AM
+Device Used: XC95144XL-10-TQ100
+Fitting Status: Successful
+
+*************************  Mapped Resource Summary  **************************
+
+Macrocells     Product Terms    Function Block   Registers      Pins           
+Used/Tot       Used/Tot         Inps Used/Tot    Used/Tot       Used/Tot       
+114/144 ( 79%) 463 /720  ( 64%) 253/432 ( 59%)   89 /144 ( 62%) 74 /81  ( 91%)
+
+** Function Block Resources **
+
+Function    Mcells      FB Inps     Pterms      IO          
+Block       Used/Tot    Used/Tot    Used/Tot    Used/Tot    
+FB1          18/18*      20/54       23/90      11/11*
+FB2          12/18        9/54       11/90       8/10
+FB3           8/18       38/54       82/90      10/10*
+FB4          18/18*      41/54       39/90      10/10*
+FB5          14/18       39/54       82/90       8/10
+FB6          18/18*      34/54       64/90      10/10*
+FB7          14/18       34/54       81/90      10/10*
+FB8          12/18       38/54       81/90       7/10
+             -----       -----       -----      -----    
+            114/144     253/432     463/720     74/81 
+
+* - Resource is exhausted
+
+** Global Control Resources **
+
+Signal 'CLK2X_IOB' mapped onto global clock net GCK1.
+Signal 'CLK_IOB' mapped onto global clock net GCK2.
+Signal 'CLK_FSB' mapped onto global clock net GCK3.
+Global output enable net(s) unused.
+Global set/reset net(s) unused.
+
+** Pin Resources **
+
+Signal Type    Required     Mapped  |  Pin Type            Used    Total 
+------------------------------------|------------------------------------
+Input         :   36          36    |  I/O              :    68      73
+Output        :   35          35    |  GCK/IO           :     3       3
+Bidirectional :    0           0    |  GTS/IO           :     3       4
+GCK           :    3           3    |  GSR/IO           :     0       1
+GTS           :    0           0    |
+GSR           :    0           0    |
+                 ----        ----
+        Total     74          74
+
+** Power Data **
+
+There are 114 macrocells in high performance mode (MCHP).
+There are 0 macrocells in low power mode (MCLP).
+End of Mapped Resource Summary
+**************************  Errors and Warnings  ***************************
+
+WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will
+   use the default filename of 'WarpSE.ise'.
+INFO:Cpld - Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC
+   constraint 'P22'. It is recommended that you declare this BUFG explicitedly
+   in your design. Note that for certain device families the output of a BUFG
+   constraint can not drive a gated clock, and the BUFG constraint will be
+   ignored.
+INFO:Cpld - Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC
+   constraint 'P27'. It is recommended that you declare this BUFG explicitedly
+   in your design. Note that for certain device families the output of a BUFG
+   constraint can not drive a gated clock, and the BUFG constraint will be
+   ignored.
+INFO:Cpld - Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC
+   constraint 'P23'. It is recommended that you declare this BUFG explicitedly
+   in your design. Note that for certain device families the output of a BUFG
+   constraint can not drive a gated clock, and the BUFG constraint will be
+   ignored.
+WARNING:Cpld:1007 - Removing unused input(s) 'SW<2>'.  The input(s) are unused
+   after optimization. Please verify functionality via simulation.
+*************************  Summary of Mapped Logic  ************************
+
+** 35 Outputs **
+
+Signal              Total Total Loc     Pin  Pin     Pin     Pwr  Slew Reg Init
+Name                Pts   Inps          No.  Type    Use     Mode Rate State
+nDTACK_FSB          28    34    FB3_9   28   I/O     O       STD  FAST RESET
+nROMWE              1     2     FB3_17  34   I/O     O       STD  FAST 
+nAoutOE             3     4     FB4_2   87   I/O     O       STD  FAST SET
+nDoutOE             2     3     FB4_5   89   I/O     O       STD  FAST RESET
+nDinOE              3     7     FB4_6   90   I/O     O       STD  FAST 
+nVPA_FSB            1     2     FB4_11  93   I/O     O       STD  FAST 
+nROMCS              3     6     FB5_2   35   I/O     O       STD  FAST 
+nCAS                1     1     FB5_5   36   I/O     O       STD  FAST RESET
+nOE                 1     2     FB5_6   37   I/O     O       STD  FAST 
+RA<4>               2     3     FB5_9   40   I/O     O       STD  FAST 
+RA<3>               2     3     FB5_11  41   I/O     O       STD  FAST 
+RA<5>               2     3     FB5_12  42   I/O     O       STD  FAST 
+RA<2>               2     3     FB5_14  43   I/O     O       STD  FAST 
+RA<6>               2     3     FB5_15  46   I/O     O       STD  FAST 
+nVMA_IOB            3     10    FB6_2   74   I/O     O       STD  FAST RESET
+nLDS_IOB            4     6     FB6_9   79   I/O     O       STD  FAST RESET
+nUDS_IOB            4     6     FB6_11  80   I/O     O       STD  FAST RESET
+nAS_IOB             3     4     FB6_12  81   I/O     O       STD  FAST RESET
+nADoutLE1           2     3     FB6_14  82   I/O     O       STD  FAST SET
+nADoutLE0           1     2     FB6_15  85   I/O     O       STD  FAST 
+nDinLE              1     2     FB6_17  86   I/O     O       STD  FAST RESET
+RA<1>               2     3     FB7_2   50   I/O     O       STD  FAST 
+RA<7>               2     3     FB7_5   52   I/O     O       STD  FAST 
+RA<0>               2     3     FB7_6   53   I/O     O       STD  FAST 
+RA<8>               7     7     FB7_8   54   I/O     O       STD  FAST 
+RA<10>              1     1     FB7_9   55   I/O     O       STD  FAST 
+RA<9>               2     3     FB7_11  56   I/O     O       STD  FAST 
+CLK25EN             1     1     FB7_12  58   I/O     O       STD  FAST 
+CLK20EN             1     1     FB7_14  59   I/O     O       STD  FAST 
+RA<11>              1     1     FB8_2   63   I/O     O       STD  FAST 
+nRAS                3     8     FB8_5   64   I/O     O       STD  FAST 
+nRAMLWE             1     5     FB8_6   65   I/O     O       STD  FAST 
+nRAMUWE             1     5     FB8_8   66   I/O     O       STD  FAST 
+nBERR_FSB           3     9     FB8_12  70   I/O     O       STD  FAST 
+nBR_IOB             1     6     FB8_15  72   I/O     O       STD  FAST SET
+
+** 79 Buried Nodes **
+
+Signal              Total Total Loc     Pwr  Reg Init
+Name                Pts   Inps          Mode State
+ram/RefRAS          1     2     FB1_1   STD  RESET
+ram/BACTr           1     2     FB1_2   STD  RESET
+iobm/RESrr          1     1     FB1_3   STD  RESET
+iobm/RESrf          1     1     FB1_4   STD  RESET
+iobm/BERRrf         1     1     FB1_5   STD  RESET
+fsb/ASrf            1     1     FB1_6   STD  RESET
+cnt/RefCnt<1>       1     1     FB1_7   STD  RESET
+RESr2               1     1     FB1_8   STD  RESET
+RESr1               1     1     FB1_9   STD  RESET
+RESr0               1     1     FB1_10  STD  RESET
+IPL2r1              1     1     FB1_11  STD  RESET
+IPL2r0              1     1     FB1_12  STD  RESET
+$OpTx$FX_DC$591     1     2     FB1_13  STD  
+iobs/IOU1           2     2     FB1_14  STD  RESET
+iobs/IOL1           2     2     FB1_15  STD  RESET
+iobm/IOS_FSM_FFd1   2     3     FB1_16  STD  RESET
+fsb/BERR1r          2     4     FB1_17  STD  RESET
+cs/nOverlay1        2     3     FB1_18  STD  RESET
+iobs/IOACTr         1     1     FB2_7   STD  RESET
+iobm/VPArr          1     1     FB2_8   STD  RESET
+iobm/VPArf          1     1     FB2_9   STD  RESET
+iobm/IOREQr         1     1     FB2_10  STD  RESET
+iobm/Er2            1     1     FB2_11  STD  RESET
+iobm/Er             1     1     FB2_12  STD  RESET
+iobm/DTACKrr        1     1     FB2_13  STD  RESET
+iobm/DTACKrf        1     1     FB2_14  STD  RESET
+iobm/BGr1           1     1     FB2_15  STD  RESET
+iobm/BGr0           1     1     FB2_16  STD  RESET
+iobm/BERRrr         1     1     FB2_17  STD  RESET
+cnt/RefCnt<0>       0     0     FB2_18  STD  RESET
+fsb/VPA             27    33    FB3_1   STD  RESET
+fsb/Ready0r         3     8     FB3_4   STD  RESET
+fsb/BERR0r          3     8     FB3_12  STD  RESET
+cs/nOverlay0        3     8     FB3_13  STD  RESET
+fsb/Ready1r         8     18    FB3_15  STD  RESET
+fsb/Ready2r         9     22    FB3_16  STD  RESET
+iobs/Clear1         1     3     FB4_1   STD  RESET
+iobs/ALE0           1     2     FB4_3   STD  RESET
+cnt/RefCnt<7>       1     7     FB4_4   STD  RESET
+cnt/RefCnt<6>       1     6     FB4_7   STD  RESET
+
+Signal              Total Total Loc     Pwr  Reg Init
+Name                Pts   Inps          Mode State
+cnt/RefCnt<5>       1     5     FB4_8   STD  RESET
+cnt/RefCnt<4>       1     4     FB4_9   STD  RESET
+cnt/RefCnt<3>       1     3     FB4_10  STD  RESET
+cnt/RefCnt<2>       1     2     FB4_12  STD  RESET
+iobs/PS_FSM_FFd1    2     3     FB4_13  STD  RESET
+cnt/RefDone         2     10    FB4_14  STD  RESET
+iobs/IOU0           3     5     FB4_15  STD  RESET
+cnt/TimeoutA        3     10    FB4_16  STD  RESET
+iobs/IOReady        4     8     FB4_17  STD  RESET
+ram/RS_FSM_FFd1     8     14    FB4_18  STD  RESET
+ram/RAMDIS2         7     15    FB5_3   STD  RESET
+iobs/IOL0           3     5     FB5_4   STD  RESET
+iobs/Once           18    19    FB5_7   STD  RESET
+ram/Once            5     10    FB5_10  STD  RESET
+iobs/Load1          15    19    FB5_13  STD  RESET
+ram/RASEL           19    15    FB5_18  STD  RESET
+iobm/ETACK          1     6     FB6_1   STD  RESET
+iobm/IOS_FSM_FFd3   3     6     FB6_3   STD  RESET
+iobm/ES<3>          3     6     FB6_4   STD  RESET
+iobm/ES<1>          3     4     FB6_5   STD  RESET
+iobm/ES<0>          3     7     FB6_6   STD  RESET
+iobm/ALE0           3     5     FB6_7   STD  RESET
+iobm/ES<4>          4     7     FB6_8   STD  RESET
+iobm/IOS_FSM_FFd2   5     11    FB6_10  STD  RESET
+iobm/ES<2>          5     7     FB6_13  STD  RESET
+iobm/IOACT          7     13    FB6_16  STD  RESET
+iobm/IOBERR         9     13    FB6_18  STD  RESET
+ram/RS_FSM_FFd3     11    14    FB7_4   STD  RESET
+cnt/TimeoutBPre     3     11    FB7_7   STD  RESET
+ram/RS_FSM_FFd2     14    14    FB7_10  STD  RESET
+cnt/TimeoutB        3     12    FB7_13  STD  RESET
+ram/RAMReady        15    15    FB7_15  STD  RESET
+ram/RAMDIS1         17    15    FB7_18  STD  RESET
+iobs/PS_FSM_FFd2    15    20    FB8_4   STD  RESET
+RESDone             1     3     FB8_7   STD  RESET
+iobs/IOREQ          15    20    FB8_9   STD  RESET
+iobs/IORW1          17    20    FB8_14  STD  RESET
+iobs/BERR           4     8     FB8_16  STD  RESET
+iobs/IORW0          19    21    FB8_18  STD  RESET
+
+** 39 Inputs **
+
+Signal              Loc     Pin  Pin     Pin     
+Name                        No.  Type    Use     
+A_FSB<13>           FB1_2   11   I/O     I
+A_FSB<14>           FB1_3   12   I/O     I
+A_FSB<15>           FB1_5   13   I/O     I
+A_FSB<16>           FB1_6   14   I/O     I
+A_FSB<17>           FB1_8   15   I/O     I
+A_FSB<18>           FB1_9   16   I/O     I
+A_FSB<19>           FB1_11  17   I/O     I
+A_FSB<20>           FB1_12  18   I/O     I
+A_FSB<21>           FB1_14  19   I/O     I
+A_FSB<22>           FB1_15  20   I/O     I
+CLK2X_IOB           FB1_17  22   GCK/I/O GCK
+A_FSB<5>            FB2_6   2    GTS/I/O I
+A_FSB<6>            FB2_8   3    GTS/I/O I
+A_FSB<7>            FB2_9   4    GTS/I/O I
+A_FSB<8>            FB2_11  6    I/O     I
+A_FSB<9>            FB2_12  7    I/O     I
+A_FSB<10>           FB2_14  8    I/O     I
+A_FSB<11>           FB2_15  9    I/O     I
+A_FSB<12>           FB2_17  10   I/O     I
+CLK_IOB             FB3_2   23   GCK/I/O GCK/I
+A_FSB<23>           FB3_5   24   I/O     I
+E_IOB               FB3_6   25   I/O     I
+CLK_FSB             FB3_8   27   GCK/I/O GCK
+nWE_FSB             FB3_11  29   I/O     I
+nLDS_FSB            FB3_12  30   I/O     I
+nAS_FSB             FB3_14  32   I/O     I
+nUDS_FSB            FB3_15  33   I/O     I
+nRES                FB4_8   91   I/O     I
+nIPL2               FB4_9   92   I/O     I
+A_FSB<1>            FB4_12  94   I/O     I
+A_FSB<2>            FB4_14  95   I/O     I
+A_FSB<3>            FB4_15  96   I/O     I
+A_FSB<4>            FB4_17  97   I/O     I
+nBERR_IOB           FB6_5   76   I/O     I
+nVPA_IOB            FB6_6   77   I/O     I
+nDTACK_IOB          FB6_8   78   I/O     I
+SW<1>               FB7_15  60   I/O     I
+SW<0>               FB7_17  61   I/O     I
+nBG_IOB             FB8_17  73   I/O     I
+
+Legend:
+Pin No. - ~ - User Assigned
+**************************  Function Block Details  ************************
+Legend:
+Total Pt     - Total product terms used by the macrocell signal
+Imp Pt       - Product terms imported from other macrocells
+Exp Pt       - Product terms exported to other macrocells
+               in direction shown
+Unused Pt    - Unused local product terms remaining in macrocell
+Loc          - Location where logic was mapped in device
+Pin Type/Use - I  - Input             GCK - Global Clock
+               O  - Output            GTS - Global Output Enable
+              (b) - Buried macrocell  GSR - Global Set/Reset
+X            - Signal used as input to the macrocell logic.
+Pin No.      - ~  - User Assigned
+*********************************** FB1  ***********************************
+Number of function block inputs used/remaining:               20/34
+Number of signals used by logic mapping into function block:  20
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+ram/RefRAS            1       0     0   4     FB1_1         (b)     (b)
+ram/BACTr             1       0     0   4     FB1_2   11    I/O     I
+iobm/RESrr            1       0     0   4     FB1_3   12    I/O     I
+iobm/RESrf            1       0     0   4     FB1_4         (b)     (b)
+iobm/BERRrf           1       0     0   4     FB1_5   13    I/O     I
+fsb/ASrf              1       0     0   4     FB1_6   14    I/O     I
+cnt/RefCnt<1>         1       0     0   4     FB1_7         (b)     (b)
+RESr2                 1       0     0   4     FB1_8   15    I/O     I
+RESr1                 1       0     0   4     FB1_9   16    I/O     I
+RESr0                 1       0     0   4     FB1_10        (b)     (b)
+IPL2r1                1       0     0   4     FB1_11  17    I/O     I
+IPL2r0                1       0     0   4     FB1_12  18    I/O     I
+$OpTx$FX_DC$591       1       0     0   4     FB1_13        (b)     (b)
+iobs/IOU1             2       0     0   3     FB1_14  19    I/O     I
+iobs/IOL1             2       0     0   3     FB1_15  20    I/O     I
+iobm/IOS_FSM_FFd1     2       0     0   3     FB1_16        (b)     (b)
+fsb/BERR1r            2       0     0   3     FB1_17  22    GCK/I/O GCK
+cs/nOverlay1          2       0     0   3     FB1_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: IPL2r0             8: iobm/IOS_FSM_FFd1  15: nIPL2 
+  2: RESr0              9: iobm/IOS_FSM_FFd2  16: nLDS_FSB 
+  3: RESr1             10: iobm/IOS_FSM_FFd3  17: nRES 
+  4: cnt/RefCnt<0>     11: iobs/BERR          18: nUDS_FSB 
+  5: cs/nOverlay0      12: iobs/Load1         19: ram/RS_FSM_FFd1 
+  6: fsb/ASrf          13: nAS_FSB            20: ram/RS_FSM_FFd2 
+  7: fsb/BERR1r        14: nBERR_IOB         
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+ram/RefRAS           ..................XX.................... 2
+ram/BACTr            .....X......X........................... 2
+iobm/RESrr           ................X....................... 1
+iobm/RESrf           ................X....................... 1
+iobm/BERRrf          .............X.......................... 1
+fsb/ASrf             ............X........................... 1
+cnt/RefCnt<1>        ...X.................................... 1
+RESr2                ..X..................................... 1
+RESr1                .X...................................... 1
+RESr0                ................X....................... 1
+IPL2r1               X....................................... 1
+IPL2r0               ..............X......................... 1
+$OpTx$FX_DC$591      .....X......X........................... 2
+iobs/IOU1            ...........X.....X...................... 2
+iobs/IOL1            ...........X...X........................ 2
+iobm/IOS_FSM_FFd1    .......XXX.............................. 3
+fsb/BERR1r           .....XX...X.X........................... 4
+cs/nOverlay1         ....XX......X........................... 3
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB2  ***********************************
+Number of function block inputs used/remaining:               9/45
+Number of signals used by logic mapping into function block:  9
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+(unused)              0       0     0   5     FB2_1         (b)     
+(unused)              0       0     0   5     FB2_2   99    GSR/I/O 
+(unused)              0       0     0   5     FB2_3         (b)     
+(unused)              0       0     0   5     FB2_4         (b)     
+(unused)              0       0     0   5     FB2_5   1     GTS/I/O 
+(unused)              0       0     0   5     FB2_6   2     GTS/I/O I
+iobs/IOACTr           1       0     0   4     FB2_7         (b)     (b)
+iobm/VPArr            1       0     0   4     FB2_8   3     GTS/I/O I
+iobm/VPArf            1       0     0   4     FB2_9   4     GTS/I/O I
+iobm/IOREQr           1       0     0   4     FB2_10        (b)     (b)
+iobm/Er2              1       0     0   4     FB2_11  6     I/O     I
+iobm/Er               1       0     0   4     FB2_12  7     I/O     I
+iobm/DTACKrr          1       0     0   4     FB2_13        (b)     (b)
+iobm/DTACKrf          1       0     0   4     FB2_14  8     I/O     I
+iobm/BGr1             1       0     0   4     FB2_15  9     I/O     I
+iobm/BGr0             1       0     0   4     FB2_16        (b)     (b)
+iobm/BERRrr           1       0     0   4     FB2_17  10    I/O     I
+cnt/RefCnt<0>         0       0     0   5     FB2_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: E_IOB              4: iobm/IOACT         7: nBG_IOB 
+  2: iobm/BGr0          5: iobs/IOREQ         8: nDTACK_IOB 
+  3: iobm/Er            6: nBERR_IOB          9: nVPA_IOB 
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+iobs/IOACTr          ...X.................................... 1
+iobm/VPArr           ........X............................... 1
+iobm/VPArf           ........X............................... 1
+iobm/IOREQr          ....X................................... 1
+iobm/Er2             ..X..................................... 1
+iobm/Er              X....................................... 1
+iobm/DTACKrr         .......X................................ 1
+iobm/DTACKrf         .......X................................ 1
+iobm/BGr1            .X...................................... 1
+iobm/BGr0            ......X................................. 1
+iobm/BERRrr          .....X.................................. 1
+cnt/RefCnt<0>        ........................................ 0
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB3  ***********************************
+Number of function block inputs used/remaining:               38/16
+Number of signals used by logic mapping into function block:  38
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+fsb/VPA              27      22<-   0   0     FB3_1         (b)     (b)
+(unused)              0       0   /\5   0     FB3_2   23    GCK/I/O GCK/I
+(unused)              0       0   /\5   0     FB3_3         (b)     (b)
+fsb/Ready0r           3       1<- /\3   0     FB3_4         (b)     (b)
+(unused)              0       0   /\1   4     FB3_5   24    I/O     I
+(unused)              0       0   \/2   3     FB3_6   25    I/O     I
+(unused)              0       0   \/5   0     FB3_7         (b)     (b)
+(unused)              0       0   \/5   0     FB3_8   27    GCK/I/O GCK
+nDTACK_FSB           28      23<-   0   0     FB3_9   28    I/O     O
+(unused)              0       0   /\5   0     FB3_10        (b)     (b)
+(unused)              0       0   /\5   0     FB3_11  29    I/O     I
+fsb/BERR0r            3       0   /\1   1     FB3_12  30    I/O     I
+cs/nOverlay0          3       0   \/2   0     FB3_13        (b)     (b)
+(unused)              0       0   \/5   0     FB3_14  32    I/O     I
+fsb/Ready1r           8       7<- \/4   0     FB3_15  33    I/O     I
+fsb/Ready2r           9       4<-   0   0     FB3_16        (b)     (b)
+nROMWE                1       0   \/4   0     FB3_17  34    I/O     O
+(unused)              0       0   \/5   0     FB3_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: $OpTx$FX_DC$591   14: A_FSB<22>         27: fsb/Ready1r 
+  2: A_FSB<10>         15: A_FSB<23>         28: fsb/Ready2r 
+  3: A_FSB<11>         16: A_FSB<8>          29: fsb/VPA 
+  4: A_FSB<12>         17: A_FSB<9>          30: iobs/BERR 
+  5: A_FSB<13>         18: SW<1>             31: iobs/IOReady 
+  6: A_FSB<14>         19: cnt/TimeoutA      32: nADoutLE1 
+  7: A_FSB<15>         20: cnt/TimeoutB      33: nAS_FSB 
+  8: A_FSB<16>         21: cs/nOverlay0      34: nBR_IOB 
+  9: A_FSB<17>         22: cs/nOverlay1      35: nDTACK_FSB 
+ 10: A_FSB<18>         23: fsb/ASrf          36: nRES 
+ 11: A_FSB<19>         24: fsb/BERR0r        37: nWE_FSB 
+ 12: A_FSB<20>         25: fsb/BERR1r        38: ram/RAMReady 
+ 13: A_FSB<21>         26: fsb/Ready0r      
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+fsb/VPA              XXXXXXXXXXXXXXXXXXXX.X.XXXXXXXXX.X..XX.. 33
+fsb/Ready0r          ............XXX......XX..X......X....X.. 8
+nDTACK_FSB           .XXXXXXXXXXXXXXXXXXX.XXXXXXX.XXXXXX.XX.. 34
+fsb/BERR0r           ...........XXXX....X..XX........X....... 8
+cs/nOverlay0         ...........XXXX.....X.X.........X..X.... 8
+fsb/Ready1r          ....XX.XXXXXXXX..X...XX...X...XXX...X... 18
+fsb/Ready2r          .XXXXXXXXXXXXXXXX.X..XX....X....X...X... 22
+nROMWE               ................................X...X... 2
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB4  ***********************************
+Number of function block inputs used/remaining:               41/13
+Number of signals used by logic mapping into function block:  41
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+iobs/Clear1           1       0   /\2   2     FB4_1         (b)     (b)
+nAoutOE               3       0     0   2     FB4_2   87    I/O     O
+iobs/ALE0             1       0     0   4     FB4_3         (b)     (b)
+cnt/RefCnt<7>         1       0     0   4     FB4_4         (b)     (b)
+nDoutOE               2       0     0   3     FB4_5   89    I/O     O
+nDinOE                3       0     0   2     FB4_6   90    I/O     O
+cnt/RefCnt<6>         1       0     0   4     FB4_7         (b)     (b)
+cnt/RefCnt<5>         1       0     0   4     FB4_8   91    I/O     I
+cnt/RefCnt<4>         1       0     0   4     FB4_9   92    I/O     I
+cnt/RefCnt<3>         1       0     0   4     FB4_10        (b)     (b)
+nVPA_FSB              1       0     0   4     FB4_11  93    I/O     O
+cnt/RefCnt<2>         1       0     0   4     FB4_12  94    I/O     I
+iobs/PS_FSM_FFd1      2       0     0   3     FB4_13        (b)     (b)
+cnt/RefDone           2       0     0   3     FB4_14  95    I/O     I
+iobs/IOU0             3       0     0   2     FB4_15  96    I/O     I
+cnt/TimeoutA          3       0     0   2     FB4_16        (b)     (b)
+iobs/IOReady          4       0   \/1   0     FB4_17  97    I/O     I
+ram/RS_FSM_FFd1       8       3<-   0   0     FB4_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: A_FSB<20>         15: cnt/TimeoutA       29: iobs/PS_FSM_FFd1 
+  2: A_FSB<21>         16: cs/nOverlay1       30: iobs/PS_FSM_FFd2 
+  3: A_FSB<22>         17: fsb/ASrf           31: nADoutLE1 
+  4: A_FSB<23>         18: fsb/VPA            32: nAS_FSB 
+  5: SW<1>             19: iobm/BGr0          33: nAS_IOB 
+  6: cnt/RefCnt<0>     20: iobm/BGr1          34: nAoutOE 
+  7: cnt/RefCnt<1>     21: iobm/IOBERR        35: nUDS_FSB 
+  8: cnt/RefCnt<2>     22: iobm/IOS_FSM_FFd2  36: nWE_FSB 
+  9: cnt/RefCnt<3>     23: iobm/IOS_FSM_FFd3  37: ram/Once 
+ 10: cnt/RefCnt<4>     24: iobs/IOACTr        38: ram/RS_FSM_FFd1 
+ 11: cnt/RefCnt<5>     25: iobs/IORW0         39: ram/RS_FSM_FFd2 
+ 12: cnt/RefCnt<6>     26: iobs/IOReady       40: ram/RS_FSM_FFd3 
+ 13: cnt/RefCnt<7>     27: iobs/IOU1          41: ram/RefRAS 
+ 14: cnt/RefDone       28: iobs/Once         
+
+Signal                        1         2         3         4         5 FB
+Name                0----+----0----+----0----+----0----+----0----+----0 Inputs
+iobs/Clear1          ............................XXX................... 3
+nAoutOE              ..................XX............XX................ 4
+iobs/ALE0            ............................XX.................... 2
+cnt/RefCnt<7>        .....XXXXXXX...................................... 7
+nDoutOE              .....................XX.X......................... 3
+nDinOE               XXXXX..........................X...X.............. 7
+cnt/RefCnt<6>        .....XXXXXX....................................... 6
+cnt/RefCnt<5>        .....XXXXX........................................ 5
+cnt/RefCnt<4>        .....XXXX......................................... 4
+cnt/RefCnt<3>        .....XXX.......................................... 3
+nVPA_FSB             .................X.............X.................. 2
+cnt/RefCnt<2>        .....XX........................................... 2
+iobs/PS_FSM_FFd1     .......................X....XX.................... 3
+cnt/RefDone          .....XXXXXXXXX..........................X......... 10
+iobs/IOU0            ..........................X.XXX...X............... 5
+cnt/TimeoutA         .....XXXXXXX..X.X..............X.................. 10
+iobs/IOReady         ................X...X..X.X.X.XXX.................. 8
+ram/RS_FSM_FFd1      .XXX......XXXX.XX..............X....XXXX.......... 14
+                    0----+----1----+----2----+----3----+----4----+----5
+                              0         0         0         0         0
+*********************************** FB5  ***********************************
+Number of function block inputs used/remaining:               39/15
+Number of signals used by logic mapping into function block:  39
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+(unused)              0       0   /\5   0     FB5_1         (b)     (b)
+nROMCS                3       0   \/2   0     FB5_2   35    I/O     O
+ram/RAMDIS2           7       2<-   0   0     FB5_3         (b)     (b)
+iobs/IOL0             3       0     0   2     FB5_4         (b)     (b)
+nCAS                  1       0   \/1   3     FB5_5   36    I/O     O
+nOE                   1       1<- \/5   0     FB5_6   37    I/O     O
+iobs/Once            18      13<-   0   0     FB5_7         (b)     (b)
+(unused)              0       0   /\5   0     FB5_8   39    I/O     (b)
+RA<4>                 2       0   /\3   0     FB5_9   40    I/O     O
+ram/Once              5       0     0   0     FB5_10        (b)     (b)
+RA<3>                 2       0   \/2   1     FB5_11  41    I/O     O
+RA<5>                 2       2<- \/5   0     FB5_12  42    I/O     O
+iobs/Load1           15      10<-   0   0     FB5_13        (b)     (b)
+RA<2>                 2       2<- /\5   0     FB5_14  43    I/O     O
+RA<6>                 2       0   /\2   1     FB5_15  46    I/O     O
+(unused)              0       0   \/4   1     FB5_16        (b)     (b)
+(unused)              0       0   \/5   0     FB5_17  49    I/O     (b)
+ram/RASEL            19      14<-   0   0     FB5_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: A_FSB<12>         14: A_FSB<4>          27: iobs/PS_FSM_FFd1 
+  2: A_FSB<13>         15: A_FSB<5>          28: iobs/PS_FSM_FFd2 
+  3: A_FSB<14>         16: A_FSB<6>          29: nADoutLE1 
+  4: A_FSB<15>         17: A_FSB<7>          30: nAS_FSB 
+  5: A_FSB<16>         18: SW<1>             31: nLDS_FSB 
+  6: A_FSB<17>         19: cnt/RefCnt<5>     32: nWE_FSB 
+  7: A_FSB<18>         20: cnt/RefCnt<6>     33: ram/BACTr 
+  8: A_FSB<19>         21: cnt/RefCnt<7>     34: ram/Once 
+  9: A_FSB<20>         22: cnt/RefDone       35: ram/RAMDIS2 
+ 10: A_FSB<21>         23: cs/nOverlay1      36: ram/RASEL 
+ 11: A_FSB<22>         24: fsb/ASrf          37: ram/RS_FSM_FFd1 
+ 12: A_FSB<23>         25: iobs/IOL1         38: ram/RS_FSM_FFd2 
+ 13: A_FSB<3>          26: iobs/Once         39: ram/RS_FSM_FFd3 
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+nROMCS               ........XXXX.....X....X................. 6
+ram/RAMDIS2          .........XXX......XXXXXX.....X...XX.XXX. 15
+iobs/IOL0            ........................X.XXX.X......... 5
+nCAS                 ...................................X.... 1
+nOE                  .............................X.X........ 2
+iobs/Once            .XX.XXXXXXXX.....X....XX.XXXXX.X........ 19
+RA<4>                ..X...........X....................X.... 3
+ram/Once             .........XXX..........XX.....X...X..XXX. 10
+RA<3>                .X...........X.....................X.... 3
+RA<5>                ...X...........X...................X.... 3
+iobs/Load1           .XX.XXXXXXXX.....X....XX.XXXXX.X........ 19
+RA<2>                X...........X......................X.... 3
+RA<6>                ....X...........X..................X.... 3
+ram/RASEL            .........XXX......XXXXXX.....X..XX..XXX. 15
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB6  ***********************************
+Number of function block inputs used/remaining:               34/20
+Number of signals used by logic mapping into function block:  34
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+iobm/ETACK            1       0   /\4   0     FB6_1         (b)     (b)
+nVMA_IOB              3       0     0   2     FB6_2   74    I/O     O
+iobm/IOS_FSM_FFd3     3       0     0   2     FB6_3         (b)     (b)
+iobm/ES<3>            3       0     0   2     FB6_4         (b)     (b)
+iobm/ES<1>            3       0     0   2     FB6_5   76    I/O     I
+iobm/ES<0>            3       0     0   2     FB6_6   77    I/O     I
+iobm/ALE0             3       0     0   2     FB6_7         (b)     (b)
+iobm/ES<4>            4       0     0   1     FB6_8   78    I/O     I
+nLDS_IOB              4       0     0   1     FB6_9   79    I/O     O
+iobm/IOS_FSM_FFd2     5       0     0   0     FB6_10        (b)     (b)
+nUDS_IOB              4       0     0   1     FB6_11  80    I/O     O
+nAS_IOB               3       0     0   2     FB6_12  81    I/O     O
+iobm/ES<2>            5       0     0   0     FB6_13        (b)     (b)
+nADoutLE1             2       0     0   3     FB6_14  82    I/O     O
+nADoutLE0             1       0   \/1   3     FB6_15  85    I/O     O
+iobm/IOACT            7       2<-   0   0     FB6_16        (b)     (b)
+nDinLE                1       0   /\1   3     FB6_17  86    I/O     O
+iobm/IOBERR           9       4<-   0   0     FB6_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: CLK_IOB           13: iobm/Er            24: iobm/VPArr 
+  2: iobm/ALE0         14: iobm/Er2           25: iobs/ALE0 
+  3: iobm/BERRrf       15: iobm/IOACT         26: iobs/Clear1 
+  4: iobm/BERRrr       16: iobm/IOBERR        27: iobs/IOL0 
+  5: iobm/DTACKrf      17: iobm/IOREQr        28: iobs/IORW0 
+  6: iobm/DTACKrr      18: iobm/IOS_FSM_FFd1  29: iobs/IOU0 
+  7: iobm/ES<0>        19: iobm/IOS_FSM_FFd2  30: iobs/Load1 
+  8: iobm/ES<1>        20: iobm/IOS_FSM_FFd3  31: nADoutLE1 
+  9: iobm/ES<2>        21: iobm/RESrf         32: nAoutOE 
+ 10: iobm/ES<3>        22: iobm/RESrr         33: nBERR_IOB 
+ 11: iobm/ES<4>        23: iobm/VPArf         34: nVMA_IOB 
+ 12: iobm/ETACK       
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+iobm/ETACK           ......XXXXX......................X...... 6
+nVMA_IOB             ......XXXXX...X.......XX.......X.X...... 10
+iobm/IOS_FSM_FFd3    X...............XXXX...........X........ 6
+iobm/ES<3>           ......XXXX..XX.......................... 6
+iobm/ES<1>           ......XX....XX.......................... 4
+iobm/ES<0>           ......XXXXX.XX.......................... 7
+iobm/ALE0            ................XXXX...........X........ 5
+iobm/ES<4>           ......XXXXX.XX.......................... 7
+nLDS_IOB             .................XXX......XX...X........ 6
+iobm/IOS_FSM_FFd2    X.XXXX.....X.....XXXXX.................. 11
+nUDS_IOB             .................XXX.......XX..X........ 6
+nAS_IOB              .................XXX...........X........ 4
+iobm/ES<2>           ......XXXXX.XX.......................... 7
+nADoutLE1            .........................X...XX......... 3
+nADoutLE0            .X......................X............... 2
+iobm/IOACT           X.XXXX.....X....XXXXXX.........X........ 13
+nDinLE               .................XX..................... 2
+iobm/IOBERR          X.XXXX.....X...X.XXXXX..........X....... 13
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB7  ***********************************
+Number of function block inputs used/remaining:               34/20
+Number of signals used by logic mapping into function block:  34
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+(unused)              0       0   /\5   0     FB7_1         (b)     (b)
+RA<1>                 2       0   /\2   1     FB7_2   50    I/O     O
+(unused)              0       0   \/5   0     FB7_3         (b)     (b)
+ram/RS_FSM_FFd3      11       6<-   0   0     FB7_4         (b)     (b)
+RA<7>                 2       0   /\1   2     FB7_5   52    I/O     O
+RA<0>                 2       0     0   3     FB7_6   53    I/O     O
+cnt/TimeoutBPre       3       0   \/2   0     FB7_7         (b)     (b)
+RA<8>                 7       2<-   0   0     FB7_8   54    I/O     O
+RA<10>                1       0   \/4   0     FB7_9   55    I/O     O
+ram/RS_FSM_FFd2      14       9<-   0   0     FB7_10        (b)     (b)
+RA<9>                 2       2<- /\5   0     FB7_11  56    I/O     O
+CLK25EN               1       0   /\2   2     FB7_12  58    I/O     O
+cnt/TimeoutB          3       0   \/1   1     FB7_13        (b)     (b)
+CLK20EN               1       1<- \/5   0     FB7_14  59    I/O     O
+ram/RAMReady         15      10<-   0   0     FB7_15  60    I/O     I
+(unused)              0       0   /\5   0     FB7_16        (b)     (b)
+(unused)              0       0   \/5   0     FB7_17  61    I/O     I
+ram/RAMDIS1          17      12<-   0   0     FB7_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: A_FSB<10>         13: A_FSB<9>          24: cnt/TimeoutB 
+  2: A_FSB<11>         14: SW<0>             25: cnt/TimeoutBPre 
+  3: A_FSB<17>         15: cnt/RefCnt<0>     26: cs/nOverlay1 
+  4: A_FSB<18>         16: cnt/RefCnt<1>     27: fsb/ASrf 
+  5: A_FSB<19>         17: cnt/RefCnt<2>     28: nAS_FSB 
+  6: A_FSB<1>          18: cnt/RefCnt<3>     29: ram/BACTr 
+  7: A_FSB<20>         19: cnt/RefCnt<4>     30: ram/Once 
+  8: A_FSB<21>         20: cnt/RefCnt<5>     31: ram/RASEL 
+  9: A_FSB<22>         21: cnt/RefCnt<6>     32: ram/RS_FSM_FFd1 
+ 10: A_FSB<23>         22: cnt/RefCnt<7>     33: ram/RS_FSM_FFd2 
+ 11: A_FSB<2>          23: cnt/RefDone       34: ram/RS_FSM_FFd3 
+ 12: A_FSB<8>         
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+RA<1>                .X........X...................X......... 3
+ram/RS_FSM_FFd3      .......XXX.........XXXX..XXX.X.XXX...... 14
+RA<7>                ..X........X..................X......... 3
+RA<0>                X....X........................X......... 3
+cnt/TimeoutBPre      ..............XXXXXXXX..X.XX............ 11
+RA<8>                ...X...XXX..X............X....X......... 7
+RA<10>               .......X................................ 1
+ram/RS_FSM_FFd2      .......XXX.........XXXX..XXXX..XXX...... 14
+RA<9>                ....X.X.......................X......... 3
+CLK25EN              .............X.......................... 1
+cnt/TimeoutB         ..............XXXXXXXX.XX.XX............ 12
+CLK20EN              .............X.......................... 1
+ram/RAMReady         .......XXX.........XXXX..XXXXX.XXX...... 15
+ram/RAMDIS1          .......XXX.........XXXX..XXXXX.XXX...... 15
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB8  ***********************************
+Number of function block inputs used/remaining:               38/16
+Number of signals used by logic mapping into function block:  38
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+(unused)              0       0   /\5   0     FB8_1         (b)     (b)
+RA<11>                1       0   /\4   0     FB8_2   63    I/O     O
+(unused)              0       0   \/5   0     FB8_3         (b)     (b)
+iobs/PS_FSM_FFd2     15      10<-   0   0     FB8_4         (b)     (b)
+nRAS                  3       3<- /\5   0     FB8_5   64    I/O     O
+nRAMLWE               1       0   /\3   1     FB8_6   65    I/O     O
+RESDone               1       0   \/1   3     FB8_7         (b)     (b)
+nRAMUWE               1       1<- \/5   0     FB8_8   66    I/O     O
+iobs/IOREQ           15      10<-   0   0     FB8_9   67    I/O     (b)
+(unused)              0       0   /\5   0     FB8_10        (b)     (b)
+(unused)              0       0   \/1   4     FB8_11  68    I/O     (b)
+nBERR_FSB             3       1<- \/3   0     FB8_12  70    I/O     O
+(unused)              0       0   \/5   0     FB8_13        (b)     (b)
+iobs/IORW1           17      12<-   0   0     FB8_14  71    I/O     (b)
+nBR_IOB               1       0   /\4   0     FB8_15  72    I/O     O
+iobs/BERR             4       0     0   1     FB8_16        (b)     (b)
+(unused)              0       0   \/5   0     FB8_17  73    I/O     I
+iobs/IORW0           19      14<-   0   0     FB8_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: A_FSB<13>         14: RESr0             27: iobs/IORW1 
+  2: A_FSB<14>         15: RESr1             28: iobs/Once 
+  3: A_FSB<16>         16: RESr2             29: iobs/PS_FSM_FFd1 
+  4: A_FSB<17>         17: SW<1>             30: iobs/PS_FSM_FFd2 
+  5: A_FSB<18>         18: cnt/TimeoutB      31: nADoutLE1 
+  6: A_FSB<19>         19: cs/nOverlay1      32: nAS_FSB 
+  7: A_FSB<20>         20: fsb/ASrf          33: nLDS_FSB 
+  8: A_FSB<21>         21: fsb/BERR0r        34: nUDS_FSB 
+  9: A_FSB<22>         22: fsb/BERR1r        35: nWE_FSB 
+ 10: A_FSB<23>         23: iobm/IOBERR       36: ram/RAMDIS1 
+ 11: IPL2r0            24: iobs/BERR         37: ram/RAMDIS2 
+ 12: IPL2r1            25: iobs/IOACTr       38: ram/RefRAS 
+ 13: RESDone           26: iobs/IORW0       
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+RA<11>               .....X.................................. 1
+iobs/PS_FSM_FFd2     XXXXXXXXXX......X.XX....X..XXXXX..X..... 20
+nRAS                 .......XXX........X............X...XXX.. 8
+nRAMLWE              ...............................XX.XXX... 5
+RESDone              .............XXX........................ 3
+nRAMUWE              ...............................X.XXXX... 5
+iobs/IOREQ           XXXXXXXXXX......X.XX....X..XXXXX..X..... 20
+nBERR_FSB            ......XXXX.......X..XX.X.......X........ 9
+iobs/IORW1           XXXXXXXXXX......X.XX......XXXXXX..X..... 20
+nBR_IOB              ..........XXXXXX........................ 6
+iobs/BERR            ...................X..XXX..X.XXX........ 8
+iobs/IORW0           XXXXXXXXXX......X.XX.....XXXXXXX..X..... 21
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*******************************  Equations  ********************************
+
+********** Mapped Logic **********
+
+
+assign $OpTx$FX_DC$591 = (nAS_FSB && !fsb/ASrf);
+
+
+assign CLK20EN = SW[0];
+
+
+assign CLK25EN = !SW[0];
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+FDCPE FDCPE_IPL2r0 (IPL2r0,!nIPL2,CLK_FSB,1'b0,1'b0);
+
+FDCPE FDCPE_IPL2r1 (IPL2r1,IPL2r0,CLK_FSB,1'b0,1'b0);
+
+
+assign RA[0] = ((A_FSB[10] && !ram/RASEL)
+	|| (ram/RASEL && A_FSB[1]));
+
+
+assign RA[1] = ((A_FSB[11] && !ram/RASEL)
+	|| (ram/RASEL && A_FSB[2]));
+
+
+assign RA[2] = ((A_FSB[12] && !ram/RASEL)
+	|| (ram/RASEL && A_FSB[3]));
+
+
+assign RA[3] = ((A_FSB[13] && !ram/RASEL)
+	|| (ram/RASEL && A_FSB[4]));
+
+
+assign RA[4] = ((A_FSB[14] && !ram/RASEL)
+	|| (ram/RASEL && A_FSB[5]));
+
+
+assign RA[5] = ((A_FSB[15] && !ram/RASEL)
+	|| (ram/RASEL && A_FSB[6]));
+
+
+assign RA[6] = ((A_FSB[16] && !ram/RASEL)
+	|| (ram/RASEL && A_FSB[7]));
+
+
+assign RA[7] = ((A_FSB[8] && ram/RASEL)
+	|| (A_FSB[17] && !ram/RASEL));
+
+
+assign RA[8] = ((A_FSB[9] && !A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && 
+	ram/RASEL)
+	|| (A_FSB[9] && !A_FSB[23] && A_FSB[22] && A_FSB[21] && 
+	!cs/nOverlay1 && ram/RASEL)
+	|| (A_FSB[23] && A_FSB[18])
+	|| (A_FSB[18] && !ram/RASEL)
+	|| (A_FSB[22] && !A_FSB[21] && A_FSB[18])
+	|| (A_FSB[22] && A_FSB[18] && cs/nOverlay1)
+	|| (!A_FSB[22] && A_FSB[18] && !cs/nOverlay1));
+
+
+assign RA[9] = ((A_FSB[20] && ram/RASEL)
+	|| (A_FSB[19] && !ram/RASEL));
+
+
+assign RA[10] = A_FSB[21];
+
+
+assign RA[11] = A_FSB[19];
+
+FDCPE FDCPE_RESDone (RESDone,1'b1,CLK_FSB,1'b0,1'b0,RESDone_CE);
+assign RESDone_CE = (!RESr0 && !RESr1 && RESr2);
+
+FDCPE FDCPE_RESr0 (RESr0,!nRES,CLK_FSB,1'b0,1'b0);
+
+FDCPE FDCPE_RESr1 (RESr1,RESr0,CLK_FSB,1'b0,1'b0);
+
+FDCPE FDCPE_RESr2 (RESr2,RESr1,CLK_FSB,1'b0,1'b0);
+
+FTCPE FTCPE_cnt/RefCnt0 (cnt/RefCnt[0],1'b1,CLK_FSB,1'b0,1'b0);
+
+FTCPE FTCPE_cnt/RefCnt1 (cnt/RefCnt[1],cnt/RefCnt[0],CLK_FSB,1'b0,1'b0);
+
+FTCPE FTCPE_cnt/RefCnt2 (cnt/RefCnt[2],cnt/RefCnt_T[2],CLK_FSB,1'b0,1'b0);
+assign cnt/RefCnt_T[2] = (cnt/RefCnt[0] && cnt/RefCnt[1]);
+
+FTCPE FTCPE_cnt/RefCnt3 (cnt/RefCnt[3],cnt/RefCnt_T[3],CLK_FSB,1'b0,1'b0);
+assign cnt/RefCnt_T[3] = (cnt/RefCnt[0] && cnt/RefCnt[1] && cnt/RefCnt[2]);
+
+FTCPE FTCPE_cnt/RefCnt4 (cnt/RefCnt[4],cnt/RefCnt_T[4],CLK_FSB,1'b0,1'b0);
+assign cnt/RefCnt_T[4] = (cnt/RefCnt[0] && cnt/RefCnt[1] && cnt/RefCnt[3] && 
+	cnt/RefCnt[2]);
+
+FTCPE FTCPE_cnt/RefCnt5 (cnt/RefCnt[5],cnt/RefCnt_T[5],CLK_FSB,1'b0,1'b0);
+assign cnt/RefCnt_T[5] = (cnt/RefCnt[0] && cnt/RefCnt[1] && cnt/RefCnt[3] && 
+	cnt/RefCnt[2] && cnt/RefCnt[4]);
+
+FTCPE FTCPE_cnt/RefCnt6 (cnt/RefCnt[6],cnt/RefCnt_T[6],CLK_FSB,1'b0,1'b0);
+assign cnt/RefCnt_T[6] = (cnt/RefCnt[5] && cnt/RefCnt[0] && cnt/RefCnt[1] && 
+	cnt/RefCnt[3] && cnt/RefCnt[2] && cnt/RefCnt[4]);
+
+FTCPE FTCPE_cnt/RefCnt7 (cnt/RefCnt[7],cnt/RefCnt_T[7],CLK_FSB,1'b0,1'b0);
+assign cnt/RefCnt_T[7] = (cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[0] && 
+	cnt/RefCnt[1] && cnt/RefCnt[3] && cnt/RefCnt[2] && cnt/RefCnt[4]);
+
+FDCPE FDCPE_cnt/RefDone (cnt/RefDone,cnt/RefDone_D,CLK_FSB,1'b0,1'b0);
+assign cnt/RefDone_D = ((!cnt/RefDone && !ram/RefRAS)
+	|| (!cnt/RefCnt[5] && !cnt/RefCnt[6] && !cnt/RefCnt[0] && 
+	!cnt/RefCnt[7] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && !cnt/RefCnt[2] && 
+	!cnt/RefCnt[4]));
+
+FTCPE FTCPE_cnt/TimeoutA (cnt/TimeoutA,cnt/TimeoutA_T,CLK_FSB,1'b0,1'b0);
+assign cnt/TimeoutA_T = ((cnt/TimeoutA && nAS_FSB && !fsb/ASrf)
+	|| (!cnt/TimeoutA && !nAS_FSB && !cnt/RefCnt[5] && 
+	!cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && 
+	!cnt/RefCnt[2] && !cnt/RefCnt[4])
+	|| (!cnt/TimeoutA && !cnt/RefCnt[5] && !cnt/RefCnt[6] && 
+	!cnt/RefCnt[0] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && !cnt/RefCnt[2] && 
+	!cnt/RefCnt[4] && fsb/ASrf));
+
+FTCPE FTCPE_cnt/TimeoutB (cnt/TimeoutB,cnt/TimeoutB_T,CLK_FSB,1'b0,1'b0);
+assign cnt/TimeoutB_T = ((cnt/TimeoutB && nAS_FSB && !fsb/ASrf)
+	|| (!cnt/TimeoutB && cnt/TimeoutBPre && !nAS_FSB && 
+	!cnt/RefCnt[5] && !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[7] && 
+	!cnt/RefCnt[1] && !cnt/RefCnt[3] && !cnt/RefCnt[2] && !cnt/RefCnt[4])
+	|| (!cnt/TimeoutB && cnt/TimeoutBPre && !cnt/RefCnt[5] && 
+	!cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[7] && !cnt/RefCnt[1] && 
+	!cnt/RefCnt[3] && !cnt/RefCnt[2] && !cnt/RefCnt[4] && fsb/ASrf));
+
+FTCPE FTCPE_cnt/TimeoutBPre (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,1'b0,1'b0);
+assign cnt/TimeoutBPre_T = ((cnt/TimeoutBPre && nAS_FSB && !fsb/ASrf)
+	|| (!cnt/TimeoutBPre && !nAS_FSB && !cnt/RefCnt[5] && 
+	!cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[7] && !cnt/RefCnt[1] && 
+	!cnt/RefCnt[3] && !cnt/RefCnt[2] && !cnt/RefCnt[4])
+	|| (!cnt/TimeoutBPre && !cnt/RefCnt[5] && !cnt/RefCnt[6] && 
+	!cnt/RefCnt[0] && !cnt/RefCnt[7] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && 
+	!cnt/RefCnt[2] && !cnt/RefCnt[4] && fsb/ASrf));
+
+FTCPE FTCPE_cs/nOverlay0 (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,!nRES,1'b0);
+assign cs/nOverlay0_T = ((!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && 
+	!cs/nOverlay0 && !nAS_FSB)
+	|| (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && 
+	!cs/nOverlay0 && fsb/ASrf));
+
+FDCPE FDCPE_cs/nOverlay1 (cs/nOverlay1,cs/nOverlay0,CLK_FSB,1'b0,1'b0,cs/nOverlay1_CE);
+assign cs/nOverlay1_CE = (nAS_FSB && !fsb/ASrf);
+
+FDCPE FDCPE_fsb/ASrf (fsb/ASrf,!nAS_FSB,!CLK_FSB,1'b0,1'b0);
+
+FDCPE FDCPE_fsb/BERR0r (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,1'b0,1'b0);
+assign fsb/BERR0r_D = ((!cnt/TimeoutB && !fsb/BERR0r)
+	|| (nAS_FSB && !fsb/ASrf)
+	|| (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && A_FSB[20] && 
+	!fsb/BERR0r));
+
+FDCPE FDCPE_fsb/BERR1r (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,1'b0,1'b0);
+assign fsb/BERR1r_D = ((!iobs/BERR && !fsb/BERR1r)
+	|| (nAS_FSB && !fsb/ASrf));
+
+FDCPE FDCPE_fsb/Ready0r (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,1'b0,1'b0);
+assign fsb/Ready0r_D = ((nAS_FSB && !fsb/ASrf)
+	|| (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && 
+	!fsb/Ready0r && !ram/RAMReady)
+	|| (!A_FSB[23] && A_FSB[22] && A_FSB[21] && 
+	!cs/nOverlay1 && !fsb/Ready0r && !ram/RAMReady));
+
+FDCPE FDCPE_fsb/Ready1r (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,1'b0,1'b0);
+assign fsb/Ready1r_D = ((cs/nOverlay0.EXP)
+	|| (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady)
+	|| (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && 
+	!iobs/IOReady)
+	|| (A_FSB[22] && !A_FSB[21] && !fsb/Ready1r && 
+	!iobs/IOReady && !SW[1])
+	|| (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && 
+	A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && 
+	!fsb/Ready1r && !iobs/IOReady)
+	|| (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && 
+	A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && 
+	!fsb/Ready1r && !iobs/IOReady)
+	|| (nAS_FSB && !fsb/ASrf));
+
+FDCPE FDCPE_fsb/Ready2r (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,1'b0,1'b0);
+assign fsb/Ready2r_D = ((nAS_FSB && !fsb/ASrf)
+	|| (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && 
+	A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && 
+	A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && 
+	A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && 
+	!fsb/Ready2r)
+	|| (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && 
+	A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && 
+	A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && 
+	A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && 
+	!fsb/Ready2r)
+	|| (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && 
+	!A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && 
+	A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && 
+	A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && 
+	!fsb/Ready2r)
+	|| (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && 
+	A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && 
+	A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && 
+	A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && 
+	!fsb/Ready2r)
+	|| (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && 
+	A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && 
+	A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && 
+	A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && 
+	!fsb/Ready2r)
+	|| (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && 
+	!A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && 
+	A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && 
+	A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && 
+	!fsb/Ready2r)
+	|| (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && 
+	!A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && 
+	A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && 
+	A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && 
+	!fsb/Ready2r)
+	|| (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && 
+	!A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && 
+	A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && 
+	A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && 
+	!fsb/Ready2r));
+
+FDCPE FDCPE_fsb/VPA (fsb/VPA,fsb/VPA_D,CLK_FSB,1'b0,1'b0);
+assign fsb/VPA_D = ((EXP15_.EXP)
+	|| (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && 
+	!fsb/Ready0r && fsb/VPA && !ram/RAMReady && !$OpTx$FX_DC$591)
+	|| (A_FSB[22] && !A_FSB[21] && !fsb/Ready1r && fsb/VPA && 
+	!iobs/IOReady && !SW[1] && !$OpTx$FX_DC$591)
+	|| (!A_FSB[23] && A_FSB[22] && A_FSB[21] && 
+	!cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && !ram/RAMReady && 
+	!$OpTx$FX_DC$591)
+	|| (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && 
+	A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && 
+	!fsb/Ready1r && fsb/VPA && !iobs/IOReady && !$OpTx$FX_DC$591)
+	|| (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && 
+	A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && 
+	!fsb/Ready1r && fsb/VPA && !iobs/IOReady && !$OpTx$FX_DC$591)
+	|| (nROMWE_OBUF.EXP)
+	|| (A_FSB[23] && cnt/TimeoutB && fsb/VPA && 
+	!$OpTx$FX_DC$591)
+	|| (!A_FSB[22] && cnt/TimeoutB && fsb/VPA && 
+	!$OpTx$FX_DC$591)
+	|| (A_FSB[21] && cnt/TimeoutB && fsb/VPA && 
+	!$OpTx$FX_DC$591)
+	|| (A_FSB[23] && !fsb/Ready1r && fsb/VPA && 
+	!iobs/IOReady && !$OpTx$FX_DC$591)
+	|| (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && 
+	fsb/VPA && !iobs/IOReady && !$OpTx$FX_DC$591)
+	|| (iobs/BERR && fsb/VPA && !$OpTx$FX_DC$591)
+	|| (fsb/BERR0r && fsb/VPA && !$OpTx$FX_DC$591)
+	|| (fsb/BERR1r && fsb/VPA && !$OpTx$FX_DC$591)
+	|| (fsb/VPA && !nBR_IOB && !$OpTx$FX_DC$591)
+	|| (!A_FSB[20] && cnt/TimeoutB && fsb/VPA && 
+	!$OpTx$FX_DC$591));
+
+FDCPE FDCPE_iobm/ALE0 (iobm/ALE0,iobm/ALE0_D,CLK2X_IOB,1'b0,1'b0);
+assign iobm/ALE0_D = ((iobm/IOS_FSM_FFd2)
+	|| (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1)
+	|| (!iobm/IOS_FSM_FFd1 && iobm/IOREQr && !nAoutOE));
+
+FDCPE FDCPE_iobm/BERRrf (iobm/BERRrf,!nBERR_IOB,!CLK2X_IOB,1'b0,1'b0);
+
+FDCPE FDCPE_iobm/BERRrr (iobm/BERRrr,!nBERR_IOB,CLK2X_IOB,1'b0,1'b0);
+
+FDCPE FDCPE_iobm/BGr0 (iobm/BGr0,!nBG_IOB,CLK2X_IOB,1'b0,1'b0);
+
+FDCPE FDCPE_iobm/BGr1 (iobm/BGr1,iobm/BGr0,CLK2X_IOB,1'b0,1'b0);
+
+FDCPE FDCPE_iobm/DTACKrf (iobm/DTACKrf,!nDTACK_IOB,!CLK2X_IOB,1'b0,1'b0);
+
+FDCPE FDCPE_iobm/DTACKrr (iobm/DTACKrr,!nDTACK_IOB,CLK2X_IOB,1'b0,1'b0);
+
+FTCPE FTCPE_iobm/ES0 (iobm/ES[0],iobm/ES_T[0],CLK2X_IOB,1'b0,1'b0);
+assign iobm/ES_T[0] = ((iobm/ES[0] && !iobm/Er && iobm/Er2)
+	|| (!iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && 
+	!iobm/ES[3] && !iobm/ES[4] && iobm/Er)
+	|| (!iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && 
+	!iobm/ES[3] && !iobm/ES[4] && !iobm/Er2));
+
+FDCPE FDCPE_iobm/ES1 (iobm/ES[1],iobm/ES_D[1],CLK2X_IOB,1'b0,1'b0);
+assign iobm/ES_D[1] = ((iobm/ES[0] && iobm/ES[1])
+	|| (!iobm/ES[0] && !iobm/ES[1])
+	|| (!iobm/Er && iobm/Er2));
+
+FDCPE FDCPE_iobm/ES2 (iobm/ES[2],iobm/ES_D[2],CLK2X_IOB,1'b0,1'b0);
+assign iobm/ES_D[2] = ((!iobm/ES[0] && !iobm/ES[2])
+	|| (!iobm/ES[1] && !iobm/ES[2])
+	|| (!iobm/Er && iobm/Er2)
+	|| (iobm/ES[0] && iobm/ES[1] && iobm/ES[2])
+	|| (!iobm/ES[2] && !iobm/ES[3] && iobm/ES[4]));
+
+FTCPE FTCPE_iobm/ES3 (iobm/ES[3],iobm/ES_T[3],CLK2X_IOB,1'b0,1'b0);
+assign iobm/ES_T[3] = ((iobm/ES[3] && !iobm/Er && iobm/Er2)
+	|| (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && iobm/Er)
+	|| (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && !iobm/Er2));
+
+FTCPE FTCPE_iobm/ES4 (iobm/ES[4],iobm/ES_T[4],CLK2X_IOB,1'b0,1'b0);
+assign iobm/ES_T[4] = ((iobm/ES[4] && !iobm/Er && iobm/Er2)
+	|| (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && 
+	iobm/ES[3] && iobm/Er)
+	|| (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && 
+	iobm/ES[3] && !iobm/Er2)
+	|| (iobm/ES[0] && iobm/ES[1] && !iobm/ES[2] && 
+	!iobm/ES[3] && iobm/ES[4]));
+
+FDCPE FDCPE_iobm/ETACK (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,1'b0,1'b0);
+assign iobm/ETACK_D = (!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && 
+	!iobm/ES[3] && iobm/ES[4]);
+
+FDCPE FDCPE_iobm/Er (iobm/Er,E_IOB,!CLK_IOB,1'b0,1'b0);
+
+FDCPE FDCPE_iobm/Er2 (iobm/Er2,iobm/Er,CLK2X_IOB,1'b0,1'b0);
+
+FDCPE FDCPE_iobm/IOACT (iobm/IOACT,iobm/IOACT_D,CLK2X_IOB,1'b0,1'b0);
+assign iobm/IOACT_D = ((CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && 
+	iobm/DTACKrf && iobm/DTACKrr)
+	|| (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && 
+	iobm/RESrf && iobm/RESrr)
+	|| (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2)
+	|| (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 && 
+	!iobm/IOREQr)
+	|| (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 && nAoutOE)
+	|| (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && 
+	iobm/ETACK)
+	|| (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && 
+	iobm/BERRrf && iobm/BERRrr));
+
+FTCPE FTCPE_iobm/IOBERR (iobm/IOBERR,iobm/IOBERR_T,CLK2X_IOB,1'b0,1'b0);
+assign iobm/IOBERR_T = ((CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && 
+	iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/RESrf && 
+	iobm/RESrr)
+	|| (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && 
+	iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/BERRrf && 
+	iobm/BERRrr)
+	|| (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && 
+	iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/DTACKrf && 
+	iobm/DTACKrr)
+	|| (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && 
+	iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/RESrf && 
+	iobm/RESrr)
+	|| (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && 
+	!iobm/IOS_FSM_FFd2 && iobm/IOBERR)
+	|| (CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && 
+	iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/ETACK)
+	|| (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && 
+	iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/ETACK)
+	|| (CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && 
+	iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/BERRrf && 
+	iobm/BERRrr)
+	|| (CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && 
+	iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/DTACKrf && 
+	iobm/DTACKrr));
+
+FDCPE FDCPE_iobm/IOREQr (iobm/IOREQr,iobs/IOREQ,!CLK2X_IOB,1'b0,1'b0);
+
+FDCPE FDCPE_iobm/IOS_FSM_FFd1 (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,1'b0,1'b0);
+assign iobm/IOS_FSM_FFd1_D = ((iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1)
+	|| (!iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd2));
+
+FTCPE FTCPE_iobm/IOS_FSM_FFd2 (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,CLK2X_IOB,1'b0,1'b0);
+assign iobm/IOS_FSM_FFd2_T = ((iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && 
+	!iobm/IOS_FSM_FFd2)
+	|| (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && 
+	iobm/IOS_FSM_FFd2 && iobm/ETACK)
+	|| (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && 
+	iobm/IOS_FSM_FFd2 && iobm/BERRrf && iobm/BERRrr)
+	|| (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && 
+	iobm/IOS_FSM_FFd2 && iobm/DTACKrf && iobm/DTACKrr)
+	|| (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && 
+	iobm/IOS_FSM_FFd2 && iobm/RESrf && iobm/RESrr));
+
+FDCPE FDCPE_iobm/IOS_FSM_FFd3 (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,1'b0,1'b0);
+assign iobm/IOS_FSM_FFd3_D = ((iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2)
+	|| (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && 
+	!iobm/IOS_FSM_FFd2)
+	|| (!CLK_IOB && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2 && 
+	iobm/IOREQr && !nAoutOE));
+
+FDCPE FDCPE_iobm/RESrf (iobm/RESrf,!nRES,!CLK2X_IOB,1'b0,1'b0);
+
+FDCPE FDCPE_iobm/RESrr (iobm/RESrr,!nRES,CLK2X_IOB,1'b0,1'b0);
+
+FDCPE FDCPE_iobm/VPArf (iobm/VPArf,!nVPA_IOB,!CLK2X_IOB,1'b0,1'b0);
+
+FDCPE FDCPE_iobm/VPArr (iobm/VPArr,!nVPA_IOB,CLK2X_IOB,1'b0,1'b0);
+
+FDCPE FDCPE_iobs/ALE0 (iobs/ALE0,iobs/ALE0_D,CLK_FSB,1'b0,1'b0);
+assign iobs/ALE0_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1);
+
+FTCPE FTCPE_iobs/BERR (iobs/BERR,iobs/BERR_T,CLK_FSB,1'b0,1'b0);
+assign iobs/BERR_T = ((iobs/BERR && nAS_FSB && !fsb/ASrf)
+	|| (iobs/Once && iobs/BERR && !iobs/PS_FSM_FFd2 && 
+	!iobs/IOACTr && !iobm/IOBERR && nADoutLE1)
+	|| (iobs/Once && !iobs/BERR && !nAS_FSB && 
+	!iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1)
+	|| (iobs/Once && !iobs/BERR && !iobs/PS_FSM_FFd2 && 
+	!iobs/IOACTr && iobm/IOBERR && fsb/ASrf && nADoutLE1));
+
+FDCPE FDCPE_iobs/Clear1 (iobs/Clear1,iobs/Clear1_D,CLK_FSB,1'b0,1'b0);
+assign iobs/Clear1_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && !nADoutLE1);
+
+FDCPE FDCPE_iobs/IOACTr (iobs/IOACTr,iobm/IOACT,CLK_FSB,1'b0,1'b0);
+
+FDCPE FDCPE_iobs/IOL0 (iobs/IOL0,iobs/IOL0_D,CLK_FSB,1'b0,1'b0,iobs/IOL0_CE);
+assign iobs/IOL0_D = ((!nLDS_FSB && nADoutLE1)
+	|| (iobs/IOL1 && !nADoutLE1));
+assign iobs/IOL0_CE = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1);
+
+FDCPE FDCPE_iobs/IOL1 (iobs/IOL1,!nLDS_FSB,CLK_FSB,1'b0,1'b0,iobs/Load1);
+
+FDCPE FDCPE_iobs/IOREQ (iobs/IOREQ,iobs/IOREQ_D,CLK_FSB,1'b0,1'b0);
+assign iobs/IOREQ_D = ((!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && 
+	!iobs/PS_FSM_FFd2 && nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && 
+	!iobs/PS_FSM_FFd2 && nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && 
+	!iobs/PS_FSM_FFd2 && nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && 
+	!iobs/PS_FSM_FFd2 && nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && 
+	!iobs/PS_FSM_FFd2 && nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && 
+	!iobs/PS_FSM_FFd2 && nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[21] && nWE_FSB && 
+	!iobs/PS_FSM_FFd2 && nADoutLE1)
+	|| (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && 
+	SW[1] && nADoutLE1)
+	|| (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && 
+	!iobs/PS_FSM_FFd2 && nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[22] && A_FSB[21] && 
+	cs/nOverlay1 && !iobs/PS_FSM_FFd2 && nADoutLE1)
+	|| (!iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1)
+	|| (iobs/PS_FSM_FFd1 && iobs/IOACTr)
+	|| (iobs/Once && !iobs/PS_FSM_FFd2 && nADoutLE1)
+	|| (nAS_FSB && !iobs/PS_FSM_FFd2 && !fsb/ASrf && 
+	nADoutLE1)
+	|| (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && 
+	!iobs/PS_FSM_FFd2 && nADoutLE1));
+
+FTCPE FTCPE_iobs/IORW0 (iobs/IORW0,iobs/IORW0_T,CLK_FSB,1'b0,1'b0);
+assign iobs/IORW0_T = ((A_FSB_19_IBUF$BUF0.EXP)
+	|| (iobs/IORW0 && iobs/IORW1 && !nADoutLE1)
+	|| (!iobs/IORW0 && !iobs/IORW1 && !nADoutLE1)
+	|| (nAS_FSB && !fsb/ASrf && nADoutLE1)
+	|| (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[21] && !iobs/IORW0 && nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && nADoutLE1)
+	|| (!A_FSB[23] && !A_FSB[20] && SW[1] && nADoutLE1)
+	|| (!nWE_FSB && !iobs/IORW0 && nADoutLE1)
+	|| (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && 
+	nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && nADoutLE1)
+	|| (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && 
+	nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[22] && A_FSB[21] && 
+	cs/nOverlay1 && nADoutLE1));
+
+FTCPE FTCPE_iobs/IORW1 (iobs/IORW1,iobs/IORW1_T,CLK_FSB,1'b0,1'b0);
+assign iobs/IORW1_T = ((iobs/Once)
+	|| (!nADoutLE1)
+	|| (nBERR_FSB_OBUF.EXP)
+	|| (nAS_FSB && !fsb/ASrf)
+	|| (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)
+	|| (!A_FSB[23] && !A_FSB[22] && !A_FSB[21])
+	|| (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[20])
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[19])
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[18])
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[17])
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[16])
+	|| (nWE_FSB && iobs/IORW1)
+	|| (!nWE_FSB && !iobs/IORW1)
+	|| (!A_FSB[23] && A_FSB[21] && !iobs/IORW1));
+
+FTCPE FTCPE_iobs/IOReady (iobs/IOReady,iobs/IOReady_T,CLK_FSB,1'b0,1'b0);
+assign iobs/IOReady_T = ((iobs/IOReady && nAS_FSB && !fsb/ASrf)
+	|| (iobs/Once && iobs/IOReady && !iobs/PS_FSM_FFd2 && 
+	!iobs/IOACTr && iobm/IOBERR && nADoutLE1)
+	|| (iobs/Once && !iobs/IOReady && !nAS_FSB && 
+	!iobs/PS_FSM_FFd2 && !iobs/IOACTr && !iobm/IOBERR && nADoutLE1)
+	|| (iobs/Once && !iobs/IOReady && !iobs/PS_FSM_FFd2 && 
+	!iobs/IOACTr && !iobm/IOBERR && fsb/ASrf && nADoutLE1));
+
+FDCPE FDCPE_iobs/IOU0 (iobs/IOU0,iobs/IOU0_D,CLK_FSB,1'b0,1'b0,iobs/IOU0_CE);
+assign iobs/IOU0_D = ((!nUDS_FSB && nADoutLE1)
+	|| (iobs/IOU1 && !nADoutLE1));
+assign iobs/IOU0_CE = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1);
+
+FDCPE FDCPE_iobs/IOU1 (iobs/IOU1,!nUDS_FSB,CLK_FSB,1'b0,1'b0,iobs/Load1);
+
+FDCPE FDCPE_iobs/Load1 (iobs/Load1,iobs/Load1_D,CLK_FSB,1'b0,1'b0);
+assign iobs/Load1_D = ((iobs/Once)
+	|| (!nADoutLE1)
+	|| (!A_FSB[23] && !A_FSB[22] && !A_FSB[21])
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[20])
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[19])
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[17])
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[16])
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[18])
+	|| (!A_FSB[23] && A_FSB[21] && nWE_FSB)
+	|| (!A_FSB[23] && !A_FSB[20] && SW[1])
+	|| (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21])
+	|| (!A_FSB[23] && A_FSB[22] && A_FSB[21] && 
+	cs/nOverlay1)
+	|| (nAS_FSB && !fsb/ASrf)
+	|| (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)
+	|| (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1));
+
+FDCPE FDCPE_iobs/Once (iobs/Once,iobs/Once_D,CLK_FSB,1'b0,1'b0);
+assign iobs/Once_D = ((A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd1)
+	|| (!iobs/Once && iobs/PS_FSM_FFd1 && !nADoutLE1)
+	|| (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !iobs/Once)
+	|| (!A_FSB[23] && !A_FSB[22] && !iobs/Once && 
+	!cs/nOverlay1)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && !iobs/Once)
+	|| (RA_4_OBUF.EXP)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && !iobs/Once)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && !iobs/Once)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && !iobs/Once)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && !iobs/Once)
+	|| (!A_FSB[23] && A_FSB[21] && nWE_FSB && !iobs/Once)
+	|| (nAS_FSB && !fsb/ASrf)
+	|| (A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd2)
+	|| (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd2)
+	|| (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd1)
+	|| (!iobs/Once && iobs/PS_FSM_FFd2 && !nADoutLE1));
+
+FDCPE FDCPE_iobs/PS_FSM_FFd1 (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,1'b0,1'b0);
+assign iobs/PS_FSM_FFd1_D = ((iobs/PS_FSM_FFd2)
+	|| (iobs/PS_FSM_FFd1 && iobs/IOACTr));
+
+FDCPE FDCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,1'b0,1'b0);
+assign iobs/PS_FSM_FFd2_D = ((!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && 
+	!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && 
+	!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && 
+	!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && 
+	!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && 
+	!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && 
+	!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[21] && nWE_FSB && 
+	!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)
+	|| (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && 
+	!iobs/PS_FSM_FFd1 && SW[1] && nADoutLE1)
+	|| (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && 
+	!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)
+	|| (!A_FSB[23] && A_FSB[22] && A_FSB[21] && 
+	cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)
+	|| (iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1 && 
+	iobs/IOACTr)
+	|| (!iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1 && 
+	!iobs/IOACTr)
+	|| (iobs/Once && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && 
+	nADoutLE1)
+	|| (nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && 
+	!fsb/ASrf && nADoutLE1)
+	|| (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && 
+	!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1));
+
+
+assign nADoutLE0 = (!iobm/ALE0 && !iobs/ALE0);
+
+FDCPE FDCPE_nADoutLE1 (nADoutLE1,nADoutLE1_D,CLK_FSB,1'b0,1'b0);
+assign nADoutLE1_D = ((iobs/Load1)
+	|| (!iobs/Clear1 && !nADoutLE1));
+
+FDCPE FDCPE_nAS_IOB (nAS_IOB_I,nAS_IOB,!CLK2X_IOB,1'b0,1'b0);
+assign nAS_IOB = ((!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2)
+	|| (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2));
+assign nAS_IOB = nAS_IOB_OE ? nAS_IOB_I : 1'bZ;
+assign nAS_IOB_OE = !nAoutOE;
+
+FDCPE FDCPE_nAoutOE (nAoutOE,nAoutOE_D,CLK2X_IOB,1'b0,1'b0);
+assign nAoutOE_D = ((!iobm/BGr0 && !iobm/BGr1)
+	|| (!iobm/BGr1 && nAoutOE)
+	|| (!nAS_IOB && !iobm/BGr0 && !nAoutOE));
+
+
+assign nBERR_FSB = ((nAS_FSB)
+	|| (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && A_FSB[20] && 
+	!iobs/BERR && !fsb/BERR0r && !fsb/BERR1r)
+	|| (!iobs/BERR && !cnt/TimeoutB && !fsb/BERR0r && 
+	!fsb/BERR1r));
+
+FDCPE FDCPE_nBR_IOB (nBR_IOB,1'b0,CLK_FSB,1'b0,1'b0,nBR_IOB_CE);
+assign nBR_IOB_CE = (RESr0 && RESr1 && IPL2r0 && RESr2 && !RESDone && 
+	IPL2r1);
+
+FDCPE FDCPE_nCAS (nCAS,!ram/RASEL,!CLK_FSB,1'b0,1'b0);
+
+FDCPE FDCPE_nDTACK_FSB (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,1'b0,1'b0);
+assign nDTACK_FSB_D = ((EXP18_.EXP)
+	|| (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && 
+	A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && 
+	!fsb/Ready1r && !iobs/IOReady && nDTACK_FSB)
+	|| (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && 
+	A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && 
+	cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && 
+	!nADoutLE1)
+	|| (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && 
+	A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && 
+	A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && 
+	A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && 
+	!fsb/Ready2r && nDTACK_FSB)
+	|| (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && 
+	A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && 
+	A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && 
+	A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && 
+	!fsb/Ready2r && nDTACK_FSB)
+	|| (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && 
+	!A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && 
+	A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && 
+	A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && 
+	!fsb/Ready2r && nDTACK_FSB)
+	|| (EXP21_.EXP)
+	|| (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && 
+	!iobs/IOReady && nDTACK_FSB)
+	|| (A_FSB[22] && !A_FSB[21] && !fsb/Ready1r && 
+	!iobs/IOReady && nDTACK_FSB && !SW[1])
+	|| (!A_FSB[23] && A_FSB[22] && A_FSB[21] && 
+	!cs/nOverlay1 && !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady)
+	|| (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && 
+	A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && 
+	!fsb/Ready1r && !iobs/IOReady && nDTACK_FSB)
+	|| (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && 
+	A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && 
+	cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && 
+	!nADoutLE1)
+	|| (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && 
+	A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && 
+	A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && 
+	A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && 
+	!fsb/Ready2r && nDTACK_FSB)
+	|| (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && 
+	A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && 
+	A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && 
+	A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && 
+	!fsb/Ready2r && nDTACK_FSB)
+	|| (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && 
+	!A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && 
+	A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && 
+	A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && 
+	!fsb/Ready2r && nDTACK_FSB)
+	|| (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && 
+	!A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && 
+	A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && 
+	A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && 
+	!fsb/Ready2r && nDTACK_FSB)
+	|| (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && 
+	!A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && 
+	A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && 
+	A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && 
+	!fsb/Ready2r && nDTACK_FSB));
+
+FDCPE FDCPE_nDinLE (nDinLE,nDinLE_D,!CLK2X_IOB,1'b0,1'b0);
+assign nDinLE_D = (iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2);
+
+
+assign nDinOE = ((A_FSB[23] && nWE_FSB && !nAS_FSB)
+	|| (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && 
+	!nAS_FSB)
+	|| (A_FSB[22] && !A_FSB[21] && nWE_FSB && !nAS_FSB && 
+	!SW[1]));
+
+FDCPE FDCPE_nDoutOE (nDoutOE,nDoutOE_D,CLK2X_IOB,1'b0,1'b0);
+assign nDoutOE_D = ((!iobs/IORW0)
+	|| (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2));
+
+FDCPE FDCPE_nLDS_IOB (nLDS_IOB_I,nLDS_IOB,!CLK2X_IOB,1'b0,1'b0);
+assign nLDS_IOB = ((iobs/IOL0 && !iobm/IOS_FSM_FFd3 && 
+	iobm/IOS_FSM_FFd2)
+	|| (iobs/IOL0 && iobm/IOS_FSM_FFd1 && 
+	iobm/IOS_FSM_FFd2)
+	|| (!iobs/IORW0 && iobs/IOL0 && iobm/IOS_FSM_FFd3 && 
+	!iobm/IOS_FSM_FFd1));
+assign nLDS_IOB = nLDS_IOB_OE ? nLDS_IOB_I : 1'bZ;
+assign nLDS_IOB_OE = !nAoutOE;
+
+
+assign nOE = !((nWE_FSB && !nAS_FSB));
+
+
+assign nRAMLWE = !((!nWE_FSB && !nLDS_FSB && !ram/RAMDIS2 && !nAS_FSB && 
+	!ram/RAMDIS1));
+
+
+assign nRAMUWE = !((!nWE_FSB && !nUDS_FSB && !ram/RAMDIS2 && !nAS_FSB && 
+	!ram/RAMDIS1));
+
+
+assign nRAS = !(((ram/RefRAS)
+	|| (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && 
+	!ram/RAMDIS2 && !nAS_FSB && !ram/RAMDIS1)
+	|| (!A_FSB[23] && A_FSB[22] && A_FSB[21] && 
+	!cs/nOverlay1 && !ram/RAMDIS2 && !nAS_FSB && !ram/RAMDIS1)));
+
+
+assign nROMCS = !(((A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !A_FSB[20] && 
+	!SW[1])
+	|| (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && 
+	SW[1])
+	|| (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !A_FSB[20] && 
+	!cs/nOverlay1)));
+
+
+assign nROMWE = !((!nWE_FSB && !nAS_FSB));
+
+FDCPE FDCPE_nUDS_IOB (nUDS_IOB_I,nUDS_IOB,!CLK2X_IOB,1'b0,1'b0);
+assign nUDS_IOB = ((iobs/IOU0 && !iobm/IOS_FSM_FFd3 && 
+	iobm/IOS_FSM_FFd2)
+	|| (iobs/IOU0 && iobm/IOS_FSM_FFd1 && 
+	iobm/IOS_FSM_FFd2)
+	|| (!iobs/IORW0 && iobs/IOU0 && iobm/IOS_FSM_FFd3 && 
+	!iobm/IOS_FSM_FFd1));
+assign nUDS_IOB = nUDS_IOB_OE ? nUDS_IOB_I : 1'bZ;
+assign nUDS_IOB_OE = !nAoutOE;
+
+FTCPE FTCPE_nVMA_IOB (nVMA_IOB_I,nVMA_IOB_T,CLK2X_IOB,1'b0,1'b0);
+assign nVMA_IOB_T = ((!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && 
+	!iobm/ES[3] && !iobm/ES[4])
+	|| (nVMA_IOB && iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && 
+	!iobm/ES[3] && !iobm/ES[4] && iobm/IOACT && iobm/VPArf && 
+	iobm/VPArr));
+assign nVMA_IOB = nVMA_IOB_OE ? nVMA_IOB_I : 1'bZ;
+assign nVMA_IOB_OE = !nAoutOE;
+
+
+assign nVPA_FSB = !((fsb/VPA && !nAS_FSB));
+
+FDCPE FDCPE_ram/BACTr (ram/BACTr,ram/BACTr_D,CLK_FSB,1'b0,1'b0);
+assign ram/BACTr_D = (nAS_FSB && !fsb/ASrf);
+
+FTCPE FTCPE_ram/Once (ram/Once,ram/Once_T,CLK_FSB,1'b0,1'b0);
+assign ram/Once_T = ((ram/Once && nAS_FSB && !fsb/ASrf)
+	|| (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && 
+	!nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && 
+	!ram/RS_FSM_FFd3)
+	|| (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && 
+	!ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf)
+	|| (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && 
+	!cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && 
+	!ram/RS_FSM_FFd3)
+	|| (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && 
+	!cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && 
+	!ram/RS_FSM_FFd3 && fsb/ASrf));
+
+FDCPE FDCPE_ram/RAMDIS1 (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,1'b0,1'b0);
+assign ram/RAMDIS1_D = ((RA_1_OBUF.EXP)
+	|| (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && 
+	!ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7])
+	|| (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && 
+	!ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf)
+	|| (!cnt/RefDone && ram/Once && !ram/RS_FSM_FFd1 && 
+	!ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7])
+	|| (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && 
+	!ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && 
+	cnt/RefCnt[7])
+	|| (!cnt/RefDone && nAS_FSB && !ram/RS_FSM_FFd1 && 
+	!ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && 
+	!fsb/ASrf)
+	|| (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && !nAS_FSB && 
+	!ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr)
+	|| (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && 
+	!ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf)
+	|| (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && 
+	!nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr)
+	|| (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && 
+	!ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf)
+	|| (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && 
+	!nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr)
+	|| (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd3)
+	|| (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2)
+	|| (A_FSB[23] && !cnt/RefDone && !nAS_FSB && 
+	!ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr)
+	|| (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && 
+	!ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf)
+	|| (!cnt/RefDone && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && 
+	cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]));
+
+FTCPE FTCPE_ram/RAMDIS2 (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,1'b0,1'b0);
+assign ram/RAMDIS2_T = ((!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && 
+	ram/Once && !cs/nOverlay1 && !ram/RAMDIS2 && !nAS_FSB && 
+	!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && 
+	cnt/RefCnt[7])
+	|| (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && 
+	ram/Once && !cs/nOverlay1 && !ram/RAMDIS2 && !ram/RS_FSM_FFd2 && 
+	!ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && 
+	fsb/ASrf)
+	|| (ram/RAMDIS2 && nAS_FSB && !fsb/ASrf)
+	|| (!cnt/RefDone && ram/Once && !ram/RAMDIS2 && !nAS_FSB && 
+	ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && 
+	cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7])
+	|| (!cnt/RefDone && ram/Once && !ram/RAMDIS2 && 
+	ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && 
+	cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf)
+	|| (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && 
+	cs/nOverlay1 && !ram/RAMDIS2 && !nAS_FSB && !ram/RS_FSM_FFd2 && 
+	!ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7])
+	|| (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && 
+	cs/nOverlay1 && !ram/RAMDIS2 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && 
+	cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf));
+
+FDCPE FDCPE_ram/RAMReady (ram/RAMReady,ram/RAMReady_D,CLK_FSB,1'b0,1'b0);
+assign ram/RAMReady_D = ((ram/RS_FSM_FFd2)
+	|| (ram/RS_FSM_FFd3)
+	|| (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && 
+	!nAS_FSB && !ram/RS_FSM_FFd1)
+	|| (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && 
+	!ram/RS_FSM_FFd1 && fsb/ASrf)
+	|| (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && !nAS_FSB && 
+	!ram/RS_FSM_FFd1 && !ram/BACTr)
+	|| (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && 
+	!nAS_FSB && !ram/RS_FSM_FFd1 && !ram/BACTr)
+	|| (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && 
+	!nAS_FSB && !ram/RS_FSM_FFd1 && !ram/BACTr)
+	|| (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && 
+	!ram/RS_FSM_FFd1 && !ram/BACTr && fsb/ASrf)
+	|| (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && 
+	!ram/RS_FSM_FFd1 && !ram/BACTr && fsb/ASrf)
+	|| (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && 
+	!ram/RS_FSM_FFd1 && !ram/BACTr && fsb/ASrf)
+	|| (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && 
+	!cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1)
+	|| (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && 
+	!cs/nOverlay1 && !ram/RS_FSM_FFd1 && fsb/ASrf)
+	|| (!cnt/RefDone && cnt/RefCnt[5] && cnt/RefCnt[6] && 
+	cnt/RefCnt[7])
+	|| (A_FSB[23] && !cnt/RefDone && !nAS_FSB && 
+	!ram/RS_FSM_FFd1 && !ram/BACTr)
+	|| (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && 
+	!ram/BACTr && fsb/ASrf));
+
+FDCPE FDCPE_ram/RASEL (ram/RASEL,ram/RASEL_D,CLK_FSB,1'b0,1'b0);
+assign ram/RASEL_D = ((A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && 
+	!nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr)
+	|| (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && 
+	!ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf)
+	|| (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && 
+	!nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr)
+	|| (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && 
+	!ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf)
+	|| (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && 
+	!ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && cnt/RefCnt[5] && cnt/RefCnt[6] && 
+	cnt/RefCnt[7])
+	|| (EXP26_.EXP)
+	|| (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && 
+	!ram/RS_FSM_FFd2 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7])
+	|| (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && 
+	!nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2)
+	|| (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && 
+	!ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && fsb/ASrf)
+	|| (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && !nAS_FSB && 
+	!ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr)
+	|| (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && 
+	!ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf)
+	|| (!ram/RS_FSM_FFd2 && ram/RS_FSM_FFd3)
+	|| (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && 
+	!ram/RS_FSM_FFd3)
+	|| (A_FSB[23] && !cnt/RefDone && !nAS_FSB && 
+	!ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr)
+	|| (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && 
+	!ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf)
+	|| (!cnt/RefDone && nAS_FSB && !ram/RS_FSM_FFd2 && 
+	cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && !fsb/ASrf));
+
+FDCPE FDCPE_ram/RS_FSM_FFd1 (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,CLK_FSB,1'b0,1'b0);
+assign ram/RS_FSM_FFd1_D = ((!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && 
+	ram/Once && !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && 
+	!ram/RS_FSM_FFd2 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7])
+	|| (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && 
+	ram/Once && !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && 
+	cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf)
+	|| (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && 
+	cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && 
+	cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf)
+	|| (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2)
+	|| (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd3)
+	|| (!cnt/RefDone && !nAS_FSB && ram/RS_FSM_FFd1 && 
+	!ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7])
+	|| (!cnt/RefDone && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && 
+	cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf)
+	|| (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && 
+	cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && 
+	cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]));
+
+FDCPE FDCPE_ram/RS_FSM_FFd2 (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,CLK_FSB,1'b0,1'b0);
+assign ram/RS_FSM_FFd2_D = ((!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && 
+	!cnt/RefCnt[5] && ram/BACTr)
+	|| (!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && 
+	!cnt/RefCnt[6] && ram/BACTr)
+	|| (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && 
+	!cnt/RefCnt[5] && !fsb/ASrf)
+	|| (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && 
+	!cnt/RefCnt[7] && !fsb/ASrf)
+	|| (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && 
+	!cnt/RefCnt[6] && !fsb/ASrf)
+	|| (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && 
+	!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3)
+	|| (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && 
+	!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf)
+	|| (!A_FSB[23] && A_FSB[22] && A_FSB[21] && 
+	!cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3)
+	|| (!A_FSB[23] && A_FSB[22] && A_FSB[21] && 
+	!cs/nOverlay1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf)
+	|| (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2)
+	|| (cnt/RefDone && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3)
+	|| (!nAS_FSB && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3)
+	|| (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf)
+	|| (!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && 
+	!cnt/RefCnt[7] && ram/BACTr));
+
+FDCPE FDCPE_ram/RS_FSM_FFd3 (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_D,CLK_FSB,1'b0,1'b0);
+assign ram/RS_FSM_FFd3_D = ((!cnt/RefDone && !nAS_FSB && ram/RS_FSM_FFd1 && 
+	!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && 
+	cnt/RefCnt[7])
+	|| (!cnt/RefDone && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && 
+	!ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && 
+	fsb/ASrf)
+	|| (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && 
+	cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && 
+	cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7])
+	|| (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && 
+	cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && 
+	cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf)
+	|| (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && 
+	!cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && 
+	cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7])
+	|| (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && 
+	!cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && 
+	cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf)
+	|| (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2)
+	|| (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && 
+	!nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3)
+	|| (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && 
+	!ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf)
+	|| (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && 
+	!cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3)
+	|| (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && 
+	!cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf));
+
+FDCPE FDCPE_ram/RefRAS (ram/RefRAS,ram/RefRAS_D,CLK_FSB,1'b0,1'b0);
+assign ram/RefRAS_D = (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2);
+
+Register Legend:
+ FDCPE (Q,D,C,CLR,PRE,CE); 
+ FTCPE (Q,D,C,CLR,PRE,CE); 
+ LDCP  (Q,D,G,CLR,PRE); 
+
+******************************  Device Pin Out *****************************
+
+Device : XC95144XL-10-TQ100
+
+
+   --------------------------------------------------  
+  /100 98  96  94  92  90  88  86  84  82  80  78  76  \
+ |   99  97  95  93  91  89  87  85  83  81  79  77    |
+ | 1                                               75  | 
+ | 2                                               74  | 
+ | 3                                               73  | 
+ | 4                                               72  | 
+ | 5                                               71  | 
+ | 6                                               70  | 
+ | 7                                               69  | 
+ | 8                                               68  | 
+ | 9                                               67  | 
+ | 10                                              66  | 
+ | 11                                              65  | 
+ | 12                                              64  | 
+ | 13              XC95144XL-10-TQ100              63  | 
+ | 14                                              62  | 
+ | 15                                              61  | 
+ | 16                                              60  | 
+ | 17                                              59  | 
+ | 18                                              58  | 
+ | 19                                              57  | 
+ | 20                                              56  | 
+ | 21                                              55  | 
+ | 22                                              54  | 
+ | 23                                              53  | 
+ | 24                                              52  | 
+ | 25                                              51  | 
+ |   27  29  31  33  35  37  39  41  43  45  47  49    |
+  \26  28  30  32  34  36  38  40  42  44  46  48  50  /
+   --------------------------------------------------  
+
+
+Pin Signal                         Pin Signal                        
+No. Name                           No. Name                          
+  1 KPR                              51 VCC                           
+  2 A_FSB<5>                         52 RA<7>                         
+  3 A_FSB<6>                         53 RA<0>                         
+  4 A_FSB<7>                         54 RA<8>                         
+  5 VCC                              55 RA<10>                        
+  6 A_FSB<8>                         56 RA<9>                         
+  7 A_FSB<9>                         57 VCC                           
+  8 A_FSB<10>                        58 CLK25EN                       
+  9 A_FSB<11>                        59 CLK20EN                       
+ 10 A_FSB<12>                        60 SW<1>                         
+ 11 A_FSB<13>                        61 SW<0>                         
+ 12 A_FSB<14>                        62 GND                           
+ 13 A_FSB<15>                        63 RA<11>                        
+ 14 A_FSB<16>                        64 nRAS                          
+ 15 A_FSB<17>                        65 nRAMLWE                       
+ 16 A_FSB<18>                        66 nRAMUWE                       
+ 17 A_FSB<19>                        67 KPR                           
+ 18 A_FSB<20>                        68 KPR                           
+ 19 A_FSB<21>                        69 GND                           
+ 20 A_FSB<22>                        70 nBERR_FSB                     
+ 21 GND                              71 KPR                           
+ 22 CLK2X_IOB                        72 nBR_IOB                       
+ 23 CLK_IOB                          73 nBG_IOB                       
+ 24 A_FSB<23>                        74 nVMA_IOB                      
+ 25 E_IOB                            75 GND                           
+ 26 VCC                              76 nBERR_IOB                     
+ 27 CLK_FSB                          77 nVPA_IOB                      
+ 28 nDTACK_FSB                       78 nDTACK_IOB                    
+ 29 nWE_FSB                          79 nLDS_IOB                      
+ 30 nLDS_FSB                         80 nUDS_IOB                      
+ 31 GND                              81 nAS_IOB                       
+ 32 nAS_FSB                          82 nADoutLE1                     
+ 33 nUDS_FSB                         83 TDO                           
+ 34 nROMWE                           84 GND                           
+ 35 nROMCS                           85 nADoutLE0                     
+ 36 nCAS                             86 nDinLE                        
+ 37 nOE                              87 nAoutOE                       
+ 38 VCC                              88 VCC                           
+ 39 KPR                              89 nDoutOE                       
+ 40 RA<4>                            90 nDinOE                        
+ 41 RA<3>                            91 nRES                          
+ 42 RA<5>                            92 nIPL2                         
+ 43 RA<2>                            93 nVPA_FSB                      
+ 44 GND                              94 A_FSB<1>                      
+ 45 TDI                              95 A_FSB<2>                      
+ 46 RA<6>                            96 A_FSB<3>                      
+ 47 TMS                              97 A_FSB<4>                      
+ 48 TCK                              98 VCC                           
+ 49 KPR                              99 KPR                           
+ 50 RA<1>                           100 GND                           
+
+
+Legend :  NC  = Not Connected, unbonded pin
+         PGND = Unused I/O configured as additional Ground pin
+         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
+         KPR  = Unused I/O with weak keeper (leave unconnected)
+         VCC  = Dedicated Power Pin
+         GND  = Dedicated Ground Pin
+         TDI  = Test Data In, JTAG pin
+         TDO  = Test Data Out, JTAG pin
+         TCK  = Test Clock, JTAG pin
+         TMS  = Test Mode Select, JTAG pin
+  PROHIBITED  = User reserved pin
+****************************  Compiler Options  ****************************
+
+Following is a list of all global compiler options used by the fitter run.
+
+Device(s) Specified                         : xc95144xl-10-TQ100
+Optimization Method                         : SPEED
+Multi-Level Logic Optimization              : ON
+Ignore Timing Specifications                : OFF
+Default Register Power Up Value             : LOW
+Keep User Location Constraints              : ON
+What-You-See-Is-What-You-Get                : OFF
+Exhaustive Fitting                          : OFF
+Keep Unused Inputs                          : OFF
+Slew Rate                                   : FAST
+Power Mode                                  : STD
+Ground on Unused IOs                        : OFF
+Set I/O Pin Termination                     : KEEPER
+Global Clock Optimization                   : ON
+Global Set/Reset Optimization               : ON
+Global Ouput Enable Optimization            : ON
+Input Limit                                 : 54
+Pterm Limit                                 : 50
+
+
+ + +
+ diff --git a/cpld/XC95144XL/MXSE_html/fit/asciidoc.htm b/cpld/XC95144XL/WarpSE_html/fit/asciidoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/asciidoc.htm rename to cpld/XC95144XL/WarpSE_html/fit/asciidoc.htm diff --git a/cpld/XC95144XL/WarpSE_html/fit/backtop.jpg b/cpld/XC95144XL/WarpSE_html/fit/backtop.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c53782595cd8d780d63aaf797d83054476260daa GIT binary patch literal 1651 zcmbu3c{tPw7{`AzLng;E7{|Ctn~ZB?BQ`A|3^`&biOLZwBe^oE9kCdU8F!A{C1Z`d zNODK6#>^OOu5qUtH7V9uZBI}2SAXpHd7tNf-|zcz^+6;oxek|Du`^_LOZXwvYzkA1ZE<%P!;LU3jxo{^Vc zPj$aZcXb&vgpP6cUM=mjDjN6r-ZEb7moA|l9$0D5IV*ElNKYdk|Ip0asprsSVpIL=I*m2lT%g}Y&dfro z%XCfFOK&Yi3QORc7;(w!rr+;Fogc-2D{5Bb=JPB$8E&2lFTnmxdggOqlCEe-x zIRE4+x?Sw0=F6CrR&VJ2aYe+#Z5Y+Ciu&{Cvz_+QjuxMLnp-@6@AOGS?q+vdoF8~ zSPv4r4WubjREPGU@`gcctb=^F?Zjga=RO0=`A0y4YkBXD0Dx#Mrd zVY5^9Z(diLm)_{@!02>}Ww!ZBlO+Ixy_XTR>p}hyeYmqe1nX?H!q6Ki9P6M-swVDF zrL%q2{Tg{DYRm{s)hnS>LHB&h&ML^L5bM^Y3y%e>u52jFLWKXIw;(TKmwL&xrR$59 zv3KI>P&fUL?YH_Rv9W!323|hN*s{YNJyVoL)a~5rvdFA>x!PY&^lus`;8%M@W*i`D zF|0?()wV<#EqJmGXShFZy@_R5TI58u2^f-j$xzA9oRHVEIH#mY?JN?ER#rb*Ubfda zIAb0Y$CphB#3$K~eb3!nkbxF2;053;pfe?o#aFcvCHY+!OF@05qhF^bfK9+xBRwF?< z|EB)2TpLTCy!$LtaLSra$SHx1;_4n(@V++YBm(cRj<=FS=~tgeY(1~A8gx%dL)9)H z2o_L`?Ra{(Sd!PM-zQnEib*B3s#v$Wxl=>Tk9=q?w>S_>$Pt}KWD-UFiw33N&et-E zx0cgrBe$d05kYQi2GnZ|6!k-GeP3fSr{a)88)iysT{I_h8<5|nJ^ts7lNrx??p2V% z3I7C|T6tfBtfBQ;m02P0XGh%`?|QXInR3P6fq8g8OhW`Wk;x8E&DJX0SU-KmkW%Nh z)WXA}`HhAfJ0vI(6ue&O$<)7O}4b?g_!O&cmV zz`lsv;V3KI@Xe;4U0y!o`79rgb&QVO1%%T@Ie?Y)CFi{@Tl@zpna v>{03aS7);gF?^Z^dy<;rQ4=qsa`Oxpl3Zr<)I10EBl6wDo5S81Z!`Y|n#^o0M!5h|NsB+@bJpY%KiQQ{{H^_{QUjxm;LUT`}_Ov@9+Bh z`pL=3;^N}!>gxUNnAFtNv$M0=+1dQ=mi+CP#J!vL_V&WUxX8ht$i%C`!MDf9zwPbn z(9qD=*Vo9$!rju8&c&1M?d{0LxY5zgxwon4=;-+P`1kkszrM1|%fh?5xA5@owzjs; z$Dr}?@xQ;c;o;rh-r4l@^x@T#@8Xufxs=+_nBd^x^XHY-)y~7blK=n!0000000000 z00000A^8LV00000EC2ui00{sc000JkK!af-!POv-hGQtvu_6h@(g1=)TnNI!Fe5z@ zS-_UEXebB(@ke#54ASBYCs9DBX(-0Yp0+JQ2ykgF9Ws1r7z`$dD$0W diff --git a/cpld/XC95144XL/WarpSE_html/fit/briefview.jpg b/cpld/XC95144XL/WarpSE_html/fit/briefview.jpg new file mode 100644 index 0000000000000000000000000000000000000000..30069539ceb57d01838d5a0bd53e105ca9e41fb3 GIT binary patch literal 1254 zcmex=s9iIEYA7@1%I!D0d#f@A`UGMI9L z^#214f*cH@47SXSN(@YbjLd?J|Bo<8LLJ9|09>3LZ0tZOQvn7BHYNrZ7G_pXMzAy^ z6Eh1d8#@OBr=XCCRQNP5;cKE|;(=unl8Wkv=9Z3|w*s|^0R4^zK%#<}qPG}$m>Gdi zVHRYtXSj0Caaa6WY3|ehacghJ3trK=wyb22wkVhm}Mp+l9tnsp$Vm{9T9OK#r_?UiV z*dHxp`l}rApW&Fkh~RJ;HpMZ-RUrT$U6rv#s@4qm5|B>zJ#rCeEsQSR>TDaQ7XWS_p= zYJGH4$+E-ie%=4=a^293?b&R%-JAb-ADQv~U7YL9+_=@rwt1WXGnnXaXrKPUGIg?N z--}1PKkP5wJ@-dJJYs+x5xrc+}!)OFyZJ#Z@b& zr_tN; z&K_E=cH_R!>Y_^*x?7XB=XGBH5u)_w!^AL)Eo-iDa>dTv6Z0fn{Nvg5mDi;nb7r;N zGJG{vb@7wOH@uGNEm~onbM=#!z-0E_dnT0Mwm49{ylR{9ob!^&NoJzgLNC9zIhJ%a zEJSlx$h%`%Oa579hIZ|8*t#>!=&f+vs%qX4o3-;k#;tbR_VNnu)dWVn4V&`(T^@+Q_bDo=j&i=GT zDWBSZhPi3`AMUL_716f1!|S* zA6>SX#NT25`)zx@d&K^i5f47P5^yDy#czQXobcsn2CbHRK4-kSG5RDW5| zZ_)RqD$?(J^Zlh21rOv3WnX;RH1|FGey`Ug?i&CA literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/check.htm b/cpld/XC95144XL/WarpSE_html/fit/check.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/check.htm rename to cpld/XC95144XL/WarpSE_html/fit/check.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/checkNS4.htm b/cpld/XC95144XL/WarpSE_html/fit/checkNS4.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/checkNS4.htm rename to cpld/XC95144XL/WarpSE_html/fit/checkNS4.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/contact.gif b/cpld/XC95144XL/WarpSE_html/fit/contact.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/contact.gif rename to cpld/XC95144XL/WarpSE_html/fit/contact.gif diff --git a/cpld/XC95144XL/WarpSE_html/fit/coolrunnerII_logo.jpg b/cpld/XC95144XL/WarpSE_html/fit/coolrunnerII_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1b57ddc26165593562ab7d5d7c873cd7133ddac7 GIT binary patch literal 9562 zcma)i1yoc~)b1U+rMpY%E&-*xyF);7s38R;L+H4exu^Et_uJp!mA~HrQY|%2H2{PG0M6(O_Lu^ydC&%1)eZT-38-#*p&X zfq8M20Es{;Nm^<2cYx6E!NSDBLHGJkKp140Y$D`XiiQ-}j(+T->6?`2(ars0IHj{n zM*bWzR2eE}-n9I*X{}lQQIA#5W~i+QTaw2H zr|@cfgaY8?iviUJv+5>-L;T7%N0IV%$*F*?QwAkcB4Vg+dcylVIw0FN+G3vw+` z28|Kn@_@WGo+TI!^9-?4?{ZKYIZ^M#@mB#^vBadJHF=auK$ZfP3LvgP9uH_J^sxzJ z#e!680BwUP@&zLD1%RuDma8_}$^hG4VS-qPkVqAfY!)GL4mZ{)$Zu-GE>mgcDz$hc z&P%!kGsS1@gW~5C5vn9Otx(tG$Va;+Fb~y{UPra7#L+|9h&{~`$Yn}B(&EGg$T$GA zSW*)Zwh}uKkHLx;^gT!xsIobHuHwITiP%zKqW_5U`v@ufQ+B3 zjEpMUjf@lvJuybg0vZ@b4n{emi8;brIY3q%z7dcWg^`9~l4PkF#ic>$ul#QYYH8s- zAeD+?o^iF;9o!i2()!TtN)D#vWF|T;hJYa+2CJ$efRCS)r9tp-Qu@eAu}Pz2lmG&5 zY|=DL6{0dyU{)j}9%H~5SRKT3vdDYjMD;oSgwiBdzLy|@aY#cs4~hq&VtepxmVBhy zBY|1&&u?`Uu@zK6|1pODs=_5R{RP$c zYR?*!cx^<%dwGv!^17|BWneUH>pAlSLEWf0Guq*}4^?@Dd&>9DzyJ?W*ry$=Yq4b@%@Cg8TH}eN9S%f{zj4{jt1+&toiAute41TZQbY5^?(qcVz1n-&|u%mUz?BItd;R+?m-;lML)@wu8)#DyDU zEv;WJ10bfLvms?qCdKwupfsk_Akzf6MA89e6+H61ex7JmR^Yuu0XOiTfD6EXM5lq# zZ6I7wi|45XK&)z%SE$(4V0CG6u)0{8S1}cAQ)aOu-4vwPd;7_uuwK>;BJGmi9hGeVC3U)-gG~Q%=gT zOd)3}`^3oBI^lIURLp9sw)8jC zQS$fl*X~Na*;`Gnofj5jN9(1C^RSP&Ow*i_+-gfB61l)}FzBAY&A|OnhBRsK3skLj z5oh@H-XwH9Lx!=@saZyTgj$cMr(NPy=wpjRY1kWjmCZ}sJ3BiTlH;(Ya=nMTz1z|Q z+C%5AwU(BvD^^2JE))*!(J|7F4s%Owz5vishpd+y8yj2P3sFa5x^y(PTArSeXk9MR zzFQIEh;gT5(1RL`Tg+GQeeW#4G_P;*%dIRg-i3(4S%Mni)FT7ds24@E9evG-8x-pO z5-P#^jgoPh2Y%;l8~DmTRX*nJ9FV%c9eC*!O5nI9=!CBD&}w*9@=_Th^gV$k=tX0Q z;1;7Mz)~Hvy7&w!@ihlUj7#59r5%wRlwEum|FH{?-Gxc z-tv{3lPhbmP2190fWPSY?qnFgXMu_yYm9UZ4O8WjTE^(FRx-p5;jKtX&r73=Wxrik z=U_oZ*7#C!veg$t7-%GoZ!U{tQR^L%@e6&GyJFeQCqdH@B}EN!A%4LA0wG zBSM?nYEEGS90H&$8WX*#MbofZRaptx{#nd;1q>wzeEet?P9QBhO3MMi4j_-tisHd! z#eYwW@r?F!-Ww5dwv)29f37eNCPL!FT8rnTRTnR8ti(9NtK^hthITHmJ@PqL%$m9K zMY6js`NOnUIaA!N%G6+Pv9xCYiq$iG17`30Gtnz4NGvi>wtFyVXmd_!Ph46ppa(@F zF>go3_wm4;ibqqe%GSm$eF^n$!&nM?utQE_nC^U(j?rAuGbyS~);b`>uWYZ!TR249 z&<}hrX^WDYXhv1dOOyZ>|EkSBn~$( zHE*!@HU`1B@3I#wT1G6mQkPb2OV5CxrU4Pytd%^R`SR$_b78XeIJ;|lNaJVT{6h3x z3(gQ``kzmNo@#EYN?q%bE}MK-L)ku1u;H%w??wVk0h4diuVb@vx2%(4@-AKh`@{Va zj{bZGll|EEx)7!K*1~EzW=`v=*~Z7U(^WGl;-zZK7-6c$_vm;U`PE}B0>OMRcPVr( zmM@``f^ZG`v1DcpE;5CP*wM>wk(niA6?TYm}pmdrr0Kx^U}2^-map43JKGQYKEtDaLU3tsd}}_XdvS)nmKMNK{Ytuj`U!f(h_% zqg!9byHT0QJ2A&!llK)nk;fn5r1!1Jus45!K?uutsi#La2(R1R{0}Wp96m)Jpho@z zIq<)LLyzXmmP=u~`KK=(MCB3F-=yw0m>xCIVeLd8fR}aXERKw4W%=x%8WoC}vws`m zQaAqCzGf!d*k#)@vpw@YY=ZyQ1b%Ju{i+|Q_s{0O*W=$dJ>0KUt1UTnZ*?pk2QJK; zd-Icx)K*q`?Y(f|G8;-#mw&d}P^c{g!QlZ*>yLZtGq|MhGbhp+cm8JQZK#d6=7Njg zp7M&7dhWzG#La95;>fns;QJgxSCI$6<`zv%!&+no7Fn?tHHHc*`fyD(2m{0CXyG1L zMk0D7M#BQD-3v*EbvoFcwM3R>I@pgBh%l6Jh?TGm*#J`|piBwJ=ot^|qpZI23x%;E zz;JOd3hU@f2lTjm9?)wPkHTQtBNf*590Krl2p-XyDo~jaCG(ULyX)YHSFs{Z`oef? z(xG)|w`me911qawORhtocT+ZzNo*@6#^LNbmF5#djSTtsvtH>Oc?5Ip&y{)M3H)Jb z9}2Z%SHmtNRkR{i6qe}9<+@SZ7;_$(0)zRJ{(PISzJPuhFqymH){DPn9uOVJzoIKV z&MVD|hnADef8c!FG8&;0a%fi#0oM$15Heb3c3;U5Ppx&^Ee$zWy`}qIHSM+cnGsKP z`p!#E!=bugpaXJ%sJ+OobujuG+E*9jjkn1cq zu{D6vAyX>qM*ZD8MSh7zCZU$3{PpujWkqs2LZZu#bTQUnFLGt?tC@YI60T^Ju7Qf! zL~qhBlUwUQQ4V`XVimj|F_fCJb!kab&EkUDu)(N*6)QpfXf@}Z#M7bAVi}E?mTh1- z%X-J%{+r&2>|y!jfKw;Tr1jt*T;AoEhre=i*Cf=|vOOM|CBJW;PGx8n?W&Xg^740Y zm$!UnwRYK(>R_uUbJ-!lM)AYept_RxUZE*Dq=*?cu_qGIvnq{f+^5rt>p~_BHXKtU zURdp$wSDM&nYiEVE!rccLybKKqhzLZzIo_Z68+NXnjieSHsz$>_nHE=>E#ntcP^Oz zN6TFN({vp#e3Z(j#?7y*T*N1S-FPIUE21zqsGUjk-HpVYo{o>_SVJkr1FG(mp>bN? zi=X5b2b0h~|B&Q2@K#)*beVTu6(Kl#y2Mc)kbsu%pRK7wx=_)sN3-s!QCf98%M|Qh zOS>~?gyD*XY>~vTTiFqR?-0uYh1FCG(hHov#*_5l3ELTW5)Whq^<&lC6Er6-9bTClu3A;H#n2TCtm! z9U^jF_f$lvWO0uz*`r@;#LB5uV;vu{9}@Gv`&pnQm|gp^wdYjtv2tW54yPk(E5~}o z>aks`G6&>UA+$~0m&!s*(j`{HZv>OI4|pJ^>H%MF?G*J-_Yg%lHTSy;9rms0{5AoI4P z+7CPbwS zi}}whlrtyvr*7Z2Z;T<96J}lETD}YC;ScmjzJA#`DIIm%0}oXWU~cBeK_OcEe(tly z0b5%KS90~8zWX;-K6J=#6DiUad->MAmS<9UPVGrYhY1?99}b~%-&3g$z-^xdeV*V@ z)c5U%Eh9bOSq_})=DPB^4hMic&5|HHo{pvM*`@C@oCHeceuWBL!;eh`Ij7~4?T%pU z3==^o$y3z0tT=C#)92lvybUeyl6SZ&pPNV}%0?=we5Wh2CC4D%o(_soUIcq_lZU;Z}x3C6RBR)JY9zIc* zzm^*!Da9Op-gHx>Cr8gS*buV%d47@cSI({-Zu+)l!#?a}`rF95V`FJg;X|x+t1++` zcNnf(qqDc0pAY6B_A+03uyNh!)WeFK$&K0e^)Lb=sy{Aq*0gj+Uu}%qB@aSykDT6| z3q6qv(5ugHHC3M}YCwindj-ofi>};`qC|W~@gE+H9|x7VixIAz&&{zYYC*pB5D$%x zBg>~t5|Su%zE35?8gF{T#MYSBojA!xSyK9)yjLs^P&L+^smY&u+YxY-(0SQTkDqm7 zto>W@#QJ)f;#H>`8n3R(PhR7*`CzLX@}8VG4MRy83;lnl0MhsuG44fy#sPG^2v7T(_c^peEUM>BaH6fd zVD3@lB^k0vie||GU!a_$xH`8f{eqY+0=p5JyGyxSC9qRCxSzAj9Y7=72x3Ocwr@ZJ zs@ z3)7t4Zdvxt3QeUDGPt??t!GRQx2J#dbtGi-`efyxaWW&~P*woS1UzsL&zjq3Ql3BB zqsfJ#TD$kFe^c4&RtBmSbC17JwYnsndgpfFmOpHFqwHm|)6gtxt4 zdCd3h)^@73Vz#nt+>(yQ+~CWn+l1r!&{ zh6w3s|CYky{PyrG%JUd!)BaNC36$YDdHLyLvsxk9 zGoDWVJ6zI@IuIAxgvY|q$Dcu4a#pMht47j$NF;N1r1QlwY?VMh1R+^gD{CG2x;^i}`6cppGRiEYLROsUjt7BSmm)Q368Ku)K_hGF!b9-%5h|+~yQ1_&(kx za-6qPv8je!l`~SfjFFz+CCsu|0@RNVCoA|BIg)`bIdTI>;|DyEDg8)>$*DeAI|;EU zLOQW4tz0?r0O@sVR~*kfYJ@1Oo6LVUTk*;afh)1$a6!nBv&KYcn5~-D`slrMDKK0| zx)eJolckFnCS9*Y|G5^=0Y4rR%Bw`bsG)3Ci=X;zjPkK{?Ayb6+;pzCicgQ!I*kmnA;MQu$@-)zHhR2j#+j zel?}DkcjHuUj#-3o}G#vs;8$1B6X9)IG!U0RqU2TxpR8WUU1M`JQ`{91?dC_o9TG3 zh0@S~gTy6Uzo@^7RrI%bjy*?1L09i~dAX_elTvA2>x-wyYa#S^?8ujBzWn@^1E zMmn1Yo|hdvlpEJ)H@JFoNw1JS1&61ndJ;XJdrxHH3D0rVv=qbi8>52K$MhF%%1lQe zyLnj)VJ7!GH|1HYttzG`s*U&UBWQZXXoHU#cZ#?C3$lvbxrvE?`r!a z_oXB3GC0vIC*yruZL12c48<<&TFvMFq9&5IvASJO zx}q%88GWv{YFL&scb4V1-|stvSc99>6uX-Cex(b0^$H(;!?m#d2E3{p%m>p^ot*8M zerlF>eb3s#v2kO!!g+sXd5shq)@?+rsW&xGw@BZh!k(|@zt|HBmZB3sSkS90(fSfB za2q&Rzvn2>zIGGbZ z3ygb1M`T7b?Rknq@-L)MRuyCf`o6!gjWk908-YzDI_TV9KT0kp^l`l2tv@+mg0{t| z=d&;{-e6n;P&=DYtoJ` zKqZ8($I4ykY5LUH`@FDii)jV$ zw=9qC#GK^CIFL(YuaS#!(0afbmBp-yhCJHfV;ZEjPB>Bi_`>Y}FnTRz9u2?%pyCJd zTJ${v7~f-eu)Ul9m9bg);x4Bm!MQ#E14ofI-sZ)n?K({Ll&xMs`+|KR_HNnJ_h(`8 z>WWNddPhTt46X@*))zaL#l}p`&^z&SyONhjcg`i*akAdD>u!}9V-G8tMm5M6Fg&yOR7!mJ&@i-HY;=leoIt#9^#+H{M{uUuT?kyP zG>#*`Hk#C&{S-_?<5XC(p!pXt;rt7bpVhhBJ*;&Ws1zPmIJXz_N9t8ux#oL?Ifi!M zBnkbt1nYl)dnjAhFyg(8Ei}3Z`<+42Jfhqwnz$4 zty2ViA?i#@S+3WAP|#-Yv=Mo{vHPR5NQ-waYjmr1Vg9g^p8iH;v%% z;5l2Gn9t!^%@-2sh&c)+x_bTknZy&6b+UZtvEkeKDOdB6B5AT05}nO_fzQ?>*WPik zga-Ra47T7*`G`1ed2;wwaL0<7m6(@M-kNRedv|^xI^1im-=2Pl@!d1<8S~GH=l%>0 zjC`Eq>WL{dPb`|+XpAQ8Tn6EvH<%+`v#yJJ5MZX8`6C%a+2Vzdd1sm(hr`7<&ynNa zdDqh~N2Jb>D9ma0`(Ks0dWmXJqGxKkE^=O3oi{$wX5J+3Zu}Co##{w^v`xPli6i?W z%f;$7($i*YLv#kRD<+jYY_Z>-xa3Y)=1C`(4_!?V3>8^6JQp{-jrk+6mK|@MWaPe_ zVtSjyfmCg)_%iQJ@jn)(n<@8bWa{NZ-N{r@B>Z-G-tF-`gS*2mvAH}$g7)OlbQtV~ z@~aT~xq6grc2U;N$CIqZ89hQl%E50izC>CsWyhqksb~~&H!|>yCX)`cB80v?Z`@kM zGbB~7Ks1Sa*sWX}I7#=E&Vnh`AAXprLR3@FgHD1D><)(^OG|t+PhMhc%GmnVYrP6;*qdD03f2?6 z-qOih!N+StyvrR$>1L#l{$5;VVqxLEi$9gsHg|<*nWgmb<`T91{6<~1RXL(r9&TMW zY>cb6H>!$%{W0_hFC<@-;1+LlzF0ng00v_vMV`rnARgOW)NVm-oBq*@dej z;tB)mlcqAu-&a5#QaMBvFv}GsU^MBA}helOKhX| zn#7G3j7D!UqUe{o*-rf1H1;}-NS8Vc-U!zzF)q3()91Zuw$XITgDEjDma#&pn<+$T z;Rzh8FqIUKMRJjP6}D(J(ql!c6>IgsB}{Myo`NL>rUv20o8YhFGia3HmAU-Baq3fY zbEWllt%CT&k#q*6ZsONmLa(PPe}UHzI}ks!%F>puZ(Le;2au_=2B%{eJeq}GFKKAr zIwpQvZyJuw1P9!2TEY$dy~c$VLWc)_v+=Ul^Q|H=7}*?rca&94zHg7d*q!uf(fH3S zCECF?qjDDTJgL~k*xqHAS*B6XawT~jCJ=d=U9iP1mT|?xlmraOF#csVIk7oKDWl3N zlPdBkO^C=d(QW|!Gem&K&;iOgkY*IN2Cx(7=*<5m46`&cm2;c-@hkOrw%5heTU!z2 zYe?ie{kkGptUS^FDv9yIroG~WwO|ud6Bn*bPfAEn=VO_m*I8jf@*U1IK28jT42@*KT)ikXlaN3V_RQxR==@ml zTeh&?-SsIn;=^<-f<$puCfc4VDD2OshvXU?7b8sVV_qd(cj?1A3fi2Cl$=v`qJE?I z?Qq9$;U;~;xR=V#)%R2?7-Cd7!POtiVAb}%Nvy@J_bYAW{F^SuNfe?hI9 zA>>atVd>#*R>1(>upRmXU1z_r4$zjl+Fu|{U~$>sq`u0Imcn~ArcAg6>CISqSF|%7 zB56DVp^m|AcnCv56*tYGK}F+10@GyLcj!+1`4=(JLwO&_^bk6vA8)%6pwHleKthH1e>6|Wmd;BIm-xRY@t*I% z-Q?PKDJ(<_U(GPbL#rm3zgB#F3S8~nU>(ZXqUgJNSd5#u0}f#l@*yoA=^P(qnfN+DKja#~*O%6X4Lh}##zNToqjT#pDiv7{&ohB_ zZ1);c_Y0eA?}Ln7_KLb>+m!V;EOEDG7~8brsn|O-X6iJuY0O+fr(sEF_QzTUO%wb? zo9=3lbvz@W*j2Qf+k)ZrUs=7f#6@L|qvjYsRn>d;tnS<}g;SnS43J2WH)RE!3xAMw z>1kIYDnjmefM!HeK2>6yM0^tZ~pP>aSNCPE6*3=K=!*#9L5bT9CtA z2V=pm+Dhy_u&_;tGf9&B5qzo>A*97LEGZjKJ}cEoJD&Q${6QSI-hB2*LEoW>tl7ka zy@s8dd7nsrR$!Ql{Y3w=70FNV~A%qx2F}3!=laP47b0vuK4V?cQnXk`_C1W zi(cfMHH|1b{Hj8bVk}Mh-d9wZlo!GCZv{;^UXP!ctubMWXyxCRjN9v_`Zr~@cWhCw@?V*pzbv1_%i9d8kuPEt$D zU7JK<0#d6bqOj-eYj~moL}<`C897)i?nJRLg=eCPDKN7H=1<|-Y$8*FmnLlQyT%OS zguBL!NcTK0O=2hGk9W|iCAREKt1^pgQ?~@hRj45c(zK3r&(tHqsnE2J6XF3=qD3<_ V{&^w;EGoSJCs751^}*jS{|&2suLS@A literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/WarpSE_html/fit/coolrunner_logo.jpg b/cpld/XC95144XL/WarpSE_html/fit/coolrunner_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..01e20a5eb6a4a06820e17cfe8ad1044bf5a740a2 GIT binary patch literal 11278 zcma)iWmFwaw`Su`fP~;~L4yR>KoZ>D9fG?%L4vzm2=01t4esH)bP{RM!72LLnJ2D}^rxS!liZM*w)#ay(|ME01DDS1lyyaqadT8W1^v;pkZQTU|?ckVB=!`OSsrLxVX4D z*!cJa1o-$A{}9dpCOA}7RBSA456%+;82!%xY$fmr|BT?kCcwkNBO)LnBBJ0R z!1@8$04@?T6&{E3Ym|@FZ;YKd#qsl1XlR2>-l8Vd^*VDk($%Y)x+E1e^nLi`8p8eA zjhBaz5B47a-UbN;5%$!72o4?>fr$9MQx;Oc~>*6Xj$kQfV_|C!S zpXgqe089kfGjS1c0a4((vSz~C$Gowas*))_BYq0$YpNNy9iG-)b$a|Dw*wxboes5@ ztQs{04u_f&HIQ0N1zEfhok?p{I_R@lFC&0N&lwpH6ax4(h=stLbU-bzVI#iBSpsfU zOgEA6r&?X4lN3^`)WDncfmW(0#y~nMgb>V1dL*1cdK@HU02>GK7l4Pujw%O71t?ns zc(as)qnDSjn58i7)O6-j|G8!tt zp8iH$3>$~@yBI>qpd2LzmK=f&4poeak#Mx8xMZ!d3eL?VJ|2H$d^m>=&JL3}`M^)Q zI1>mSf5j)NBc1+K;i#|iLmya`!auO$gneMer2%xPkn!^o@u}XX|FZxbM6oxw^*jR7 zq{M^4dK-=a1)i$RPdhpdW9ufnWwFC}_Q+T#J1fQLN(V)ySKp)`MJ?5fmc7ZyedTNo zt~H9b*O!+pSz?C&Z*d}gAAOuFY0{N3A^uf{mg4+m=VrMCqA`u+N$WhJl?@ACo}U^oxd%#x_5JCTJ??WG0%Z5L)(^Kvs-=}O#>E`5j-7v=!Lcx*w; zLtGQoM!&Q5ho7*Z{*qmj3)e8wNlN@Z3tLS1IgbCDqZ8NCARf3#o3#0+?i-AI_%rbO zJpB5IG-8}z!c$(i5ZQO+O}FOnpa}LyNmHN43L|Xryefw1l|FR82Ho7|9RKYzZ+fEG zzaPGsTX9QbD5HE#7}{%Sr%1nb)i$WFTGwfa^8m$Ub8G0YMnKIhiIifgFHjihjHgZnWK5}na8w*R zj$l>zNHI9EUOqWEu{SaRo)Tv~lCKhbW*MrDIN+jFDzSi1{RLDW9|kH9;s5rtkZM9B zgroB{&JA+}iwpW3wds<^_k?lScVfojfTwj+rOe`@QJN5=LxqX{JNTa#A0_wiuY#aW zzd_YToDPNd>CaUQY|f#HSTyxLt9~r&>{({`vu&?N*bSsOZ6*gT) zUvY0RD-Jid-*wu39>V92$&T5ZSqKs*QB|>lE-)5q(XHQX({pNLhf4b8xS39;r=ppRD z_d$OnWuXwHC&xTG!>!$(NwIL6$-9Y7G>Cq$8QGhAIAAnY;TcXdeep!9{S7`C@{!(74Y@R4xCw*sg!7hYL$W`YBhp_`HYQ@ZFB?xKo4RE$u-;B zI=B>~dFTOeEoqls9Al4eYniwhwUWM;8eZ~g&rhx^X+HO@9)5xx9A%rYf8A}>8$Xde zfmDC)y@K3zDZblUQ;zIlcol5>{a93l6^miZ=?>>KSZR4b3$pzkdQi*H_SM5zHuEf3 z5P9dS%G8=?D{Q=bT^pN8${WtWz+7-)qaJJwLt?Oh$OY%FTNq&@lbs& zBo>h5(l(I0O5TP3q2^y#7h-7nS-Q;SkUSH3QB^vX2Hp1KZD$)1x1(y8`s=T;$zJ-=5Un zW~&eXv4=6-krmO9a-hg7y=LkvodN?!zwd>IZ2dm)moY)Kg+O9FyjnC~AW#O#Bm^n} z{9-U2^>!I_bA)FMh6#RENvtPhZ93Va& zUff6-4WOko!b^vD4n+K6PKhoy4F44wNDuo!Pc<%&>cd`zYJ*-#RV62pwT4fHX{D}= zjQ&+3eOpS-1s#GnR9mG=>w`W=+d$9R97PvrrTZyif>}*!t_tTH`fphKM{S)Qs3Isb zux@f_ahok^+WuIJJrDGa>V9>sk74CjzHdp|78=UR=2X@cE433--+$A^8(nuy+4$`o zk%ia>*{wLUeE7@Ylbt@%4FNe#jecyRBn5r+3lPdTFfxsmOHrN_?_$?9*(v!XM8dDj zHaap%T|FKquD=~Oc5X1fi@_oPtk*szyx`*S&>+}t+tT4(T5V5?#iee4t1h$`?hNVkW?oFNsiESxT~! z=4rrxs@_C-0o=SpTodE{$zxs@yV(VN_|I3!Kg-(i1u-@|U;i9-o zTjRQ%&4Sl^oT{oiRlCkqMs5F{HVUw|aZa%SRbMxl;+66Xz;Q`vK&>!0cU<-YB$zy? z7Ksp%7g+CXtPKAp$EZtxbcs8)JAbmIy!tVJejsqNq|7NnS0PeOW1I&{0JUJBh<;CO z<88NM=4FFgjr6kM<1rlN>&%!Rp#6yJkdnYP+&y~XqaitO!)Bq%#Ndm=77^Eg_=h5*&^ph6oO`m0aSF|lcD9^gV9_EcKf z^i|88sgZb4-PYFC?}EPyxF)Nj0G#s_QXHT|x_$liC)q%`(J7 zmS4_wul_U~4A$T=jI%E_erm|sb>k-RoEz*qo-jw5PdX^{P#Er$cDX!b9ddlolnVRwj@KoDTzHQvR~QDB9MjW+m(F+d|RGHk;ZY6G>jEtp8G?8yk_daRdk#5 z0yN#_{k;~QM<26$X7q6+eH`Wwc+SXwb`hP;j(hSr?ib}h?pl8V?qWAz00hhD(6O+o z43Eq)njI{L7eLZk^jW9-N&K4b*&J%rQ5+!j+t#n;&mR8^Ku(^lL4>#!bip%Uj9KZ< zaiK82JGG-~VZEhCxK(=Kaovhfu6Z)w-2UYCY_QGxZ47NB<^_=FOXT&dbfj`_;nT_V zowk~jnhEfV)Ny=LUs#P9a!qLBiB6kC=$!P3k9nrp#V=8G!0*vi6nj3MziN7FEkQ2k zz`UkQWzF^)i%Il}t`?yc4lVTZrwHC${UWnj=Dsg6BeTx^Z&WkNB=$Nv5Ft76bqdW} z=Olm(=FKl&N|~&z>=c@0lNLqmws*`%E04+{wKHOB|B^!zM8kv^N5&RMRHgzn#DSmU z$SNj`l<$&z3T}Rw9R$9+_4)xP{KqPgp?!iK@z$#lzA zl{~7Aj1fl>2Qsu6VKL=XjJ-M)wl&Ogn}jJsdsUpl;4-vWBPKA;&W9=$x;P`9PYK5; z7-kWqJsJtGIf+s_q)nGVpJJ?GF%K&a%!T`AT}U(a1YK3Eo}GuxdYQGOBv4uPUTJZz zKhXwu8l+jt*eeEYUS}m~%+mbrl1x3bCm9j$N!|HXbmt}@quN$M=S!i=no%%R7Ir&G zJh)4AGCJ=vyHm}Lu1uPx5NfroukMU znY68peT%*GYMsC=k9@V&&CY`f_nM8Be_CB&hBv5TnYKK)reViKT_8vQqpEsMNDr|@ zrIte(%T_~k=hMQGW56~!#x`$`>RfA$j4#M^W#x+xkGnkwcx&FB;&0FD-c1-h(Z?`` zj})$rI=cdl`9|>4g!7hQ&vOJ(&UuGUH|MwvlTAI^7SO#Rn+3s5d4w!Ou&?S`cdKt4 zCRF~=Sy**3cj#D8M^Ww@X~mU3WPn*?=}B3Xcj34MquIKfCH~k?SI}Rg2GVY{qR(jB zz+Dj+(eMG4kbEwFmy2vgazp3TgMe~#|9pI?#C2I!<=WFc&MT{6N8>K<9e4~syeVcZ zK;9iLagn*T1e{<3Y^hU$a;bSxlSpr3$@E2o$Z?aAg%W)*3SApBRi%Q;5n@ zfwWt@d0P(88EpSqw6#+MS+v&CDs^?Jq8cD7O9@ioQoNV*f^U2QRGroB-XEBKjh z2bo`PqkMqW@MtW#lJ#&;c)H~6$Gyj_tUlHcFDh)0xmjK~F|B6pBFs&BTulxDnYohM zqd(5x{Q4?~T+8&SA?#K$@ucNBOQ4kO(b+U{?O*}!%!%B7lGs<&^h0Z&h}g9KmK})iyCUUlout;@&Xd1|0rq-M*?E!omchN`m%T=D6`mf%znxfV zm956;Y{zYr3<=q4rG~v6r^e>D5q@Co+W*NJ@$4dvONahR8PPDrmSpI-ZC5YZVn0~> zcKB}aVj`w=O2d}}=giCM(Qr+_=|+CALm^67KsBu7+nTq3*OPG0J9py0StdncD1BVm zIy)(o8#4t$`vU{hJ6@V?j;DW%PqmN#l#}56?zow1Tl@~Cify!PpTSF5{Nv*iCo+9* z5VkBwy>q!{EYN|i`KQ~i@?bJA(Xq|B$)PtLrBVW@A!0o1Ki z=0xwbW&Ky(KzY2?0d;Hot2^MXCP==NbfcLmXgT*vIFI=YM!3gzucqA~ZnAaA!4l_R zeBtM$)pxBfp3n29m1X;_V5Jh;r@s~Z#<2i<{I1*I#Bb4+`{ihbz19yPY*05>QGn%+pt1Cr*3Qu|+O}b78rqyW`DFXF_q>175<07GB6*bD3AX z7K^>K>Sk43^gbvBY4yAx_?q(%;15%V+shzk7D-^Aozw4g+rH>n_;+KNjYbx-{+>m) zc1NBlmmGbMQByH1ugiCAA0-&^z6_DefTz3I)dnoa@eS|Y|G;6R;x=J7t<#ysHc0I z_Q>A)UQc5qpI~W%y8K|$a#=or`etl}Ios>XUU8@?4*$6l1zXW!h1`d?an7>HIxr{AHJxE9yezdvU{`;BVD$0xgoPWDjItM9N^G94v zuC9TLjS>wP8kN0)&MPap*kj?IE6R1RnqugEs6}#?YyS*H$BndKX#EZ!j-d0wpMVzv)p|5M>jbN^j#F1G64U`*%l6do>xfPb%&jrF(#n?ADM? zwomxjrDy2&vA69h|NdiSbuqZDKw-vQgnH5!{?d|IfSBGX&F}Z-8+Q#5r`p0ogYrs} zA9sLqtMEre(Y||v&gN%P_w7=sJ(?`jXc!)pcF(?%H0tx{zO2V*{&=yqF?K2Nh>6oA z!osN#DFsqr_r3?uWYU+^Q${m09S|0up^5Lk6KKkjOo5owvE#uVy7d#5AK8oL5%s*& zi#{>e&k7%ys7BqtmFmiQ0p51i+T+7~>XGZOt6JOMEux=Ytf3iMGdPtd(G-$%n5=Hu z9qw=kZiD{Jqs=p84A28U6M2^ z#-jCDTRJEDgp9q8mPYJ~G>Izo()Xc9e2x;|B;-mY-Vw3x<%Z#NR4zqkzpoSVp|SD_ zJn#FWfNPKKtgNTS`^nkK2h?vKK5xfbkWa*x0jlpoiC}v*^!cjhZesST8A}gDny1#T zP#tsZziVh{SDLO>WcK<^AdWZQJp8;-FlsN7XI5wSk^C>27&4re!gAr?GSg7qX_t1} zwqUB17a+u3=9{q+ZKTu-fb)x#nAqHpWs0oFReis9HuO8KQxBPBFC8*I`%T*QlEC23 zPEUmv--pq)4bD@zuyFk8rbTF{FpheBk<(}O49PFES!l0`GmskCv8n zz=^%4XIxdf7SlV`t^Dgf>>mr@2z6^ zht4hjKACLi*@~N*EL`yw?*;*zlz}Dzk%Vqm%J#FCTRdN(`)WY~Q#4+_gPy&1dlj{) znTVu(D-t;KyDR1{x8ExolZ4A~BYGYn-<@_Xv}(fmn%`i~3#HW|~d?Rpscaz*7kWk;7rnEuN@s zTFtXH(xUSVa5`|qmAWdU+`t@AR&vHmYAP#fWtidMAfn9x9#A1OMSw1BaMi-R=@09Z zB4nCE&7AisvB=Z=jv8cbCU@r_)Ga&?Zx6j=ugDAg$7Z9CEYgDY8?a-D|CL=_IL+7|cLl zCaX%F3$O6PI>c2w@jN7JN945R^9uJccUxKE)0VeL%n$MYq(8X5ZC}$&ocqu#TrqzZ zlf9g3SXbL=ks%v=#oRY~@;C$~nNR*a7znBl==$;kXg`(Q zb{SL%&ZkViv7|qBBU#ulY@I(7tOd@r3qm>&Ht^v*$)#|$$Um~vqa=#Sy`l~LPNZLT zp@Il2E%lJY(IYCc%ZQ;#nKJ_D0DMs(oh%^|fRGF{({g3(a%x$Uca0WCz&sHx$yiy` z{hPmRCz(HQaUiKhm(;r{+4G<_Klwbnulz*`t=0AJ*u#V=^&O&+sU!s705%bfnZrLd zbJDk}ZP~&NoOSZZZz0*n&nri9*ctUUw4AqZ(TG>|!fxZ~fWMxu>dp6YH*cQ;L`dt0 z;$;&XHI>Evj z^r(sT+%HxNO3KB^^H9Q2FHgU>i8nI~DnW4`5xA*b45z;~_FP= zNa>q$@*-K{DuS7SkR?UBiC9gk>?MbF7n->n$b4 zScBz_zqFmXyXmuiCOlXmejcp?e9!eOUbfPdwvcTWUwJcozL2#r5BJAEewvmtP^fwZmHiAVBhv|k5jZ;4ZB3FC!&gDVgzZV&# zcM8q9`FhO+8pPOFO6-!@P4=bq6`5s8T`T|E9ebMwKU=@s-;(+mv%h=mr6|3;95%h` zpI5s5>BLLrxuBDNw}jZ8DBHnm)O1tuUa-5y0gRIK`C)>kaj($yjPx#h>@X|7(vTr?n^Lsn7ol}b5;dpD_=ftxjPLl-E5R@XLr>$T+OB>;F`J4+(nqtfec+p$0@lfvvI?u2=eBxZW(iraop@{tH}nNiX6uf8!m607_G8YWOzkh4Ot=ti z=k&jI*q#cW|8Xj;f9Op^ro2{P!uCuJm5^^!&yA`sp~I3FaH!+1QJ{)A>YhzmS>+vn z#N6bgF!>vEJ@0&&B*V8=FUZQogr)Z-^wk?oo)jqwI58}nB%B+59a-RWw(M52b~9HFAVJ9O!*F$Bc{xx0*z<7F@1qaw zb)#Y()vZigYTP}~icu;WGn>kIQejc_;qroOchM0UBd9i2N8T*77@C3SQ5!rZU$T?b z;Y!wp$o9UtvfiJ+5B6seJNcXgk3tk~|@R6dfJNIt@yP7vkD zD<5RSzgr&Kq#QGAZAY&6UV8=inwCT&48q69zMvZ3TQ-#Vr5n{O?d=2|w{iwW{M`k+ z+}kI~;+xLat9C)3@PKgV+Y@^?+OmWRL5Q>}jd71(=}soOgREbNAAwETfc5(|sau&- z{hS!#SR)GTPPNtU166b-P5Z-Q3Tl@h(C6Rl)gxm}Wquj8K{4ahl2CLBd~V__I~C+0 zfx5$KE{gcu>o!~KyMAso3r>&KrZ|wXh}Gax*ukEQ?@Qzgeear`To&KyRwh993g@OG z^^k9sZSHud1pj!i=E}bMc7#L@((tbBZJ?|yD)NBR z^hr79Di_UN9gdyezmFfpaC{|)kn(foE~^CLv!8Vpc8%__B}>(DP8ZjQ+2!^mbNWHb z`A!IwGyoxQiB#bAUk#=1VtX~Nm?i1au(#oh@`rpk8?58WYpYr2uC{q;cSVE zV_|I!6aOE1qv(l%248R*=j>|k1hbBx-JM<6SRQ2qv({>M8yon~#zp|ArU&tlf{zL} zrQq-mHd8!GY$|_SA9D~q@qm=vuw`(gEGPUDZC#8$2UU#qAKHIv3$>}GpUdGX{{_7S z7KVw(zfr48PJ)jN3RW>flQK%7gp-0}5`&NLVPuDu21(<`SwngBgMN2!u4S7`Dt*0- z@}HThGw~m>ov-=2GmBsB>I5^zguO7wT;?7%fTrzdc>yC!mR3Q|@$~dqKcnQ3>1J}q z)s?BSscBq43i&Q+JHHbHZOXP}DfB*0|E@%5!}_>Nz>@^)OF5Q8b#XnrKAF_=8+(6@ zb{%$3F*s-YX1q>kRj-j*Fz(O%{B1oM5s9V6_I53wtR+W@E<}nTjOOBN{$pJy>G=!- zR>sUKg62*6#&GN9Wt0)X)0Rt%Q~XppHu|=%j{$Cx%vbZvZMDo(iQTUy#mE?Pw;ef_ zcWsb}?jEFyOM}>na`0q87u`yhPAn$*=RB8HK4hQ( zB2iYYXB-OV&)IsNVdh$WhHxoVZFh5_n^nD4rmf00px6Rl0pWiVG}Sz0Zo}Mc!Ew5& z_ThDvmQ7Gm|56=C7H(MlL=C@5=o^Q$MPMOGAlUONLccw?Kyd!aN>zi%JSdy>-H>#Q zVbn)eIoIl+IWTSJy=`5um|U5$rPk{u`d@M9PC+{Z#LMq#zcLQbv;-5 zUJdbCcQM0`cE7K>bny7RFHt%;q!_G2f4xbhyuPu1)<3f3+;XW!Mqd&Mo;kG0S1-9c z>2mNTX==)SWDIadOj3C3Y@;b0WaHsI10~5(vpyRWy-BF+3oWhvOP>zHrvx zhiP}y@~gZH!N&4vVHs6}Jc9laJ54)&l9)L1u%~j>VZG@uUw4Bld*G(|tBnR<$u+*` zwV5rkcpX`AjZfxd^CWL+C0#OX{{kqIU!Z8#at=ic`9%qbJ^R>XZf0n*GM00_*Vez{ z{;lLYqlE)MH(~r-SZJ1)@x&Fq<`+daxBjPP_cQUC7WFz7Q<#D#zqtn`JhmLX&9pL> zX%Yfes5Z@lIIPOWlt827ScVES^Bh=KY9Yd*Ut!2TjqeiaFj&$N^CwwOLXC5}SYw!d z|4^kA)>ws01NRT0jS3OHk$MK65>wW@({GnYmYo~id^XCthyuM?Uj-R^*w}AYrV8CX z%2%ysvn#%;MZed#v-))2x55>GSrtLzjVhrbDeAzlzi-ZK~5oEkOwBkTMSaNf**6&Z>nA z{}0-b7KzdvhMSN>R~b|ZW7kUp(B;hWVVVF5# a^goD;K+4kpf<(eF2LB +

Equations

+ + +
+
+********** Mapped Logic ********** +
+
+assign $OpTx$FX_DC$591 = (nAS_FSB && !fsb/ASrf); +
+
+assign CLK20EN = SW[0]; +
+
+assign CLK25EN = !SW[0]; +
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+FDCPE FDCPE_IPL2r0 (IPL2r0,!nIPL2,CLK_FSB,1'b0,1'b0); +
+FDCPE FDCPE_IPL2r1 (IPL2r1,IPL2r0,CLK_FSB,1'b0,1'b0); +
+
+assign RA[0] = ((A_FSB[10] && !ram/RASEL) +
      || (ram/RASEL && A_FSB[1])); +
+
+assign RA[1] = ((A_FSB[11] && !ram/RASEL) +
      || (ram/RASEL && A_FSB[2])); +
+
+assign RA[2] = ((A_FSB[12] && !ram/RASEL) +
      || (ram/RASEL && A_FSB[3])); +
+
+assign RA[3] = ((A_FSB[13] && !ram/RASEL) +
      || (ram/RASEL && A_FSB[4])); +
+
+assign RA[4] = ((A_FSB[14] && !ram/RASEL) +
      || (ram/RASEL && A_FSB[5])); +
+
+assign RA[5] = ((A_FSB[15] && !ram/RASEL) +
      || (ram/RASEL && A_FSB[6])); +
+
+assign RA[6] = ((A_FSB[16] && !ram/RASEL) +
      || (ram/RASEL && A_FSB[7])); +
+
+assign RA[7] = ((A_FSB[8] && ram/RASEL) +
      || (A_FSB[17] && !ram/RASEL)); +
+
+assign RA[8] = ((A_FSB[9] && !A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && +
      ram/RASEL) +
      || (A_FSB[9] && !A_FSB[23] && A_FSB[22] && A_FSB[21] && +
      !cs/nOverlay1 && ram/RASEL) +
      || (A_FSB[23] && A_FSB[18]) +
      || (A_FSB[18] && !ram/RASEL) +
      || (A_FSB[22] && !A_FSB[21] && A_FSB[18]) +
      || (A_FSB[22] && A_FSB[18] && cs/nOverlay1) +
      || (!A_FSB[22] && A_FSB[18] && !cs/nOverlay1)); +
+
+assign RA[9] = ((A_FSB[20] && ram/RASEL) +
      || (A_FSB[19] && !ram/RASEL)); +
+
+assign RA[10] = A_FSB[21]; +
+
+assign RA[11] = A_FSB[19]; +
+FDCPE FDCPE_RESDone (RESDone,1'b1,CLK_FSB,1'b0,1'b0,RESDone_CE); +
     assign RESDone_CE = (!RESr0 && !RESr1 && RESr2); +
+FDCPE FDCPE_RESr0 (RESr0,!nRES,CLK_FSB,1'b0,1'b0); +
+FDCPE FDCPE_RESr1 (RESr1,RESr0,CLK_FSB,1'b0,1'b0); +
+FDCPE FDCPE_RESr2 (RESr2,RESr1,CLK_FSB,1'b0,1'b0); +
+FTCPE FTCPE_cnt/RefCnt0 (cnt/RefCnt[0],1'b1,CLK_FSB,1'b0,1'b0); +
+FTCPE FTCPE_cnt/RefCnt1 (cnt/RefCnt[1],cnt/RefCnt[0],CLK_FSB,1'b0,1'b0); +
+FTCPE FTCPE_cnt/RefCnt2 (cnt/RefCnt[2],cnt/RefCnt_T[2],CLK_FSB,1'b0,1'b0); +
     assign cnt/RefCnt_T[2] = (cnt/RefCnt[0] && cnt/RefCnt[1]); +
+FTCPE FTCPE_cnt/RefCnt3 (cnt/RefCnt[3],cnt/RefCnt_T[3],CLK_FSB,1'b0,1'b0); +
     assign cnt/RefCnt_T[3] = (cnt/RefCnt[0] && cnt/RefCnt[1] && cnt/RefCnt[2]); +
+FTCPE FTCPE_cnt/RefCnt4 (cnt/RefCnt[4],cnt/RefCnt_T[4],CLK_FSB,1'b0,1'b0); +
     assign cnt/RefCnt_T[4] = (cnt/RefCnt[0] && cnt/RefCnt[1] && cnt/RefCnt[3] && +
      cnt/RefCnt[2]); +
+FTCPE FTCPE_cnt/RefCnt5 (cnt/RefCnt[5],cnt/RefCnt_T[5],CLK_FSB,1'b0,1'b0); +
     assign cnt/RefCnt_T[5] = (cnt/RefCnt[0] && cnt/RefCnt[1] && cnt/RefCnt[3] && +
      cnt/RefCnt[2] && cnt/RefCnt[4]); +
+FTCPE FTCPE_cnt/RefCnt6 (cnt/RefCnt[6],cnt/RefCnt_T[6],CLK_FSB,1'b0,1'b0); +
     assign cnt/RefCnt_T[6] = (cnt/RefCnt[5] && cnt/RefCnt[0] && cnt/RefCnt[1] && +
      cnt/RefCnt[3] && cnt/RefCnt[2] && cnt/RefCnt[4]); +
+FTCPE FTCPE_cnt/RefCnt7 (cnt/RefCnt[7],cnt/RefCnt_T[7],CLK_FSB,1'b0,1'b0); +
     assign cnt/RefCnt_T[7] = (cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[0] && +
      cnt/RefCnt[1] && cnt/RefCnt[3] && cnt/RefCnt[2] && cnt/RefCnt[4]); +
+FDCPE FDCPE_cnt/RefDone (cnt/RefDone,cnt/RefDone_D,CLK_FSB,1'b0,1'b0); +
     assign cnt/RefDone_D = ((!cnt/RefDone && !ram/RefRAS) +
      || (!cnt/RefCnt[5] && !cnt/RefCnt[6] && !cnt/RefCnt[0] && +
      !cnt/RefCnt[7] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && !cnt/RefCnt[2] && +
      !cnt/RefCnt[4])); +
+FTCPE FTCPE_cnt/TimeoutA (cnt/TimeoutA,cnt/TimeoutA_T,CLK_FSB,1'b0,1'b0); +
     assign cnt/TimeoutA_T = ((cnt/TimeoutA && nAS_FSB && !fsb/ASrf) +
      || (!cnt/TimeoutA && !nAS_FSB && !cnt/RefCnt[5] && +
      !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && +
      !cnt/RefCnt[2] && !cnt/RefCnt[4]) +
      || (!cnt/TimeoutA && !cnt/RefCnt[5] && !cnt/RefCnt[6] && +
      !cnt/RefCnt[0] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && !cnt/RefCnt[2] && +
      !cnt/RefCnt[4] && fsb/ASrf)); +
+FTCPE FTCPE_cnt/TimeoutB (cnt/TimeoutB,cnt/TimeoutB_T,CLK_FSB,1'b0,1'b0); +
     assign cnt/TimeoutB_T = ((cnt/TimeoutB && nAS_FSB && !fsb/ASrf) +
      || (!cnt/TimeoutB && cnt/TimeoutBPre && !nAS_FSB && +
      !cnt/RefCnt[5] && !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[7] && +
      !cnt/RefCnt[1] && !cnt/RefCnt[3] && !cnt/RefCnt[2] && !cnt/RefCnt[4]) +
      || (!cnt/TimeoutB && cnt/TimeoutBPre && !cnt/RefCnt[5] && +
      !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[7] && !cnt/RefCnt[1] && +
      !cnt/RefCnt[3] && !cnt/RefCnt[2] && !cnt/RefCnt[4] && fsb/ASrf)); +
+FTCPE FTCPE_cnt/TimeoutBPre (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,1'b0,1'b0); +
     assign cnt/TimeoutBPre_T = ((cnt/TimeoutBPre && nAS_FSB && !fsb/ASrf) +
      || (!cnt/TimeoutBPre && !nAS_FSB && !cnt/RefCnt[5] && +
      !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[7] && !cnt/RefCnt[1] && +
      !cnt/RefCnt[3] && !cnt/RefCnt[2] && !cnt/RefCnt[4]) +
      || (!cnt/TimeoutBPre && !cnt/RefCnt[5] && !cnt/RefCnt[6] && +
      !cnt/RefCnt[0] && !cnt/RefCnt[7] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && +
      !cnt/RefCnt[2] && !cnt/RefCnt[4] && fsb/ASrf)); +
+FTCPE FTCPE_cs/nOverlay0 (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,!nRES,1'b0); +
     assign cs/nOverlay0_T = ((!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && +
      !cs/nOverlay0 && !nAS_FSB) +
      || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && +
      !cs/nOverlay0 && fsb/ASrf)); +
+FDCPE FDCPE_cs/nOverlay1 (cs/nOverlay1,cs/nOverlay0,CLK_FSB,1'b0,1'b0,cs/nOverlay1_CE); +
     assign cs/nOverlay1_CE = (nAS_FSB && !fsb/ASrf); +
+FDCPE FDCPE_fsb/ASrf (fsb/ASrf,!nAS_FSB,!CLK_FSB,1'b0,1'b0); +
+FDCPE FDCPE_fsb/BERR0r (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,1'b0,1'b0); +
     assign fsb/BERR0r_D = ((!cnt/TimeoutB && !fsb/BERR0r) +
      || (nAS_FSB && !fsb/ASrf) +
      || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && A_FSB[20] && +
      !fsb/BERR0r)); +
+FDCPE FDCPE_fsb/BERR1r (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,1'b0,1'b0); +
     assign fsb/BERR1r_D = ((!iobs/BERR && !fsb/BERR1r) +
      || (nAS_FSB && !fsb/ASrf)); +
+FDCPE FDCPE_fsb/Ready0r (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,1'b0,1'b0); +
     assign fsb/Ready0r_D = ((nAS_FSB && !fsb/ASrf) +
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && +
      !fsb/Ready0r && !ram/RAMReady) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && +
      !cs/nOverlay1 && !fsb/Ready0r && !ram/RAMReady)); +
+FDCPE FDCPE_fsb/Ready1r (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,1'b0,1'b0); +
     assign fsb/Ready1r_D = ((cs/nOverlay0.EXP) +
      || (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady) +
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && +
      !iobs/IOReady) +
      || (A_FSB[22] && !A_FSB[21] && !fsb/Ready1r && +
      !iobs/IOReady && !SW[1]) +
      || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && +
      !fsb/Ready1r && !iobs/IOReady) +
      || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && +
      !fsb/Ready1r && !iobs/IOReady) +
      || (nAS_FSB && !fsb/ASrf)); +
+FDCPE FDCPE_fsb/Ready2r (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,1'b0,1'b0); +
     assign fsb/Ready2r_D = ((nAS_FSB && !fsb/ASrf) +
      || (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && +
      A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && +
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && +
      A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && +
      !fsb/Ready2r) +
      || (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && +
      A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && +
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && +
      A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && +
      !fsb/Ready2r) +
      || (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && +
      !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && +
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && +
      A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && +
      !fsb/Ready2r) +
      || (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && +
      A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && +
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && +
      A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && +
      !fsb/Ready2r) +
      || (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && +
      A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && +
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && +
      A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && +
      !fsb/Ready2r) +
      || (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && +
      !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && +
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && +
      A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && +
      !fsb/Ready2r) +
      || (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && +
      !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && +
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && +
      A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && +
      !fsb/Ready2r) +
      || (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && +
      !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && +
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && +
      A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && +
      !fsb/Ready2r)); +
+FDCPE FDCPE_fsb/VPA (fsb/VPA,fsb/VPA_D,CLK_FSB,1'b0,1'b0); +
     assign fsb/VPA_D = ((EXP15_.EXP) +
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && +
      !fsb/Ready0r && fsb/VPA && !ram/RAMReady && !$OpTx$FX_DC$591) +
      || (A_FSB[22] && !A_FSB[21] && !fsb/Ready1r && fsb/VPA && +
      !iobs/IOReady && !SW[1] && !$OpTx$FX_DC$591) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && +
      !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && !ram/RAMReady && +
      !$OpTx$FX_DC$591) +
      || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && +
      !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !$OpTx$FX_DC$591) +
      || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && +
      !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !$OpTx$FX_DC$591) +
      || (nROMWE_OBUF.EXP) +
      || (A_FSB[23] && cnt/TimeoutB && fsb/VPA && +
      !$OpTx$FX_DC$591) +
      || (!A_FSB[22] && cnt/TimeoutB && fsb/VPA && +
      !$OpTx$FX_DC$591) +
      || (A_FSB[21] && cnt/TimeoutB && fsb/VPA && +
      !$OpTx$FX_DC$591) +
      || (A_FSB[23] && !fsb/Ready1r && fsb/VPA && +
      !iobs/IOReady && !$OpTx$FX_DC$591) +
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && +
      fsb/VPA && !iobs/IOReady && !$OpTx$FX_DC$591) +
      || (iobs/BERR && fsb/VPA && !$OpTx$FX_DC$591) +
      || (fsb/BERR0r && fsb/VPA && !$OpTx$FX_DC$591) +
      || (fsb/BERR1r && fsb/VPA && !$OpTx$FX_DC$591) +
      || (fsb/VPA && !nBR_IOB && !$OpTx$FX_DC$591) +
      || (!A_FSB[20] && cnt/TimeoutB && fsb/VPA && +
      !$OpTx$FX_DC$591)); +
+FDCPE FDCPE_iobm/ALE0 (iobm/ALE0,iobm/ALE0_D,CLK2X_IOB,1'b0,1'b0); +
     assign iobm/ALE0_D = ((iobm/IOS_FSM_FFd2) +
      || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1) +
      || (!iobm/IOS_FSM_FFd1 && iobm/IOREQr && !nAoutOE)); +
+FDCPE FDCPE_iobm/BERRrf (iobm/BERRrf,!nBERR_IOB,!CLK2X_IOB,1'b0,1'b0); +
+FDCPE FDCPE_iobm/BERRrr (iobm/BERRrr,!nBERR_IOB,CLK2X_IOB,1'b0,1'b0); +
+FDCPE FDCPE_iobm/BGr0 (iobm/BGr0,!nBG_IOB,CLK2X_IOB,1'b0,1'b0); +
+FDCPE FDCPE_iobm/BGr1 (iobm/BGr1,iobm/BGr0,CLK2X_IOB,1'b0,1'b0); +
+FDCPE FDCPE_iobm/DTACKrf (iobm/DTACKrf,!nDTACK_IOB,!CLK2X_IOB,1'b0,1'b0); +
+FDCPE FDCPE_iobm/DTACKrr (iobm/DTACKrr,!nDTACK_IOB,CLK2X_IOB,1'b0,1'b0); +
+FTCPE FTCPE_iobm/ES0 (iobm/ES[0],iobm/ES_T[0],CLK2X_IOB,1'b0,1'b0); +
     assign iobm/ES_T[0] = ((iobm/ES[0] && !iobm/Er && iobm/Er2) +
      || (!iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && +
      !iobm/ES[3] && !iobm/ES[4] && iobm/Er) +
      || (!iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && +
      !iobm/ES[3] && !iobm/ES[4] && !iobm/Er2)); +
+FDCPE FDCPE_iobm/ES1 (iobm/ES[1],iobm/ES_D[1],CLK2X_IOB,1'b0,1'b0); +
     assign iobm/ES_D[1] = ((iobm/ES[0] && iobm/ES[1]) +
      || (!iobm/ES[0] && !iobm/ES[1]) +
      || (!iobm/Er && iobm/Er2)); +
+FDCPE FDCPE_iobm/ES2 (iobm/ES[2],iobm/ES_D[2],CLK2X_IOB,1'b0,1'b0); +
     assign iobm/ES_D[2] = ((!iobm/ES[0] && !iobm/ES[2]) +
      || (!iobm/ES[1] && !iobm/ES[2]) +
      || (!iobm/Er && iobm/Er2) +
      || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2]) +
      || (!iobm/ES[2] && !iobm/ES[3] && iobm/ES[4])); +
+FTCPE FTCPE_iobm/ES3 (iobm/ES[3],iobm/ES_T[3],CLK2X_IOB,1'b0,1'b0); +
     assign iobm/ES_T[3] = ((iobm/ES[3] && !iobm/Er && iobm/Er2) +
      || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && iobm/Er) +
      || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && !iobm/Er2)); +
+FTCPE FTCPE_iobm/ES4 (iobm/ES[4],iobm/ES_T[4],CLK2X_IOB,1'b0,1'b0); +
     assign iobm/ES_T[4] = ((iobm/ES[4] && !iobm/Er && iobm/Er2) +
      || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && +
      iobm/ES[3] && iobm/Er) +
      || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && +
      iobm/ES[3] && !iobm/Er2) +
      || (iobm/ES[0] && iobm/ES[1] && !iobm/ES[2] && +
      !iobm/ES[3] && iobm/ES[4])); +
+FDCPE FDCPE_iobm/ETACK (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,1'b0,1'b0); +
     assign iobm/ETACK_D = (!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && +
      !iobm/ES[3] && iobm/ES[4]); +
+FDCPE FDCPE_iobm/Er (iobm/Er,E_IOB,!CLK_IOB,1'b0,1'b0); +
+FDCPE FDCPE_iobm/Er2 (iobm/Er2,iobm/Er,CLK2X_IOB,1'b0,1'b0); +
+FDCPE FDCPE_iobm/IOACT (iobm/IOACT,iobm/IOACT_D,CLK2X_IOB,1'b0,1'b0); +
     assign iobm/IOACT_D = ((CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +
      iobm/DTACKrf && iobm/DTACKrr) +
      || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +
      iobm/RESrf && iobm/RESrr) +
      || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) +
      || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 && +
      !iobm/IOREQr) +
      || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 && nAoutOE) +
      || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +
      iobm/ETACK) +
      || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +
      iobm/BERRrf && iobm/BERRrr)); +
+FTCPE FTCPE_iobm/IOBERR (iobm/IOBERR,iobm/IOBERR_T,CLK2X_IOB,1'b0,1'b0); +
     assign iobm/IOBERR_T = ((CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && +
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/RESrf && +
      iobm/RESrr) +
      || (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && +
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/BERRrf && +
      iobm/BERRrr) +
      || (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && +
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/DTACKrf && +
      iobm/DTACKrr) +
      || (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && +
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/RESrf && +
      iobm/RESrr) +
      || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && +
      !iobm/IOS_FSM_FFd2 && iobm/IOBERR) +
      || (CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && +
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/ETACK) +
      || (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && +
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/ETACK) +
      || (CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && +
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/BERRrf && +
      iobm/BERRrr) +
      || (CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && +
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/DTACKrf && +
      iobm/DTACKrr)); +
+FDCPE FDCPE_iobm/IOREQr (iobm/IOREQr,iobs/IOREQ,!CLK2X_IOB,1'b0,1'b0); +
+FDCPE FDCPE_iobm/IOS_FSM_FFd1 (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,1'b0,1'b0); +
     assign iobm/IOS_FSM_FFd1_D = ((iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1) +
      || (!iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd2)); +
+FTCPE FTCPE_iobm/IOS_FSM_FFd2 (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,CLK2X_IOB,1'b0,1'b0); +
     assign iobm/IOS_FSM_FFd2_T = ((iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && +
      !iobm/IOS_FSM_FFd2) +
      || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +
      iobm/IOS_FSM_FFd2 && iobm/ETACK) +
      || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +
      iobm/IOS_FSM_FFd2 && iobm/BERRrf && iobm/BERRrr) +
      || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +
      iobm/IOS_FSM_FFd2 && iobm/DTACKrf && iobm/DTACKrr) +
      || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +
      iobm/IOS_FSM_FFd2 && iobm/RESrf && iobm/RESrr)); +
+FDCPE FDCPE_iobm/IOS_FSM_FFd3 (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,1'b0,1'b0); +
     assign iobm/IOS_FSM_FFd3_D = ((iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2) +
      || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && +
      !iobm/IOS_FSM_FFd2) +
      || (!CLK_IOB && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2 && +
      iobm/IOREQr && !nAoutOE)); +
+FDCPE FDCPE_iobm/RESrf (iobm/RESrf,!nRES,!CLK2X_IOB,1'b0,1'b0); +
+FDCPE FDCPE_iobm/RESrr (iobm/RESrr,!nRES,CLK2X_IOB,1'b0,1'b0); +
+FDCPE FDCPE_iobm/VPArf (iobm/VPArf,!nVPA_IOB,!CLK2X_IOB,1'b0,1'b0); +
+FDCPE FDCPE_iobm/VPArr (iobm/VPArr,!nVPA_IOB,CLK2X_IOB,1'b0,1'b0); +
+FDCPE FDCPE_iobs/ALE0 (iobs/ALE0,iobs/ALE0_D,CLK_FSB,1'b0,1'b0); +
     assign iobs/ALE0_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); +
+FTCPE FTCPE_iobs/BERR (iobs/BERR,iobs/BERR_T,CLK_FSB,1'b0,1'b0); +
     assign iobs/BERR_T = ((iobs/BERR && nAS_FSB && !fsb/ASrf) +
      || (iobs/Once && iobs/BERR && !iobs/PS_FSM_FFd2 && +
      !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) +
      || (iobs/Once && !iobs/BERR && !nAS_FSB && +
      !iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1) +
      || (iobs/Once && !iobs/BERR && !iobs/PS_FSM_FFd2 && +
      !iobs/IOACTr && iobm/IOBERR && fsb/ASrf && nADoutLE1)); +
+FDCPE FDCPE_iobs/Clear1 (iobs/Clear1,iobs/Clear1_D,CLK_FSB,1'b0,1'b0); +
     assign iobs/Clear1_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && !nADoutLE1); +
+FDCPE FDCPE_iobs/IOACTr (iobs/IOACTr,iobm/IOACT,CLK_FSB,1'b0,1'b0); +
+FDCPE FDCPE_iobs/IOL0 (iobs/IOL0,iobs/IOL0_D,CLK_FSB,1'b0,1'b0,iobs/IOL0_CE); +
     assign iobs/IOL0_D = ((!nLDS_FSB && nADoutLE1) +
      || (iobs/IOL1 && !nADoutLE1)); +
     assign iobs/IOL0_CE = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); +
+FDCPE FDCPE_iobs/IOL1 (iobs/IOL1,!nLDS_FSB,CLK_FSB,1'b0,1'b0,iobs/Load1); +
+FDCPE FDCPE_iobs/IOREQ (iobs/IOREQ,iobs/IOREQ_D,CLK_FSB,1'b0,1'b0); +
     assign iobs/IOREQ_D = ((!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && +
      !iobs/PS_FSM_FFd2 && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && +
      !iobs/PS_FSM_FFd2 && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && +
      !iobs/PS_FSM_FFd2 && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && +
      !iobs/PS_FSM_FFd2 && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && +
      !iobs/PS_FSM_FFd2 && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && +
      !iobs/PS_FSM_FFd2 && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && nWE_FSB && +
      !iobs/PS_FSM_FFd2 && nADoutLE1) +
      || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && +
      SW[1] && nADoutLE1) +
      || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && +
      !iobs/PS_FSM_FFd2 && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && +
      cs/nOverlay1 && !iobs/PS_FSM_FFd2 && nADoutLE1) +
      || (!iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1) +
      || (iobs/PS_FSM_FFd1 && iobs/IOACTr) +
      || (iobs/Once && !iobs/PS_FSM_FFd2 && nADoutLE1) +
      || (nAS_FSB && !iobs/PS_FSM_FFd2 && !fsb/ASrf && +
      nADoutLE1) +
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && +
      !iobs/PS_FSM_FFd2 && nADoutLE1)); +
+FTCPE FTCPE_iobs/IORW0 (iobs/IORW0,iobs/IORW0_T,CLK_FSB,1'b0,1'b0); +
     assign iobs/IORW0_T = ((A_FSB_19_IBUF$BUF0.EXP) +
      || (iobs/IORW0 && iobs/IORW1 && !nADoutLE1) +
      || (!iobs/IORW0 && !iobs/IORW1 && !nADoutLE1) +
      || (nAS_FSB && !fsb/ASrf && nADoutLE1) +
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && !iobs/IORW0 && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && nADoutLE1) +
      || (!A_FSB[23] && !A_FSB[20] && SW[1] && nADoutLE1) +
      || (!nWE_FSB && !iobs/IORW0 && nADoutLE1) +
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && +
      nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && nADoutLE1) +
      || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && +
      nADoutLE1) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && +
      cs/nOverlay1 && nADoutLE1)); +
+FTCPE FTCPE_iobs/IORW1 (iobs/IORW1,iobs/IORW1_T,CLK_FSB,1'b0,1'b0); +
     assign iobs/IORW1_T = ((iobs/Once) +
      || (!nADoutLE1) +
      || (nBERR_FSB_OBUF.EXP) +
      || (nAS_FSB && !fsb/ASrf) +
      || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) +
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) +
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[20]) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[19]) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[18]) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[17]) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[16]) +
      || (nWE_FSB && iobs/IORW1) +
      || (!nWE_FSB && !iobs/IORW1) +
      || (!A_FSB[23] && A_FSB[21] && !iobs/IORW1)); +
+FTCPE FTCPE_iobs/IOReady (iobs/IOReady,iobs/IOReady_T,CLK_FSB,1'b0,1'b0); +
     assign iobs/IOReady_T = ((iobs/IOReady && nAS_FSB && !fsb/ASrf) +
      || (iobs/Once && iobs/IOReady && !iobs/PS_FSM_FFd2 && +
      !iobs/IOACTr && iobm/IOBERR && nADoutLE1) +
      || (iobs/Once && !iobs/IOReady && !nAS_FSB && +
      !iobs/PS_FSM_FFd2 && !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) +
      || (iobs/Once && !iobs/IOReady && !iobs/PS_FSM_FFd2 && +
      !iobs/IOACTr && !iobm/IOBERR && fsb/ASrf && nADoutLE1)); +
+FDCPE FDCPE_iobs/IOU0 (iobs/IOU0,iobs/IOU0_D,CLK_FSB,1'b0,1'b0,iobs/IOU0_CE); +
     assign iobs/IOU0_D = ((!nUDS_FSB && nADoutLE1) +
      || (iobs/IOU1 && !nADoutLE1)); +
     assign iobs/IOU0_CE = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); +
+FDCPE FDCPE_iobs/IOU1 (iobs/IOU1,!nUDS_FSB,CLK_FSB,1'b0,1'b0,iobs/Load1); +
+FDCPE FDCPE_iobs/Load1 (iobs/Load1,iobs/Load1_D,CLK_FSB,1'b0,1'b0); +
     assign iobs/Load1_D = ((iobs/Once) +
      || (!nADoutLE1) +
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[20]) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[19]) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[17]) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[16]) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[18]) +
      || (!A_FSB[23] && A_FSB[21] && nWE_FSB) +
      || (!A_FSB[23] && !A_FSB[20] && SW[1]) +
      || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21]) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && +
      cs/nOverlay1) +
      || (nAS_FSB && !fsb/ASrf) +
      || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) +
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1)); +
+FDCPE FDCPE_iobs/Once (iobs/Once,iobs/Once_D,CLK_FSB,1'b0,1'b0); +
     assign iobs/Once_D = ((A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd1) +
      || (!iobs/Once && iobs/PS_FSM_FFd1 && !nADoutLE1) +
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !iobs/Once) +
      || (!A_FSB[23] && !A_FSB[22] && !iobs/Once && +
      !cs/nOverlay1) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && !iobs/Once) +
      || (RA_4_OBUF.EXP) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && !iobs/Once) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && !iobs/Once) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && !iobs/Once) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && !iobs/Once) +
      || (!A_FSB[23] && A_FSB[21] && nWE_FSB && !iobs/Once) +
      || (nAS_FSB && !fsb/ASrf) +
      || (A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd2) +
      || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd2) +
      || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd1) +
      || (!iobs/Once && iobs/PS_FSM_FFd2 && !nADoutLE1)); +
+FDCPE FDCPE_iobs/PS_FSM_FFd1 (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,1'b0,1'b0); +
     assign iobs/PS_FSM_FFd1_D = ((iobs/PS_FSM_FFd2) +
      || (iobs/PS_FSM_FFd1 && iobs/IOACTr)); +
+FDCPE FDCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,1'b0,1'b0); +
     assign iobs/PS_FSM_FFd2_D = ((!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && nWE_FSB && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) +
      || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && +
      !iobs/PS_FSM_FFd1 && SW[1] && nADoutLE1) +
      || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && +
      cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) +
      || (iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1 && +
      iobs/IOACTr) +
      || (!iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1 && +
      !iobs/IOACTr) +
      || (iobs/Once && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && +
      nADoutLE1) +
      || (nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && +
      !fsb/ASrf && nADoutLE1) +
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)); +
+
+assign nADoutLE0 = (!iobm/ALE0 && !iobs/ALE0); +
+FDCPE FDCPE_nADoutLE1 (nADoutLE1,nADoutLE1_D,CLK_FSB,1'b0,1'b0); +
     assign nADoutLE1_D = ((iobs/Load1) +
      || (!iobs/Clear1 && !nADoutLE1)); +
+FDCPE FDCPE_nAS_IOB (nAS_IOB_I,nAS_IOB,!CLK2X_IOB,1'b0,1'b0); +
     assign nAS_IOB = ((!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2) +
      || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2)); +
     assign nAS_IOB = nAS_IOB_OE ? nAS_IOB_I : 1'bZ; +
     assign nAS_IOB_OE = !nAoutOE; +
+FDCPE FDCPE_nAoutOE (nAoutOE,nAoutOE_D,CLK2X_IOB,1'b0,1'b0); +
     assign nAoutOE_D = ((!iobm/BGr0 && !iobm/BGr1) +
      || (!iobm/BGr1 && nAoutOE) +
      || (!nAS_IOB && !iobm/BGr0 && !nAoutOE)); +
+
+assign nBERR_FSB = ((nAS_FSB) +
      || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && A_FSB[20] && +
      !iobs/BERR && !fsb/BERR0r && !fsb/BERR1r) +
      || (!iobs/BERR && !cnt/TimeoutB && !fsb/BERR0r && +
      !fsb/BERR1r)); +
+FDCPE FDCPE_nBR_IOB (nBR_IOB,1'b0,CLK_FSB,1'b0,1'b0,nBR_IOB_CE); +
     assign nBR_IOB_CE = (RESr0 && RESr1 && IPL2r0 && RESr2 && !RESDone && +
      IPL2r1); +
+FDCPE FDCPE_nCAS (nCAS,!ram/RASEL,!CLK_FSB,1'b0,1'b0); +
+FDCPE FDCPE_nDTACK_FSB (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,1'b0,1'b0); +
     assign nDTACK_FSB_D = ((EXP18_.EXP) +
      || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && +
      !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB) +
      || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && +
      A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && +
      cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && +
      !nADoutLE1) +
      || (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && +
      A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && +
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && +
      A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && +
      !fsb/Ready2r && nDTACK_FSB) +
      || (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && +
      A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && +
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && +
      A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && +
      !fsb/Ready2r && nDTACK_FSB) +
      || (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && +
      !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && +
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && +
      A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && +
      !fsb/Ready2r && nDTACK_FSB) +
      || (EXP21_.EXP) +
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && +
      !iobs/IOReady && nDTACK_FSB) +
      || (A_FSB[22] && !A_FSB[21] && !fsb/Ready1r && +
      !iobs/IOReady && nDTACK_FSB && !SW[1]) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && +
      !cs/nOverlay1 && !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) +
      || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && +
      !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB) +
      || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && +
      A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && +
      cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && +
      !nADoutLE1) +
      || (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && +
      A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && +
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && +
      A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && +
      !fsb/Ready2r && nDTACK_FSB) +
      || (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && +
      A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && +
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && +
      A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && +
      !fsb/Ready2r && nDTACK_FSB) +
      || (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && +
      !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && +
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && +
      A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && +
      !fsb/Ready2r && nDTACK_FSB) +
      || (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && +
      !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && +
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && +
      A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && +
      !fsb/Ready2r && nDTACK_FSB) +
      || (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && +
      !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && +
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && +
      A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && +
      !fsb/Ready2r && nDTACK_FSB)); +
+FDCPE FDCPE_nDinLE (nDinLE,nDinLE_D,!CLK2X_IOB,1'b0,1'b0); +
     assign nDinLE_D = (iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2); +
+
+assign nDinOE = ((A_FSB[23] && nWE_FSB && !nAS_FSB) +
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && +
      !nAS_FSB) +
      || (A_FSB[22] && !A_FSB[21] && nWE_FSB && !nAS_FSB && +
      !SW[1])); +
+FDCPE FDCPE_nDoutOE (nDoutOE,nDoutOE_D,CLK2X_IOB,1'b0,1'b0); +
     assign nDoutOE_D = ((!iobs/IORW0) +
      || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2)); +
+FDCPE FDCPE_nLDS_IOB (nLDS_IOB_I,nLDS_IOB,!CLK2X_IOB,1'b0,1'b0); +
     assign nLDS_IOB = ((iobs/IOL0 && !iobm/IOS_FSM_FFd3 && +
      iobm/IOS_FSM_FFd2) +
      || (iobs/IOL0 && iobm/IOS_FSM_FFd1 && +
      iobm/IOS_FSM_FFd2) +
      || (!iobs/IORW0 && iobs/IOL0 && iobm/IOS_FSM_FFd3 && +
      !iobm/IOS_FSM_FFd1)); +
     assign nLDS_IOB = nLDS_IOB_OE ? nLDS_IOB_I : 1'bZ; +
     assign nLDS_IOB_OE = !nAoutOE; +
+
+assign nOE = !((nWE_FSB && !nAS_FSB)); +
+
+assign nRAMLWE = !((!nWE_FSB && !nLDS_FSB && !ram/RAMDIS2 && !nAS_FSB && +
      !ram/RAMDIS1)); +
+
+assign nRAMUWE = !((!nWE_FSB && !nUDS_FSB && !ram/RAMDIS2 && !nAS_FSB && +
      !ram/RAMDIS1)); +
+
+assign nRAS = !(((ram/RefRAS) +
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && +
      !ram/RAMDIS2 && !nAS_FSB && !ram/RAMDIS1) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && +
      !cs/nOverlay1 && !ram/RAMDIS2 && !nAS_FSB && !ram/RAMDIS1))); +
+
+assign nROMCS = !(((A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !A_FSB[20] && +
      !SW[1]) +
      || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && +
      SW[1]) +
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !A_FSB[20] && +
      !cs/nOverlay1))); +
+
+assign nROMWE = !((!nWE_FSB && !nAS_FSB)); +
+FDCPE FDCPE_nUDS_IOB (nUDS_IOB_I,nUDS_IOB,!CLK2X_IOB,1'b0,1'b0); +
     assign nUDS_IOB = ((iobs/IOU0 && !iobm/IOS_FSM_FFd3 && +
      iobm/IOS_FSM_FFd2) +
      || (iobs/IOU0 && iobm/IOS_FSM_FFd1 && +
      iobm/IOS_FSM_FFd2) +
      || (!iobs/IORW0 && iobs/IOU0 && iobm/IOS_FSM_FFd3 && +
      !iobm/IOS_FSM_FFd1)); +
     assign nUDS_IOB = nUDS_IOB_OE ? nUDS_IOB_I : 1'bZ; +
     assign nUDS_IOB_OE = !nAoutOE; +
+FTCPE FTCPE_nVMA_IOB (nVMA_IOB_I,nVMA_IOB_T,CLK2X_IOB,1'b0,1'b0); +
     assign nVMA_IOB_T = ((!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && +
      !iobm/ES[3] && !iobm/ES[4]) +
      || (nVMA_IOB && iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && +
      !iobm/ES[3] && !iobm/ES[4] && iobm/IOACT && iobm/VPArf && +
      iobm/VPArr)); +
     assign nVMA_IOB = nVMA_IOB_OE ? nVMA_IOB_I : 1'bZ; +
     assign nVMA_IOB_OE = !nAoutOE; +
+
+assign nVPA_FSB = !((fsb/VPA && !nAS_FSB)); +
+FDCPE FDCPE_ram/BACTr (ram/BACTr,ram/BACTr_D,CLK_FSB,1'b0,1'b0); +
     assign ram/BACTr_D = (nAS_FSB && !fsb/ASrf); +
+FTCPE FTCPE_ram/Once (ram/Once,ram/Once_T,CLK_FSB,1'b0,1'b0); +
     assign ram/Once_T = ((ram/Once && nAS_FSB && !fsb/ASrf) +
      || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && +
      !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && +
      !ram/RS_FSM_FFd3) +
      || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && +
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && +
      !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && +
      !ram/RS_FSM_FFd3) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && +
      !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && +
      !ram/RS_FSM_FFd3 && fsb/ASrf)); +
+FDCPE FDCPE_ram/RAMDIS1 (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,1'b0,1'b0); +
     assign ram/RAMDIS1_D = ((RA_1_OBUF.EXP) +
      || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && +
      !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) +
      || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && +
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf) +
      || (!cnt/RefDone && ram/Once && !ram/RS_FSM_FFd1 && +
      !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) +
      || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && +
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && +
      cnt/RefCnt[7]) +
      || (!cnt/RefDone && nAS_FSB && !ram/RS_FSM_FFd1 && +
      !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && +
      !fsb/ASrf) +
      || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && !nAS_FSB && +
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr) +
      || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && +
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf) +
      || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && +
      !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr) +
      || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && +
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf) +
      || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && +
      !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr) +
      || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd3) +
      || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) +
      || (A_FSB[23] && !cnt/RefDone && !nAS_FSB && +
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr) +
      || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && +
      !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf) +
      || (!cnt/RefDone && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && +
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7])); +
+FTCPE FTCPE_ram/RAMDIS2 (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,1'b0,1'b0); +
     assign ram/RAMDIS2_T = ((!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && +
      ram/Once && !cs/nOverlay1 && !ram/RAMDIS2 && !nAS_FSB && +
      !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && +
      cnt/RefCnt[7]) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && +
      ram/Once && !cs/nOverlay1 && !ram/RAMDIS2 && !ram/RS_FSM_FFd2 && +
      !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && +
      fsb/ASrf) +
      || (ram/RAMDIS2 && nAS_FSB && !fsb/ASrf) +
      || (!cnt/RefDone && ram/Once && !ram/RAMDIS2 && !nAS_FSB && +
      ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && +
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) +
      || (!cnt/RefDone && ram/Once && !ram/RAMDIS2 && +
      ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && +
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) +
      || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && +
      cs/nOverlay1 && !ram/RAMDIS2 && !nAS_FSB && !ram/RS_FSM_FFd2 && +
      !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) +
      || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && +
      cs/nOverlay1 && !ram/RAMDIS2 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && +
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf)); +
+FDCPE FDCPE_ram/RAMReady (ram/RAMReady,ram/RAMReady_D,CLK_FSB,1'b0,1'b0); +
     assign ram/RAMReady_D = ((ram/RS_FSM_FFd2) +
      || (ram/RS_FSM_FFd3) +
      || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && +
      !nAS_FSB && !ram/RS_FSM_FFd1) +
      || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && +
      !ram/RS_FSM_FFd1 && fsb/ASrf) +
      || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && !nAS_FSB && +
      !ram/RS_FSM_FFd1 && !ram/BACTr) +
      || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && +
      !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/BACTr) +
      || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && +
      !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/BACTr) +
      || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && +
      !ram/RS_FSM_FFd1 && !ram/BACTr && fsb/ASrf) +
      || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && +
      !ram/RS_FSM_FFd1 && !ram/BACTr && fsb/ASrf) +
      || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && +
      !ram/RS_FSM_FFd1 && !ram/BACTr && fsb/ASrf) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && +
      !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && +
      !cs/nOverlay1 && !ram/RS_FSM_FFd1 && fsb/ASrf) +
      || (!cnt/RefDone && cnt/RefCnt[5] && cnt/RefCnt[6] && +
      cnt/RefCnt[7]) +
      || (A_FSB[23] && !cnt/RefDone && !nAS_FSB && +
      !ram/RS_FSM_FFd1 && !ram/BACTr) +
      || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && +
      !ram/BACTr && fsb/ASrf)); +
+FDCPE FDCPE_ram/RASEL (ram/RASEL,ram/RASEL_D,CLK_FSB,1'b0,1'b0); +
     assign ram/RASEL_D = ((A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && +
      !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr) +
      || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && +
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf) +
      || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && +
      !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr) +
      || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && +
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf) +
      || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && +
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && cnt/RefCnt[5] && cnt/RefCnt[6] && +
      cnt/RefCnt[7]) +
      || (EXP26_.EXP) +
      || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && +
      !ram/RS_FSM_FFd2 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) +
      || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && +
      !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2) +
      || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && +
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && fsb/ASrf) +
      || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && !nAS_FSB && +
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr) +
      || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && +
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf) +
      || (!ram/RS_FSM_FFd2 && ram/RS_FSM_FFd3) +
      || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && +
      !ram/RS_FSM_FFd3) +
      || (A_FSB[23] && !cnt/RefDone && !nAS_FSB && +
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr) +
      || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && +
      !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf) +
      || (!cnt/RefDone && nAS_FSB && !ram/RS_FSM_FFd2 && +
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && !fsb/ASrf)); +
+FDCPE FDCPE_ram/RS_FSM_FFd1 (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,CLK_FSB,1'b0,1'b0); +
     assign ram/RS_FSM_FFd1_D = ((!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && +
      ram/Once && !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && +
      !ram/RS_FSM_FFd2 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && +
      ram/Once && !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && +
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) +
      || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && +
      cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && +
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) +
      || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) +
      || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd3) +
      || (!cnt/RefDone && !nAS_FSB && ram/RS_FSM_FFd1 && +
      !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) +
      || (!cnt/RefDone && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && +
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) +
      || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && +
      cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && +
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7])); +
+FDCPE FDCPE_ram/RS_FSM_FFd2 (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,CLK_FSB,1'b0,1'b0); +
     assign ram/RS_FSM_FFd2_D = ((!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && +
      !cnt/RefCnt[5] && ram/BACTr) +
      || (!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && +
      !cnt/RefCnt[6] && ram/BACTr) +
      || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && +
      !cnt/RefCnt[5] && !fsb/ASrf) +
      || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && +
      !cnt/RefCnt[7] && !fsb/ASrf) +
      || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && +
      !cnt/RefCnt[6] && !fsb/ASrf) +
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && +
      !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) +
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && +
      !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && +
      !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && +
      !cs/nOverlay1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf) +
      || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) +
      || (cnt/RefDone && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) +
      || (!nAS_FSB && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) +
      || (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf) +
      || (!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && +
      !cnt/RefCnt[7] && ram/BACTr)); +
+FDCPE FDCPE_ram/RS_FSM_FFd3 (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_D,CLK_FSB,1'b0,1'b0); +
     assign ram/RS_FSM_FFd3_D = ((!cnt/RefDone && !nAS_FSB && ram/RS_FSM_FFd1 && +
      !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && +
      cnt/RefCnt[7]) +
      || (!cnt/RefDone && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && +
      !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && +
      fsb/ASrf) +
      || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && +
      cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && +
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) +
      || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && +
      cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && +
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && +
      !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && +
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && +
      !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && +
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) +
      || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) +
      || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && +
      !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) +
      || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && +
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && +
      !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && +
      !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf)); +
+FDCPE FDCPE_ram/RefRAS (ram/RefRAS,ram/RefRAS_D,CLK_FSB,1'b0,1'b0); +
     assign ram/RefRAS_D = (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2); +
+Register Legend: +
      FDCPE (Q,D,C,CLR,PRE,CE); +
      FTCPE (Q,D,C,CLR,PRE,CE); +
      LDCP (Q,D,G,CLR,PRE); +
+
+
+ + +
+ diff --git a/cpld/XC95144XL/WarpSE_html/fit/education.gif b/cpld/XC95144XL/WarpSE_html/fit/education.gif new file mode 100644 index 0000000000000000000000000000000000000000..07e950709accd2547b259bf8e7aae1fb82736b3a GIT binary patch literal 816 zcmV-01JC?NNk%w1VM_oV0M!5hUS3{XTU-0#W`%!XRaI5HyRRA=8o0Qvv9YC1O-({V zLMSLGG&D3IARtpyQ&?D7)WBp=P*AL&VrXVxa&BLlk73=&WF;jf@!4fDF)`=SWuc*z ze0N}zlY<@}9=*M?z_4S9iFu@?m!p_soSclxxMPopVS0LOv$Ll41ejE#sW7m*hWl$Dm3 zn396NFl$Z>+e0Tc$JB*-x( z0FNF^4&>kxmj2f`kf31wts%HtAylQ8|o+TS35xfqc()j%q@0RuF^_4@|r`s|CI>A_L%CS*&9L z24i3VP=c`JzE~yTKHFA9=cR}rglMfbEm{o{YAY@6vI1lc7$D?z8Tu%7fuq}2$cucn za^@pqW=#)5z{uGWP(35`Q(%h`5@(#PBv6S5CA*kNmyY3 literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/WarpSE_html/fit/endmkt.gif b/cpld/XC95144XL/WarpSE_html/fit/endmkt.gif new file mode 100644 index 0000000000000000000000000000000000000000..15371dcf341a42f7839b404d0c7e9d94e5a6a2d5 GIT binary patch literal 1560 zcmeH`=~EI20L7gSw|jL&Op{rerq-xdYpk_wC)dh!(^jMmQEpH)?`>%ZsVhD|vaTxw%PrJRE@tLn2|7l{eY!G8XF&mwS)Hsi>`G zR#($_JXU>uRb}Pv8#k^}C|T#v2$4Ht+Ksc*4ir7>Dtt4MSp)+Pfy3l$l&nsfWgo=KK{;V9O~_TJ~d^rSj;?L zLuv|9@q|A!c2_FqELrX@e=4(mVk}$elcq9b{~f*d`cPl#>mEjziqtwl)uHvVSD*jRsi-|3D_U=M$=rQ zzU6W34*=)(=u-#xaBg%5pRJ>NVW*kjbO>s@@B33WfFPx-IW<8v2vXa^ zc~Kiy6Y$=f%k76g;KdJVh4qF;Ok4JQ2W~=S80iHUsS`B}ic?0lL><^tE6bcQ59!2> zZxvTo&%dXNX~wo|PP#jUdVjp_Ag%tYmM24w} z10(7gI9=5xj>s}7xiD7sT$YhAB)YVb-cUR{5yH5#8{H+B&Wwri=j!C^4_YT)369-L zBtO3BhiffbQM4StZrOk8r@M~H-~GH`73xY49etLbH7!)$_gamTZ}pp4G@x9gm&Mz5 zVl#{0?7;bARg{S6RYgu%eau>SIviTyd^!lqO5FSeTR~Y2-ltk6RPIwzPM=X$I|);b z$H`j);Dx}55U`4}MpU;wR|&4eq-{1GWC1M5YsJnVkbf5f#KqvXrS$w?O*^8`fY-Y0 z!Ua`18I_*f=_fwepUelwLk9sUd*(>$@vE*VSH`_am9r~OLr6U3yAPd+dj~fc9@nUvyQ_7yWDiA!xk(hrNsPUrHeIP~`VH}yj zJm?&r*-&f#wD}ZGj>~3(Jz;%h;6Vx>EzLwGJk$Uk3Zz`TYa;^Spon literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/eqns.htm b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm similarity index 53% rename from cpld/XC95144XL/MXSE_html/fit/eqns.htm rename to cpld/XC95144XL/WarpSE_html/fit/eqns.htm index dd871c6..f1ea931 100644 --- a/cpld/XC95144XL/MXSE_html/fit/eqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm @@ -1,11 +1,11 @@ +
@@ -52,8 +52,8 @@ + +
 

  diff --git a/cpld/XC95144XL/MXSE_html/fit/leftnav.js b/cpld/XC95144XL/WarpSE_html/fit/leftnav.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/leftnav.js rename to cpld/XC95144XL/WarpSE_html/fit/leftnav.js diff --git a/cpld/XC95144XL/MXSE_html/fit/legend.gif b/cpld/XC95144XL/WarpSE_html/fit/legend.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/legend.gif rename to cpld/XC95144XL/WarpSE_html/fit/legend.gif diff --git a/cpld/XC95144XL/WarpSE_html/fit/legend.jpg b/cpld/XC95144XL/WarpSE_html/fit/legend.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1d04af024b10f70a03faa699fb2da92df25a3ced GIT binary patch literal 1256 zcmex=9X@jO*zpr5PhGlvPb?HxGHT=yahkYr<3UbkKb$@|Xn~>>0l3-%LJOC;5^8;98;2VV88*>51JHKa(Qear>Bm)mQ%? zq4QY(Gb~_T|M!Fap&jRMT>j@#*886!M)*I2TJL*7|q# z`G4m&UWt-e_v}+j#r>Sb*=1b9pC%uaJeqZQQH{W?XP<7#x*yu)pUi!}QY!vH)IF8l zb)OiDy4HT0RKWP)`rJRpf9KiwK3wa6ApF*{g^TX}XGpkVyY!3Uy}L^?4hL7?c-o=h zZ}G5c%5)A1ZGqnocF7+;KRDj|$5(9k!^$mlogLcjInFORydtZt==RL?f49sFJNY<@ zPV7kQII+;{lV1o!t*Tz<2Pe(9x=*jvXvOi`|`FD6KAU@8ln+!F=gI!^5x&@qZ0s`@bLf&%pKJ z{w-sNi+`-wWp0~g+9Ed5MDLt(0JqHgs9*E;<@{a!pW#UcP|NG){|s-oFn*qX`2MZr zBlkEzOl@yBnX7dB&9{j<)whawo{%{Cv)=3ee}=XrAFuyqT3i4A=YNJr8H*bDf8_Yf z)?d)N{_j7-{C{fwsN60M26Qdxd<-LY)=T}nD0RGho$fo+UM}%ztf_8&PgW{CUVr+n Js|e%&n*i?m6>0zg literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/WarpSE_html/fit/logic_legXC95.htm b/cpld/XC95144XL/WarpSE_html/fit/logic_legXC95.htm new file mode 100644 index 0000000..e253a0b --- /dev/null +++ b/cpld/XC95144XL/WarpSE_html/fit/logic_legXC95.htm @@ -0,0 +1,2 @@ +
+
diff --git a/cpld/XC95144XL/WarpSE_html/fit/logic_legXbr.htm b/cpld/XC95144XL/WarpSE_html/fit/logic_legXbr.htm new file mode 100644 index 0000000..d256e25 --- /dev/null +++ b/cpld/XC95144XL/WarpSE_html/fit/logic_legXbr.htm @@ -0,0 +1,16 @@ +
+I/O Style - OD    - OpenDrain
+          - PU    - Pullup
+          - PN    - Pulldown
+          - KPR   - Keeper
+          - S     - SchmittTrigger
+          - DG    - DataGate
+Reg Use   - LATCH - Transparent latch
+          - DFF   - D-flip-flop
+          - DEFF  - D-flip-flop with clock enable
+          - TFF   - T-flip-flop
+          - TDFF  - Dual-edge-triggered T-flip-flop
+          - DDFF  - Dual-edge-triggered flip-flop
+          - DDEFF - Dual-edge-triggered flip-flop with clock enable
+          /S (after any above flop/latch type) indicates initial state is Set
+
diff --git a/cpld/XC95144XL/WarpSE_html/fit/logic_legXpla3.htm b/cpld/XC95144XL/WarpSE_html/fit/logic_legXpla3.htm new file mode 100644 index 0000000..c0e6f4a --- /dev/null +++ b/cpld/XC95144XL/WarpSE_html/fit/logic_legXpla3.htm @@ -0,0 +1,3 @@ +
+Legend: PU  - Pull Up
+
diff --git a/cpld/XC95144XL/MXSE_html/fit/logicleft.htm b/cpld/XC95144XL/WarpSE_html/fit/logicleft.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/logicleft.htm rename to cpld/XC95144XL/WarpSE_html/fit/logicleft.htm diff --git a/cpld/XC95144XL/WarpSE_html/fit/logicleft.js b/cpld/XC95144XL/WarpSE_html/fit/logicleft.js new file mode 100644 index 0000000..2fa4142 --- /dev/null +++ b/cpld/XC95144XL/WarpSE_html/fit/logicleft.js @@ -0,0 +1 @@ +function showLogicLeft() { parent.leftnav.showLogicLeft(); } diff --git a/cpld/XC95144XL/MXSE_html/fit/logicleftdoc.htm b/cpld/XC95144XL/WarpSE_html/fit/logicleftdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/logicleftdoc.htm rename to cpld/XC95144XL/WarpSE_html/fit/logicleftdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/logiclegend.htm b/cpld/XC95144XL/WarpSE_html/fit/logiclegend.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/logiclegend.htm rename to cpld/XC95144XL/WarpSE_html/fit/logiclegend.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/logiclegendV.htm b/cpld/XC95144XL/WarpSE_html/fit/logiclegendV.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/logiclegendV.htm rename to cpld/XC95144XL/WarpSE_html/fit/logiclegendV.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/macrocell.gif b/cpld/XC95144XL/WarpSE_html/fit/macrocell.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/macrocell.gif rename to cpld/XC95144XL/WarpSE_html/fit/macrocell.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/mapinput_00.htm b/cpld/XC95144XL/WarpSE_html/fit/mapinput_00.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/mapinput_00.htm rename to cpld/XC95144XL/WarpSE_html/fit/mapinput_00.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/mapinput_01.htm b/cpld/XC95144XL/WarpSE_html/fit/mapinput_01.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/mapinput_01.htm rename to cpld/XC95144XL/WarpSE_html/fit/mapinput_01.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/mapinput_02.htm b/cpld/XC95144XL/WarpSE_html/fit/mapinput_02.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/mapinput_02.htm rename to cpld/XC95144XL/WarpSE_html/fit/mapinput_02.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/mapinputdoc.htm b/cpld/XC95144XL/WarpSE_html/fit/mapinputdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/mapinputdoc.htm rename to cpld/XC95144XL/WarpSE_html/fit/mapinputdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogic.js b/cpld/XC95144XL/WarpSE_html/fit/maplogic.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/maplogic.js rename to cpld/XC95144XL/WarpSE_html/fit/maplogic.js diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogic_00.htm b/cpld/XC95144XL/WarpSE_html/fit/maplogic_00.htm similarity index 93% rename from cpld/XC95144XL/MXSE_html/fit/maplogic_00.htm rename to cpld/XC95144XL/WarpSE_html/fit/maplogic_00.htm index 46ccfc2..d9938cb 100644 --- a/cpld/XC95144XL/MXSE_html/fit/maplogic_00.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/maplogic_00.htm @@ -20,45 +20,6 @@ Reg Init State -
ALE0M -3 -5 -FB6 -MC7 -STD -  -  -(b) -(b) -RESET - - -ALE0S -1 -2 -FB1 -MC13 -STD -  -  -(b) -(b) -RESET - - -BERR_IOBS -4 -8 -FB4 -MC18 -STD -  -  -(b) -(b) -RESET - - CLK20EN 1 1 @@ -85,84 +46,6 @@   -IOACT -7 -13 -FB6 -MC16 -STD -  -  -(b) -(b) -RESET - - -IOBERR -9 -13 -FB6 -MC18 -STD -  -  -(b) -(b) -RESET - - -IOL0 -3 -5 -FB4 -MC16 -STD -  -  -(b) -(b) -RESET - - -IOREQ -15 -20 -FB8 -MC9 -STD -  -67 -I/O -(b) -RESET - - -IORW0 -19 -21 -FB8 -MC18 -STD -  -  -(b) -(b) -RESET - - -IOU0 -3 -5 -FB1 -MC18 -STD -  -  -(b) -(b) -RESET - - IPL2r0 1 1 @@ -189,24 +72,11 @@ RESET -$OpTx$FX_DC$708 +$OpTx$FX_DC$591 1 2 FB1 -MC14 -STD -  -19 -I/O -I -  - - -$OpTx$$OpTx$FX_DC$182_INV$783 -6 -8 -FB7 -MC10 +MC13 STD     @@ -423,45 +293,6 @@ RESET -RefAck -1 -2 -FB1 -MC7 -STD -  -  -(b) -(b) -RESET - - -TimeoutA -3 -10 -FB4 -MC15 -STD -  -96 -I/O -I -RESET - - -TimeoutB -3 -12 -FB7 -MC3 -STD -  -  -(b) -(b) -RESET - - cnt/RefCnt<0> 0 0 @@ -479,23 +310,23 @@ 1 1 FB1 -MC6 +MC7 STD   -14 -I/O -I +  +(b) +(b) RESET cnt/RefCnt<2> 1 2 -FB1 -MC5 +FB4 +MC12 STD   -13 +94 I/O I RESET @@ -505,7 +336,7 @@ 1 3 FB4 -MC7 +MC10 STD     @@ -518,12 +349,12 @@ 1 4 FB4 -MC4 +MC9 STD   -  -(b) -(b) +92 +I/O +I RESET @@ -531,12 +362,12 @@ 1 5 FB4 -MC3 +MC8 STD   -  -(b) -(b) +91 +I/O +I RESET @@ -544,7 +375,7 @@ 1 6 FB4 -MC1 +MC7 STD     @@ -556,8 +387,8 @@ cnt/RefCnt<7> 1 7 -FB5 -MC3 +FB4 +MC4 STD     @@ -570,19 +401,6 @@ 2 10 FB4 -MC12 -STD -  -94 -I/O -I -RESET - - -cnt/TimeoutBPre -3 -11 -FB4 MC14 STD   @@ -592,10 +410,49 @@ RESET +cnt/TimeoutA +3 +10 +FB4 +MC16 +STD +  +  +(b) +(b) +RESET + + +cnt/TimeoutBPre +3 +11 +FB7 +MC7 +STD +  +  +(b) +(b) +RESET + + +cnt/TimeoutB +3 +12 +FB7 +MC13 +STD +  +  +(b) +(b) +RESET + + cs/nOverlay0 3 8 -FB4 +FB3 MC13 STD   @@ -608,8 +465,8 @@ cs/nOverlay1 2 3 -FB4 -MC10 +FB1 +MC18 STD     @@ -622,45 +479,45 @@ 1 1 FB1 -MC4 +MC6 STD   -  -(b) -(b) +14 +I/O +I RESET fsb/BERR0r 3 8 -FB8 -MC16 +FB3 +MC12 STD   -  -(b) -(b) +30 +I/O +I RESET fsb/BERR1r 2 4 -FB4 -MC9 +FB1 +MC17 STD   -92 -I/O -I +22 +I/O/GCK1 +GCK RESET fsb/Ready0r 3 8 -FB7 +FB3 MC4 STD   @@ -674,32 +531,6 @@ 8 18 FB3 -MC1 -STD -  -  -(b) -(b) -RESET - - -fsb/Ready2r -9 -22 -FB3 -MC5 -STD -  -24 -I/O -I -RESET - - -fsb/VPA -22 -31 -FB3 MC15 STD   @@ -709,9 +540,61 @@ RESET +fsb/Ready2r +9 +22 +FB3 +MC16 +STD +  +  +(b) +(b) +RESET + + +fsb/VPA +27 +33 +FB3 +MC1 +STD +  +  +(b) +(b) +RESET + + +iobm/ALE0 +3 +5 +FB6 +MC7 +STD +  +  +(b) +(b) +RESET + + iobm/BERRrf 1 1 +FB1 +MC5 +STD +  +13 +I/O +I +RESET + + +iobm/BERRrr +1 +1 FB2 MC17 STD @@ -722,7 +605,7 @@ RESET -iobm/BERRrr +iobm/BGr0 1 1 FB2 @@ -735,7 +618,7 @@ RESET -iobm/BGr0 +iobm/BGr1 1 1 FB2 @@ -748,7 +631,7 @@ RESET -iobm/BGr1 +iobm/DTACKrf 1 1 FB2 @@ -761,7 +644,7 @@ RESET -iobm/DTACKrf +iobm/DTACKrr 1 1 FB2 @@ -774,19 +657,6 @@ RESET -iobm/DTACKrr -1 -1 -FB2 -MC12 -STD -  -7 -I/O -I -RESET - - iobm/ES<0> 3 7 @@ -869,19 +739,6 @@ 1 1 FB2 -MC10 -STD -  -  -(b) -(b) -RESET - - -iobm/Er -1 -1 -FB2 MC11 STD   @@ -891,16 +748,55 @@ RESET +iobm/Er +1 +1 +FB2 +MC12 +STD +  +7 +I/O +I +RESET + + +iobm/IOACT +7 +13 +FB6 +MC16 +STD +  +  +(b) +(b) +RESET + + +iobm/IOBERR +9 +13 +FB6 +MC18 +STD +  +  +(b) +(b) +RESET + + iobm/IOREQr 1 1 FB2 -MC9 +MC10 STD   -4 -I/O/GTS2 -I +  +(b) +(b) RESET @@ -908,12 +804,12 @@ 2 3 FB1 -MC17 +MC16 STD   -22 -I/O/GCK1 -GCK +  +(b) +(b) RESET @@ -947,6 +843,19 @@ 1 1 FB1 +MC4 +STD +  +  +(b) +(b) +RESET + + +iobm/RESrr +1 +1 +FB1 MC3 STD   @@ -956,20 +865,20 @@ RESET -iobm/RESrr +iobm/VPArf 1 1 -FB1 -MC2 +FB2 +MC9 STD   -11 -I/O +4 +I/O/GTS2 I RESET -iobm/VPArf +iobm/VPArr 1 1 FB2 @@ -982,11 +891,24 @@ RESET -iobm/VPArr +iobs/ALE0 1 -1 -FB2 -MC7 +2 +FB4 +MC3 +STD +  +  +(b) +(b) +RESET + + +iobs/BERR +4 +8 +FB8 +MC16 STD     @@ -998,8 +920,8 @@ iobs/Clear1 1 3 -FB3 -MC18 +FB4 +MC1 STD     @@ -1012,12 +934,25 @@ 1 1 FB2 -MC6 +MC7 STD   -2 -I/O/GTS4 -I +  +(b) +(b) +RESET + + +iobs/IOL0 +3 +5 +FB5 +MC4 +STD +  +  +(b) +(b) RESET @@ -1025,7 +960,33 @@ 2 2 FB1 -MC16 +MC15 +STD +  +20 +I/O +I +RESET + + +iobs/IOREQ +15 +20 +FB8 +MC9 +STD +  +67 +I/O +(b) +RESET + + +iobs/IORW0 +19 +21 +FB8 +MC18 STD     @@ -1037,12 +998,12 @@ iobs/IORW1 17 20 -FB3 -MC3 +FB8 +MC14 STD   -  -(b) +71 +I/O (b) RESET @@ -1060,14 +1021,27 @@ RESET +iobs/IOU0 +3 +5 +FB4 +MC15 +STD +  +96 +I/O +I +RESET + + iobs/IOU1 2 2 FB1 -MC15 +MC14 STD   -20 +19 I/O I RESET @@ -1089,12 +1063,12 @@ iobs/Once 18 19 -FB8 -MC14 +FB5 +MC7 STD   -71 -I/O +  +(b) (b) RESET @@ -1103,12 +1077,12 @@ 2 3 FB4 -MC8 +MC13 STD   -91 -I/O -I +  +(b) +(b) RESET @@ -1217,8 +1191,8 @@ nDTACK_FSB -23 -32 +28 +34 FB3 MC9 STD @@ -1402,12 +1376,12 @@ 1 2 FB1 -MC1 +MC2 STD   -  -(b) -(b) +11 +I/O +I RESET @@ -1415,7 +1389,7 @@ 5 10 FB5 -MC16 +MC10 STD     @@ -1425,14 +1399,14 @@ ram/RAMDIS1 -18 +17 15 -FB5 -MC8 +FB7 +MC18 STD   -39 -I/O +  +(b) (b) RESET @@ -1441,7 +1415,7 @@ 7 15 FB5 -MC4 +MC3 STD     @@ -1451,23 +1425,23 @@ ram/RAMReady -16 +15 15 FB7 -MC1 +MC15 STD   -  -(b) -(b) +60 +I/O +I RESET ram/RASEL -20 +19 15 FB5 -MC1 +MC18 STD     @@ -1477,10 +1451,10 @@ ram/RS_FSM_FFd1 -5 -10 -FB7 -MC7 +8 +14 +FB4 +MC18 STD     @@ -1490,15 +1464,15 @@ ram/RS_FSM_FFd2 -13 +14 14 FB7 -MC17 +MC10 STD   -61 -I/O -I +  +(b) +(b) RESET @@ -1506,7 +1480,20 @@ 11 14 FB7 -MC13 +MC4 +STD +  +  +(b) +(b) +RESET + + +ram/RefRAS +1 +2 +FB1 +MC1 STD     diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogic_01.htm b/cpld/XC95144XL/WarpSE_html/fit/maplogic_01.htm similarity index 93% rename from cpld/XC95144XL/MXSE_html/fit/maplogic_01.htm rename to cpld/XC95144XL/WarpSE_html/fit/maplogic_01.htm index c274b9b..6ecfbdc 100644 --- a/cpld/XC95144XL/MXSE_html/fit/maplogic_01.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/maplogic_01.htm @@ -20,7 +20,7 @@ Reg Init State -ram/BACTr +ram/RefRAS 1 2 FB1 @@ -33,9 +33,9 @@ RESET -iobm/RESrr -1 +ram/BACTr 1 +2 FB1 MC2 STD @@ -46,7 +46,7 @@ RESET -iobm/RESrf +iobm/RESrr 1 1 FB1 @@ -59,7 +59,7 @@ RESET -fsb/ASrf +iobm/RESrf 1 1 FB1 @@ -72,9 +72,9 @@ RESET -cnt/RefCnt<2> +iobm/BERRrf +1 1 -2 FB1 MC5 STD @@ -85,7 +85,7 @@ RESET -cnt/RefCnt<1> +fsb/ASrf 1 1 FB1 @@ -98,9 +98,9 @@ RESET -RefAck +cnt/RefCnt<1> +1 1 -2 FB1 MC7 STD @@ -176,7 +176,7 @@ RESET -ALE0S +$OpTx$FX_DC$591 1 2 FB1 @@ -186,11 +186,11 @@   (b) (b) -RESET +  -$OpTx$FX_DC$708 -1 +iobs/IOU1 +2 2 FB1 MC14 @@ -199,10 +199,10 @@ 19 I/O I -  +RESET -iobs/IOU1 +iobs/IOL1 2 2 FB1 @@ -215,9 +215,9 @@ RESET -iobs/IOL1 -2 +iobm/IOS_FSM_FFd1 2 +3 FB1 MC16 STD @@ -228,9 +228,9 @@ RESET -iobm/IOS_FSM_FFd1 +fsb/BERR1r 2 -3 +4 FB1 MC17 STD @@ -241,9 +241,9 @@ RESET -IOU0 +cs/nOverlay1 +2 3 -5 FB1 MC18 STD @@ -258,19 +258,6 @@ 1 1 FB2 -MC6 -STD -  -2 -I/O/GTS4 -I -RESET - - -iobm/VPArr -1 -1 -FB2 MC7 STD   @@ -280,7 +267,7 @@ RESET -iobm/VPArf +iobm/VPArr 1 1 FB2 @@ -293,7 +280,7 @@ RESET -iobm/IOREQr +iobm/VPArf 1 1 FB2 @@ -306,7 +293,7 @@ RESET -iobm/Er2 +iobm/IOREQr 1 1 FB2 @@ -319,7 +306,7 @@ RESET -iobm/Er +iobm/Er2 1 1 FB2 @@ -332,7 +319,7 @@ RESET -iobm/DTACKrr +iobm/Er 1 1 FB2 @@ -345,7 +332,7 @@ RESET -iobm/DTACKrf +iobm/DTACKrr 1 1 FB2 @@ -358,7 +345,7 @@ RESET -iobm/BGr1 +iobm/DTACKrf 1 1 FB2 @@ -371,7 +358,7 @@ RESET -iobm/BGr0 +iobm/BGr1 1 1 FB2 @@ -384,7 +371,7 @@ RESET -iobm/BERRrr +iobm/BGr0 1 1 FB2 @@ -397,7 +384,7 @@ RESET -iobm/BERRrf +iobm/BERRrr 1 1 FB2 @@ -423,9 +410,9 @@ RESET -fsb/Ready1r -8 -18 +fsb/VPA +27 +33 FB3 MC1 STD @@ -436,11 +423,11 @@ RESET -iobs/IORW1 -17 -20 +fsb/Ready0r +3 +8 FB3 -MC3 +MC4 STD     @@ -449,22 +436,9 @@ RESET -fsb/Ready2r -9 -22 -FB3 -MC5 -STD -  -24 -I/O -I -RESET - - nDTACK_FSB -23 -32 +28 +34 FB3 MC9 STD @@ -475,9 +449,35 @@ RESET -fsb/VPA -22 -31 +fsb/BERR0r +3 +8 +FB3 +MC12 +STD +  +30 +I/O +I +RESET + + +cs/nOverlay0 +3 +8 +FB3 +MC13 +STD +  +  +(b) +(b) +RESET + + +fsb/Ready1r +8 +18 FB3 MC15 STD @@ -488,6 +488,19 @@ RESET +fsb/Ready2r +9 +22 +FB3 +MC16 +STD +  +  +(b) +(b) +RESET + + nROMWE 1 2 @@ -504,19 +517,6 @@ iobs/Clear1 1 3 -FB3 -MC18 -STD -  -  -(b) -(b) -RESET - - -cnt/RefCnt<6> -1 -6 FB4 MC1 STD @@ -540,9 +540,9 @@ SET -cnt/RefCnt<5> +iobs/ALE0 1 -5 +2 FB4 MC3 STD @@ -553,9 +553,9 @@ RESET -cnt/RefCnt<4> +cnt/RefCnt<7> 1 -4 +7 FB4 MC4 STD @@ -592,9 +592,9 @@   -cnt/RefCnt<3> +cnt/RefCnt<6> 1 -3 +6 FB4 MC7 STD @@ -605,9 +605,9 @@ RESET -iobs/PS_FSM_FFd1 -2 -3 +cnt/RefCnt<5> +1 +5 FB4 MC8 STD @@ -618,8 +618,8 @@ RESET -fsb/BERR1r -2 +cnt/RefCnt<4> +1 4 FB4 MC9 @@ -631,8 +631,8 @@ RESET -cs/nOverlay1 -2 +cnt/RefCnt<3> +1 3 FB4 MC10 @@ -657,9 +657,9 @@   -cnt/RefDone +cnt/RefCnt<2> +1 2 -10 FB4 MC12 STD @@ -670,9 +670,9 @@ RESET -cs/nOverlay0 +iobs/PS_FSM_FFd1 +2 3 -8 FB4 MC13 STD @@ -683,9 +683,9 @@ RESET -cnt/TimeoutBPre -3 -11 +cnt/RefDone +2 +10 FB4 MC14 STD @@ -696,9 +696,9 @@ RESET -TimeoutA +iobs/IOU0 3 -10 +5 FB4 MC15 STD @@ -709,9 +709,9 @@ RESET -IOL0 +cnt/TimeoutA 3 -5 +10 FB4 MC16 STD @@ -735,9 +735,9 @@ RESET -BERR_IOBS -4 +ram/RS_FSM_FFd1 8 +14 FB4 MC18 STD @@ -748,19 +748,6 @@ RESET -ram/RASEL -20 -15 -FB5 -MC1 -STD -  -  -(b) -(b) -RESET - - nROMCS 3 6 @@ -774,9 +761,9 @@   -cnt/RefCnt<7> -1 +ram/RAMDIS2 7 +15 FB5 MC3 STD @@ -787,9 +774,9 @@ RESET -ram/RAMDIS2 -7 -15 +iobs/IOL0 +3 +5 FB5 MC4 STD @@ -826,15 +813,15 @@   -ram/RAMDIS1 +iobs/Once 18 -15 +19 FB5 -MC8 +MC7 STD   -39 -I/O +  +(b) (b) RESET @@ -852,6 +839,19 @@   +ram/Once +5 +10 +FB5 +MC10 +STD +  +  +(b) +(b) +RESET + + RA<3> 2 3 @@ -917,11 +917,11 @@   -ram/Once -5 -10 +ram/RASEL +19 +15 FB5 -MC16 +MC18 STD     @@ -1008,7 +1008,7 @@ RESET -ALE0M +iobm/ALE0 3 5 FB6 @@ -1125,7 +1125,7 @@   -IOACT +iobm/IOACT 7 13 FB6 @@ -1151,7 +1151,7 @@ RESET -IOBERR +iobm/IOBERR 9 13 FB6 @@ -1164,19 +1164,6 @@ RESET -ram/RAMReady -16 -15 -FB7 -MC1 -STD -  -  -(b) -(b) -RESET - - RA<1> 2 3 @@ -1190,22 +1177,9 @@   -TimeoutB -3 -12 -FB7 -MC3 -STD -  -  -(b) -(b) -RESET - - -fsb/Ready0r -3 -8 +ram/RS_FSM_FFd3 +11 +14 FB7 MC4 STD @@ -1242,9 +1216,9 @@   -ram/RS_FSM_FFd1 -5 -10 +cnt/TimeoutBPre +3 +11 FB7 MC7 STD @@ -1281,9 +1255,9 @@   -$OpTx$$OpTx$FX_DC$182_INV$783 -6 -8 +ram/RS_FSM_FFd2 +14 +14 FB7 MC10 STD @@ -1291,7 +1265,7 @@   (b) (b) -  +RESET RA<9> @@ -1320,9 +1294,9 @@   -ram/RS_FSM_FFd3 -11 -14 +cnt/TimeoutB +3 +12 FB7 MC13 STD @@ -1346,19 +1320,32 @@   -ram/RS_FSM_FFd2 -13 -14 +ram/RAMReady +15 +15 FB7 -MC17 +MC15 STD   -61 +60 I/O I RESET +ram/RAMDIS1 +17 +15 +FB7 +MC18 +STD +  +  +(b) +(b) +RESET + + RA<11> 1 1 @@ -1437,7 +1424,7 @@   -IOREQ +iobs/IOREQ 15 20 FB8 @@ -1463,9 +1450,9 @@   -iobs/Once -18 -19 +iobs/IORW1 +17 +20 FB8 MC14 STD @@ -1489,8 +1476,8 @@ SET -fsb/BERR0r -3 +iobs/BERR +4 8 FB8 MC16 @@ -1502,7 +1489,7 @@ RESET -IORW0 +iobs/IORW0 19 21 FB8 diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogic_02.htm b/cpld/XC95144XL/WarpSE_html/fit/maplogic_02.htm similarity index 93% rename from cpld/XC95144XL/MXSE_html/fit/maplogic_02.htm rename to cpld/XC95144XL/WarpSE_html/fit/maplogic_02.htm index d437b2f..0e33a34 100644 --- a/cpld/XC95144XL/MXSE_html/fit/maplogic_02.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/maplogic_02.htm @@ -20,20 +20,7 @@ Reg Init State -iobs/IOACTr -1 -1 -FB2 -MC6 -STD -  -2 -I/O/GTS4 -I -RESET - - -iobm/VPArf +iobm/VPArr 1 1 FB2 @@ -46,7 +33,7 @@ RESET -iobm/IOREQr +iobm/VPArf 1 1 FB2 @@ -59,7 +46,7 @@ RESET -iobm/Er +iobm/Er2 1 1 FB2 @@ -72,7 +59,7 @@ RESET -iobm/DTACKrr +iobm/Er 1 1 FB2 @@ -85,7 +72,7 @@ RESET -iobm/BGr1 +iobm/DTACKrf 1 1 FB2 @@ -98,7 +85,7 @@ RESET -iobm/BGr0 +iobm/BGr1 1 1 FB2 @@ -111,7 +98,7 @@ RESET -iobm/BERRrf +iobm/BERRrr 1 1 FB2 @@ -124,9 +111,9 @@ RESET -iobm/RESrr -1 +ram/BACTr 1 +2 FB1 MC2 STD @@ -137,7 +124,7 @@ RESET -iobm/RESrf +iobm/RESrr 1 1 FB1 @@ -150,9 +137,9 @@ RESET -cnt/RefCnt<2> +iobm/BERRrf +1 1 -2 FB1 MC5 STD @@ -163,7 +150,7 @@ RESET -cnt/RefCnt<1> +fsb/ASrf 1 1 FB1 @@ -228,8 +215,8 @@ RESET -$OpTx$FX_DC$708 -1 +iobs/IOU1 +2 2 FB1 MC14 @@ -238,10 +225,10 @@ 19 I/O I -  +RESET -iobs/IOU1 +iobs/IOL1 2 2 FB1 @@ -254,9 +241,9 @@ RESET -iobm/IOS_FSM_FFd1 +fsb/BERR1r 2 -3 +4 FB1 MC17 STD @@ -267,22 +254,9 @@ RESET -fsb/Ready2r -9 -22 -FB3 -MC5 -STD -  -24 -I/O -I -RESET - - nDTACK_FSB -23 -32 +28 +34 FB3 MC9 STD @@ -293,9 +267,22 @@ RESET -fsb/VPA -22 -31 +fsb/BERR0r +3 +8 +FB3 +MC12 +STD +  +30 +I/O +I +RESET + + +fsb/Ready1r +8 +18 FB3 MC15 STD @@ -358,19 +345,6 @@   -ram/RAMDIS1 -18 -15 -FB5 -MC8 -STD -  -39 -I/O -(b) -RESET - - RA<4> 2 3 @@ -540,14 +514,14 @@   -ram/RS_FSM_FFd2 -13 -14 +ram/RAMReady +15 +15 FB7 -MC17 +MC15 STD   -61 +60 I/O I RESET @@ -605,7 +579,7 @@   -IOREQ +iobs/IOREQ 15 20 FB8 @@ -631,9 +605,9 @@   -iobs/Once -18 -19 +iobs/IORW1 +17 +20 FB8 MC14 STD @@ -826,9 +800,9 @@   -iobs/PS_FSM_FFd1 -2 -3 +cnt/RefCnt<5> +1 +5 FB4 MC8 STD @@ -839,8 +813,8 @@ RESET -fsb/BERR1r -2 +cnt/RefCnt<4> +1 4 FB4 MC9 @@ -865,9 +839,9 @@   -cnt/RefDone +cnt/RefCnt<2> +1 2 -10 FB4 MC12 STD @@ -878,9 +852,9 @@ RESET -cnt/TimeoutBPre -3 -11 +cnt/RefDone +2 +10 FB4 MC14 STD @@ -891,9 +865,9 @@ RESET -TimeoutA +iobs/IOU0 3 -10 +5 FB4 MC15 STD @@ -917,7 +891,7 @@ RESET -ram/BACTr +ram/RefRAS 1 2 FB1 @@ -933,7 +907,7 @@ RESET -fsb/ASrf +iobm/RESrf 1 1 FB1 @@ -949,9 +923,9 @@ RESET -RefAck +cnt/RefCnt<1> +1 1 -2 FB1 MC7 STD @@ -959,7 +933,7 @@   (b) (b) -D +T     RESET @@ -981,7 +955,7 @@ RESET -ALE0S +$OpTx$FX_DC$591 1 2 FB1 @@ -991,15 +965,15 @@   (b) (b) -D     -RESET +  +  -iobs/IOL1 -2 +iobm/IOS_FSM_FFd1 2 +3 FB1 MC16 STD @@ -1013,9 +987,9 @@ RESET -IOU0 +cs/nOverlay1 +2 3 -5 FB1 MC18 STD @@ -1029,7 +1003,7 @@ RESET -iobm/VPArr +iobs/IOACTr 1 1 FB2 @@ -1045,7 +1019,7 @@ RESET -iobm/Er2 +iobm/IOREQr 1 1 FB2 @@ -1061,7 +1035,7 @@ RESET -iobm/DTACKrf +iobm/DTACKrr 1 1 FB2 @@ -1077,7 +1051,7 @@ RESET -iobm/BERRrr +iobm/BGr0 1 1 FB2 @@ -1109,9 +1083,9 @@ RESET -fsb/Ready1r -8 -18 +fsb/VPA +27 +33 FB3 MC1 STD @@ -1125,11 +1099,27 @@ RESET -iobs/IORW1 -17 -20 +fsb/Ready0r +3 +8 FB3 -MC3 +MC4 +STD +  +  +(b) +(b) +D +  +  +RESET + + +cs/nOverlay0 +3 +8 +FB3 +MC13 STD     @@ -1141,11 +1131,11 @@ RESET -iobs/Clear1 -1 -3 +fsb/Ready2r +9 +22 FB3 -MC18 +MC16 STD     @@ -1157,43 +1147,59 @@ RESET +iobs/Clear1 +1 +3 +FB4 +MC1 +STD +  +  +(b) +(b) +D +  +  +RESET + + +iobs/ALE0 +1 +2 +FB4 +MC3 +STD +  +  +(b) +(b) +D +  +  +RESET + + +cnt/RefCnt<7> +1 +7 +FB4 +MC4 +STD +  +  +(b) +(b) +T +  +  +RESET + + cnt/RefCnt<6> 1 6 FB4 -MC1 -STD -  -  -(b) -(b) -T -  -  -RESET - - -cnt/RefCnt<5> -1 -5 -FB4 -MC3 -STD -  -  -(b) -(b) -T -  -  -RESET - - -cnt/RefCnt<4> -1 -4 -FB4 -MC4 +MC7 STD     @@ -1209,7 +1215,7 @@ 1 3 FB4 -MC7 +MC10 STD     @@ -1221,41 +1227,25 @@ RESET -cs/nOverlay1 +iobs/PS_FSM_FFd1 2 3 FB4 -MC10 -STD -  -  -(b) -(b) -D -  -  -RESET - - -cs/nOverlay0 -3 -8 -FB4 MC13 STD     (b) (b) -T +D     RESET -IOL0 +cnt/TimeoutA 3 -5 +10 FB4 MC16 STD @@ -1263,15 +1253,15 @@   (b) (b) -D +T     RESET -BERR_IOBS -4 +ram/RS_FSM_FFd1 8 +14 FB4 MC18 STD @@ -1279,31 +1269,15 @@   (b) (b) -T -  -  -RESET - - -ram/RASEL -20 -15 -FB5 -MC1 -STD -  -  -(b) -(b) D     RESET -cnt/RefCnt<7> -1 +ram/RAMDIS2 7 +15 FB5 MC3 STD @@ -1317,9 +1291,9 @@ RESET -ram/RAMDIS2 -7 -15 +iobs/IOL0 +3 +5 FB5 MC4 STD @@ -1327,6 +1301,38 @@   (b) (b) +D +  +  +RESET + + +iobs/Once +18 +19 +FB5 +MC7 +STD +  +  +(b) +(b) +D +  +  +RESET + + +ram/Once +5 +10 +FB5 +MC10 +STD +  +  +(b) +(b) T     @@ -1349,17 +1355,17 @@ RESET -ram/Once -5 -10 +ram/RASEL +19 +15 FB5 -MC16 +MC18 STD     (b) (b) -T +D     RESET @@ -1413,7 +1419,7 @@ RESET -ALE0M +iobm/ALE0 3 5 FB6 @@ -1461,7 +1467,7 @@ RESET -IOACT +iobm/IOACT 7 13 FB6 @@ -1477,7 +1483,7 @@ RESET -IOBERR +iobm/IOBERR 9 13 FB6 @@ -1493,41 +1499,9 @@ RESET -ram/RAMReady -16 -15 -FB7 -MC1 -STD -  -  -(b) -(b) -D -  -  -RESET - - -TimeoutB -3 -12 -FB7 -MC3 -STD -  -  -(b) -(b) -T -  -  -RESET - - -fsb/Ready0r -3 -8 +ram/RS_FSM_FFd3 +11 +14 FB7 MC4 STD @@ -1541,9 +1515,9 @@ RESET -ram/RS_FSM_FFd1 -5 -10 +cnt/TimeoutBPre +3 +11 FB7 MC7 STD @@ -1557,9 +1531,9 @@ RESET -$OpTx$$OpTx$FX_DC$182_INV$783 -6 -8 +ram/RS_FSM_FFd2 +14 +14 FB7 MC10 STD @@ -1567,15 +1541,15 @@   (b) (b) +D     -  -  +RESET -ram/RS_FSM_FFd3 -11 -14 +cnt/TimeoutB +3 +12 FB7 MC13 STD @@ -1589,6 +1563,22 @@ RESET +ram/RAMDIS1 +17 +15 +FB7 +MC18 +STD +  +  +(b) +(b) +D +  +  +RESET + + iobs/PS_FSM_FFd2 15 20 @@ -1621,8 +1611,8 @@ RESET -fsb/BERR0r -3 +iobs/BERR +4 8 FB8 MC16 @@ -1631,13 +1621,13 @@   (b) (b) -D +T     RESET -IORW0 +iobs/IORW0 19 21 FB8 diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogicdoc.htm b/cpld/XC95144XL/WarpSE_html/fit/maplogicdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/maplogicdoc.htm rename to cpld/XC95144XL/WarpSE_html/fit/maplogicdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/newappletref.htm b/cpld/XC95144XL/WarpSE_html/fit/newappletref.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/newappletref.htm rename to cpld/XC95144XL/WarpSE_html/fit/newappletref.htm diff --git a/cpld/XC95144XL/WarpSE_html/fit/next.jpg b/cpld/XC95144XL/WarpSE_html/fit/next.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b8bbb999ee5f7042c9dcbad4dacf3659aa2ee7a8 GIT binary patch literal 1079 zcmex=9X@jO*zpr5PhGlvPb?HxGHT=yahkYr<3UbkKb$@|Xn~>>0k;H_C~9n78`F`QPzJCPur8PO(e59<=M&#AB!andt81j&-><& zq0R)8)>*%}S1eCIc5p`YX|0I4_YHq$Fz?;;;rYSt*U{0tb<-VpZr`&@tnAX^l};~h z4@wFuvh)0Bc+*$ATG}JO(dzgS4jcZ(uV&>fUG1N$daHKQE6pTDX*Pvd9!8IgE0a~U zjAeMzr9*y*KC+EJcQ} z_Q%h2XUvoA_V4%D9AWcJ^)|EmddV+~w(ZY~|Jm}-{  Pterm Limit (1-90) - 25 + 50 diff --git a/cpld/XC95144XL/MXSE_html/fit/optionsdoc.htm b/cpld/XC95144XL/WarpSE_html/fit/optionsdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/optionsdoc.htm rename to cpld/XC95144XL/WarpSE_html/fit/optionsdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/paths.js b/cpld/XC95144XL/WarpSE_html/fit/paths.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/paths.js rename to cpld/XC95144XL/WarpSE_html/fit/paths.js diff --git a/cpld/XC95144XL/MXSE_html/fit/pin.gif b/cpld/XC95144XL/WarpSE_html/fit/pin.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pin.gif rename to cpld/XC95144XL/WarpSE_html/fit/pin.gif diff --git a/cpld/XC95144XL/WarpSE_html/fit/pin_legXC95.htm b/cpld/XC95144XL/WarpSE_html/fit/pin_legXC95.htm new file mode 100644 index 0000000..db88bcb --- /dev/null +++ b/cpld/XC95144XL/WarpSE_html/fit/pin_legXC95.htm @@ -0,0 +1,13 @@ +
+Legend :  NC  = Not Connected, unbonded pin
+         PGND = Unused I/O configured as additional Ground pin
+         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
+         VCC  = Dedicated Power Pin
+         GND  = Dedicated Ground Pin
+         TDI  = Test Data In, JTAG pin
+         TDO  = Test Data Out, JTAG pin
+         TCK  = Test Clock, JTAG pin
+         TMS  = Test Mode Select, JTAG pin
+         PE   = Port Enable pin
+  PROHIBITED  = User reserved pin
+
diff --git a/cpld/XC95144XL/WarpSE_html/fit/pin_legXbr.htm b/cpld/XC95144XL/WarpSE_html/fit/pin_legXbr.htm new file mode 100644 index 0000000..00a6d2c --- /dev/null +++ b/cpld/XC95144XL/WarpSE_html/fit/pin_legXbr.htm @@ -0,0 +1,21 @@ +
+Legend :  NC  = Not Connected, unbonded pin
+        PGND  = Unused I/O configured as additional Ground pin
+         KPR  = Unused I/O with weak keeper (leave unconnected)
+         WPU  = Unused I/O with weak pull up (leave unconnected)
+         WPD  = Unused I/O with weak pull down (leave unconnected)
+         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
+         VCC  = Dedicated Power Pin
+      VCCAUX  = Power supply for JTAG pins
+   VCCIO-3.3  = I/O supply voltage for LVTTL, LVCMOS33, SSTL3_I
+   VCCIO-2.5  = I/O supply voltage for LVCMOS25, SSTL2_I
+   VCCIO-1.8  = I/O supply voltage for LVCMOS18
+   VCCIO-1.5  = I/O supply voltage for LVCMOS15, HSTL_I
+        VREF  = Reference voltage for indicated input standard
+         GND  = Dedicated Ground Pin
+         TDI  = Test Data In, JTAG pin
+         TDO  = Test Data Out, JTAG pin
+         TCK  = Test Clock, JTAG pin
+         TMS  = Test Mode Select, JTAG pin
+  PROHIBITED  = User reserved pin
+
diff --git a/cpld/XC95144XL/WarpSE_html/fit/pin_legXpla3.htm b/cpld/XC95144XL/WarpSE_html/fit/pin_legXpla3.htm new file mode 100644 index 0000000..8dad55d --- /dev/null +++ b/cpld/XC95144XL/WarpSE_html/fit/pin_legXpla3.htm @@ -0,0 +1,13 @@ +
+Legend :  NC  = Not Connected, unbonded pin
+          PE  = Port Enable pin
+         WPU  = Unused with Internal Weak Pull Up
+         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
+         VCC  = Dedicated Power Pin
+         GND  = Dedicated Ground Pin
+         TDI  = Test Data In, JTAG pin
+         TDO  = Test Data Out, JTAG pin
+         TCK  = Test Clock, JTAG pin
+         TMS  = Test Mode Select, JTAG pin
+  PROHIBITED  = User reserved pin
+
diff --git a/cpld/XC95144XL/MXSE_html/fit/pindiagram.gif b/cpld/XC95144XL/WarpSE_html/fit/pindiagram.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pindiagram.gif rename to cpld/XC95144XL/WarpSE_html/fit/pindiagram.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/pinlegend.htm b/cpld/XC95144XL/WarpSE_html/fit/pinlegend.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pinlegend.htm rename to cpld/XC95144XL/WarpSE_html/fit/pinlegend.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/pinlegendV.htm b/cpld/XC95144XL/WarpSE_html/fit/pinlegendV.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pinlegendV.htm rename to cpld/XC95144XL/WarpSE_html/fit/pinlegendV.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/pins.htm b/cpld/XC95144XL/WarpSE_html/fit/pins.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pins.htm rename to cpld/XC95144XL/WarpSE_html/fit/pins.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/pins.js b/cpld/XC95144XL/WarpSE_html/fit/pins.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pins.js rename to cpld/XC95144XL/WarpSE_html/fit/pins.js diff --git a/cpld/XC95144XL/MXSE_html/fit/pinsdoc.htm b/cpld/XC95144XL/WarpSE_html/fit/pinsdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pinsdoc.htm rename to cpld/XC95144XL/WarpSE_html/fit/pinsdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/pinview.jpg b/cpld/XC95144XL/WarpSE_html/fit/pinview.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pinview.jpg rename to cpld/XC95144XL/WarpSE_html/fit/pinview.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/plugin.js b/cpld/XC95144XL/WarpSE_html/fit/plugin.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/plugin.js rename to cpld/XC95144XL/WarpSE_html/fit/plugin.js diff --git a/cpld/XC95144XL/MXSE_html/fit/prev.jpg b/cpld/XC95144XL/WarpSE_html/fit/prev.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/prev.jpg rename to cpld/XC95144XL/WarpSE_html/fit/prev.jpg diff --git a/cpld/XC95144XL/WarpSE_html/fit/print.jpg b/cpld/XC95144XL/WarpSE_html/fit/print.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b558dece2ea9343c1fe7d777550fc0d8ca8e01ac GIT binary patch literal 1683 zcmbV}c{tR09LK+7X3RLpk8xk?isZ-@VM#I0wpgKvbq^whhAfh!P_l(WHY6cs+*fj6 zDT#5*kz){MVk2R8w7cy-`&YZ~&-490pXd3$Ki}v5dOoxv+9cpG!5QNK3f2m}I-q+duRk`cvsSE z-ox`kKw!{CLU72HsOXs3tJmUwxpg}^CH2nTwCtP*xexOmKwk?2 zwZ{cxxJW;62!Rw+V&v2_M>+a&i7Q`5V~-|f5gVB#R4gdmPX4{jJd&zYQq(=PFJ#{a z7Wu!(zJmSDH3C@SF#7V~5YPqdPlx9l!$hdk5#B3-w%jRrlBGt2r1LZ1t)kuJ3r|Ky z@$hCXHRZ&IL)enEh3w?36>|GX@-B+nZu?2vH&40iOWolzGmRgj0eA01T?Y!|&#G9E z9EqfvxUT6LK@a(P7Qv!gxmx@4;=0MHQcd@|x{IvT2W^ySAZn?#!`BFfqdL57*NcB! zy&GVM`C;?G)GCtDXGWSyH>bR+R7=T~gDMgbE=Llaty3iATGcy+y06#8CcbctG!kaZ zi>YTjyJVXLZ#=seE$U7~jJMIVQ0zK&J<^Phzl5+4!LXrLuap+z$+ z<0DJJz*~d*`_gv>4vWX@R*xbdV2Clxl}ZIsF&aGSlZr7Sii6sYkL~PjY{@lN_oz;5 zEvA8iSfc&7q3BlmH4|@wRi%#H7V!0Av+s=ZH?tFy znms%GVHBPI;GFQIAq}@|UH8wz0;^WxSb~q2m#tOpt@m3QTw1t157`3abCn(7l})rz zhLv@!4w{f|wy8&HZc?_#hmY8EQ$q#ja^?IxXOFX97&Ed`nT>HDl?8kO1YJ5HnWX04=uP+_bV^L#8aq>%W?-h*QVCqrp3U#F<#%JxsKMCd7I_jx8_iNrl1vE--vu*Y*KH*c_AME)_Hac!u z3m2^Ml9vWhb(eIqrsYHWEGfIG`CghU=|KZQ{zk>^9$xT9@pkp(dj4GN!!CB0lgIS- zMQEne049{Dm{?k0Kd{bXYf2umHJQ?bA?0aXCZIgaOxQ9dMDEnF<&%{KwFC=m&81pr*4lm| zOgoi=MNAs;I|Ro(f_<=g0;X+J>S4W6m^*F|oP$5;FygS7`a0bGg)=LD%CW-LH1lN1 zr-Uqrq@)y?d(58W=37+x^ zc05F`358frrb{B95BOcFa!y*d(w*{MoLEQo>8^Is09Doe2yao?_k@}^$jWk~`_U>D z8l#>G85uxnAeRPWBB_om}jw=I($f!V|>3WoQb*p3TtO8iG3Cj-7%pZ;b2_Ca_G g71N?Me#yf7*vS&>Dp%(2l6OH`nVP)@SQu^icTnr;*Z=?k literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/WarpSE_html/fit/products.gif b/cpld/XC95144XL/WarpSE_html/fit/products.gif new file mode 100644 index 0000000000000000000000000000000000000000..768040424da06354f7c2ef4e69841ba8ec949646 GIT binary patch literal 828 zcmV-C1H=4BNk%w1VMzcT0M!5hUS3{XTU-0#W>r;Hg@0fg8X7`ELQPFgG&D3IARs6x zD7(9_xVWvcv87W}Q&?D7rlyxrP*7-QU%kDu)WBprJ3F?vs(g1~@!4gppJH-uUzv|# zN=iy4B_*t^pxwx1F)=ZZhhf05W0RAE!os+TiFqC#9;29I=h0=pzO;IJY|6M}oSckB zMMbl-r!Oxrwx?pbxvo}LR=T>dKR-WFQAk=^P=9}MPEJm_xvgPgSFo_Bc6MlJXj^S< zVRCY1A^8LV00000EC2ui07(EH000L6K$0*;oEVLZq;kn@I-k&}lb9kEQ^r}0!R>m# z;IMd1<}Qpek7viQxZkdZd`_?1@A$lao|~jB1%ZNtgoTEOh>41YE(sG&Bao#Kp$P$jQpf z%E2Bj1}!Zd90%6d*xB0K+}+;Y)E?9vI1vs5=;`X~?CtLF@b3;05D^FFG7ka!{Qds_ z00RmfDDZ&{2=mVA1HiDM!-o(fN}Nb>Vt@w-%?(&sv7^V29xrB87@(m90w^^wJc*Kk z1cw(?u55W>=1TwsWa50PsbAwk234{(!isLRl+2BrY? zHU&UIYuvd2R-j<}V1(PFa6D)J(TCLkcShcg+y`=7pM!9czXW;^xDP zmNmXj`hfxlz*y5t$RMC$UDb_MdnF#Ral;HBl)|7~;<9n38BV-RdV)ac4Wt>iR*fQq z@(kg@ZaD4uHGtupZ$GH68?$PprdQwn5Mt^Ir`?GV9|jZiq{6>IW>&3=U1{e$` zpiT^3bc1#Hag`xM7)*Cr!3t3Er;HySuL%8XCB`t+BDCO-)TgLP97g zC?FspG&D3&P*CyNWpZv`-Nm#;IMd1E_*wQw0g~MyWjA*eBEK# z@A$la&+q&Hcpi5NgoTEOh>41ejExB%h6s|Al$Dm3n3$Q_Q-x6=XmI6Eq%eg7d=Qf71bg!GrR?`l zqEwCm5Q2qiGT6lo5@>G4IG5u}2N24RB#ACog{2)?I&exbm; zYVwkkBbF|mR#uiVZCdNLZL3zTnzMZQ%uSn?W@JQncULpu1v(&EkX;O{c?pVrDVg(L zaIDQaEmfd%JUI8h*@E{q@4ru6P@v%>(SKrb%E=rf=?g1Nv{po|zt&K8`YzwT2L?YJ z^=6;$+ETT4-H!VocG&c-xc&ZHYu)+#*8dtBo0^+g+S)rhyE;XB`}+HMCQY6)b=p++ MnX_ikQe?0O0MC_UO8@`> literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/style.css b/cpld/XC95144XL/WarpSE_html/fit/style.css similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/style.css rename to cpld/XC95144XL/WarpSE_html/fit/style.css diff --git a/cpld/XC95144XL/MXSE_html/fit/summary.htm b/cpld/XC95144XL/WarpSE_html/fit/summary.htm similarity index 91% rename from cpld/XC95144XL/MXSE_html/fit/summary.htm rename to cpld/XC95144XL/WarpSE_html/fit/summary.htm index 2c06e79..4e6c340 100644 --- a/cpld/XC95144XL/MXSE_html/fit/summary.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/summary.htm @@ -9,7 +9,7 @@ - + - +
 Design Name  MXSE WarpSE
 Fitting Status @@ -30,7 +30,7 @@
 Date   3-27-2022, 10:08AM  3-28-2022, 9:46AM

RESOURCES SUMMARY
@@ -42,11 +42,11 @@ - - + + - +
Function Block Inputs Used
115/144  (80%)458/720  (64%)114/144  (80%)463/720  (65%) 89/144  (62%) 74/81  (92%)258/432  (60%)253/432  (59%)

PIN RESOURCES
@@ -133,7 +133,7 @@
- + @@ -141,7 +141,7 @@ - +
 Macrocells in high performance mode (MCHP) 115 114
 Macrocells in low power mode (MCLP)
 Total macrocells used (MC) 115 114
diff --git a/cpld/XC95144XL/MXSE_html/fit/summary.js b/cpld/XC95144XL/WarpSE_html/fit/summary.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/summary.js rename to cpld/XC95144XL/WarpSE_html/fit/summary.js diff --git a/cpld/XC95144XL/MXSE_html/fit/summarydoc.htm b/cpld/XC95144XL/WarpSE_html/fit/summarydoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/summarydoc.htm rename to cpld/XC95144XL/WarpSE_html/fit/summarydoc.htm diff --git a/cpld/XC95144XL/WarpSE_html/fit/support.gif b/cpld/XC95144XL/WarpSE_html/fit/support.gif new file mode 100644 index 0000000000000000000000000000000000000000..a154620eb23ea85665f2a9d925132d1cb474dbdb GIT binary patch literal 760 zcmVr;Hg@0hXyRRA=8o0Qvv9YC1O-({V zLLeX@C@3g2G&ECFQ&?D7P*6~4W?$66WbxT$te;|XZeJxOC46^akB4EQp_JXoWHB)@ zz_4SJlY_mzvK}5DiHUimm|~faVWgy&oSck$dTi&>Wy-i?si~VwOG`aHJvur%v$LnP zw5df!MM+6XFE1~yuA#Q4Vn9GZR#sM8T2Q&UtzltTXlPrxxvqbIaZyo7baZDJu-SE}PHjv>IXKwhTUld`_?1@A$mF&RTpHfPsR8goTEOh=VK^j1~-!kdcy;l$Dm3 zkSs8p8ygLuprN9pq@|{(4I42ltSTxq3bC@Yw6(UkxVSSaF)j)&E-xtw#Kp$P$jQpf z%PB7?&M7?*4g=QL*xB0K+}+&{5GW7{)HDwP=;`X~?CtLF?+-L6;ML~<`1$(#{Qds_ z{{lQ1QE$LKfCv*R>?bfo!Gi!U5XcvSKm>dQDpVwp5#Pp+`Yu$U$dTj4k|p&CB=Il+ zfCv%<2$+BY0099L0SKUQQ>Fm_4mN%M#3y2cOcNJ^`kZh|RJ3!SrCR ze>qSHaq{Qxi;9UNz8QkRVG(fUzJ3t9vP%jRL=fO`6~F`u1Z;~%4Va<{4H$~P-M%xq zWF2-Ra4-rUABLD3LiEMhJ>P{BGtc&&pc%4qs3q97(0~N`BT#_O6{z5X0`VZ=f)Fay q;DZrXXy5}sP-x+X`3Qs+gN7V#D9{ZchFGCMHI!%~gaYjl1OPiZ2uK$I literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/WarpSE_html/fit/time.htm b/cpld/XC95144XL/WarpSE_html/fit/time.htm new file mode 100644 index 0000000..ae0d1cd --- /dev/null +++ b/cpld/XC95144XL/WarpSE_html/fit/time.htm @@ -0,0 +1,923 @@ + +
+                           Performance Summary Report
+                           --------------------------
+
+Design:     WarpSE
+Device:     XC95144XL-10-TQ100
+Speed File: Version 3.0
+Program:    Timing Report Generator:  version P.20131013
+Date:       Mon Mar 28 09:28:06 2022
+
+Timing Constraint Summary:
+
+TS_CLK_IOB=PERIOD:CLK_IOB:142.857nS:HIGH:71.428nS                            N/A
+TS_CLK_FSB=PERIOD:CLK_FSB:40.000nS:HIGH:20.000nS                             Met
+TS_CLK2X_IOB=PERIOD:CLK2X_IOB:66.666nS:HIGH:33.333nS                         Met
+
+Performance Summary:
+
+Pad to Pad (tPD)                          :         11.0ns (1 macrocell levels)
+Pad 'A_FSB<11>' to Pad 'RA<1>'                                    
+
+Clock net 'CLK_IOB' path delays:
+
+Setup to Clock at the Pad (tSU)           :          6.5ns (0 macrocell levels)
+Data signal 'E_IOB' to DFF D input Pin at 'iobm/Er.D'
+Clock pad 'CLK_IOB'                                                       (GCK)
+
+                          Minimum Clock Period: 9.0ns
+                     Maximum Internal Clock Speed: 111.1Mhz
+                         (Limited by Clock Pulse Width)
+
+Clock net 'CLK_FSB' path delays:
+
+Clock Pad to Output Pad (tCO)             :         14.5ns (2 macrocell levels)
+Clock Pad 'CLK_FSB' to Output Pad 'RA<1>'                                 (GCK)
+
+Clock to Setup (tCYC)                     :         20.1ns (2 macrocell levels)
+Clock to Q, net 'cs/nOverlay1.Q' to DFF Setup(D) at 'fsb/VPA.D'           (GCK)
+Target FF drives output net 'fsb/VPA'
+
+Setup to Clock at the Pad (tSU)           :         16.6ns (1 macrocell levels)
+Data signal 'A_FSB<23>' to DFF D input Pin at 'fsb/VPA.D'
+Clock pad 'CLK_FSB'                                                       (GCK)
+
+                          Minimum Clock Period: 20.1ns
+                     Maximum Internal Clock Speed: 49.7Mhz
+                            (Limited by Cycle Time)
+
+Clock net 'CLK2X_IOB' path delays:
+
+Clock Pad to Output Pad (tCO)             :         14.5ns (2 macrocell levels)
+Clock Pad 'CLK2X_IOB' to Output Pad 'nVMA_IOB'                            (GCK)
+
+Clock to Setup (tCYC)                     :         11.0ns (1 macrocell levels)
+Clock to Q, net 'iobm/IOS_FSM_FFd3.Q' to DFF Setup(D) at 'IOACT.D'        (GCK)
+Target FF drives output net 'IOACT'
+
+Setup to Clock at the Pad (tSU)           :          7.5ns (0 macrocell levels)
+Data signal 'CLK_IOB' to DFF D input Pin at 'IOACT.D'
+Clock pad 'CLK2X_IOB'                                                     (GCK)
+
+                          Minimum Clock Period: 11.0ns
+                     Maximum Internal Clock Speed: 90.9Mhz
+                            (Limited by Cycle Time)
+
+--------------------------------------------------------------------------------
+                            Pad to Pad (tPD) (nsec)
+
+\ From        A     A     A     A     A     A     A     A     A     A     A
+ \            _     _     _     _     _     _     _     _     _     _     _
+  \           F     F     F     F     F     F     F     F     F     F     F
+   \          S     S     S     S     S     S     S     S     S     S     S
+    \         B     B     B     B     B     B     B     B     B     B     B
+     \        <     <     <     <     <     <     <     <     <     <     <
+      \       1     1     1     1     1     1     1     1     1     1     1
+       \      0     1     2     3     4     5     6     7     8     9     >
+        \     >     >     >     >     >     >     >     >     >     >      
+  To     \------------------------------------------------------------------
+
+CLK20EN                                                                    
+CLK25EN                                                                    
+RA<0>      10.0                                                        10.0
+RA<10>                                                                     
+RA<11>                                                           10.0      
+RA<1>            11.0                                                      
+RA<2>                  11.0                                                
+RA<3>                        10.0                                          
+RA<4>                              11.0                                    
+RA<5>                                    11.0                              
+RA<6>                                          10.0                        
+RA<7>                                                10.0                  
+RA<8>                                                      10.0            
+RA<9>                                                            10.0      
+nBERR_FSB                                                                  
+nDinOE                                                                     
+nOE                                                                        
+nRAMLWE                                                                    
+nRAMUWE                                                                    
+nRAS                                                                       
+nROMCS                                                                     
+nROMWE                                                                     
+nVPA_FSB                                                                   
+
+--------------------------------------------------------------------------------
+                            Pad to Pad (tPD) (nsec)
+
+\ From        A     A     A     A     A     A     A     A     A     A     A
+ \            _     _     _     _     _     _     _     _     _     _     _
+  \           F     F     F     F     F     F     F     F     F     F     F
+   \          S     S     S     S     S     S     S     S     S     S     S
+    \         B     B     B     B     B     B     B     B     B     B     B
+     \        <     <     <     <     <     <     <     <     <     <     <
+      \       2     2     2     2     2     3     4     5     6     7     8
+       \      0     1     2     3     >     >     >     >     >     >     >
+        \     >     >     >     >                                          
+  To     \------------------------------------------------------------------
+
+CLK20EN                                                                    
+CLK25EN                                                                    
+RA<0>                                                                      
+RA<10>           10.0                                                      
+RA<11>                                                                     
+RA<1>                              11.0                                    
+RA<2>                                    11.0                              
+RA<3>                                          10.0                        
+RA<4>                                                11.0                  
+RA<5>                                                      11.0            
+RA<6>                                                            10.0      
+RA<7>                                                                  10.0
+RA<8>            11.0  11.0  11.0                                          
+RA<9>      10.0                                                            
+nBERR_FSB  11.0  11.0  11.0  11.0                                          
+nDinOE     10.0  10.0  10.0  10.0                                          
+nOE                                                                        
+nRAMLWE                                                                    
+nRAMUWE                                                                    
+nRAS             11.0  11.0  11.0                                          
+nROMCS     11.0  11.0  11.0  11.0                                          
+nROMWE                                                                     
+nVPA_FSB                                                                   
+
+--------------------------------------------------------------------------------
+                            Pad to Pad (tPD) (nsec)
+
+\ From        A     S     S     n     n     n     n
+ \            _     W     W     A     L     U     W
+  \           F     <     <     S     D     D     E
+   \          S     0     1     _     S     S     _
+    \         B     >     >     F     _     _     F
+     \        <                 S     F     F     S
+      \       9                 B     S     S     B
+       \      >                       B     B      
+        \                                          
+  To     \------------------------------------------
+
+CLK20EN          10.0                              
+CLK25EN          10.0                              
+RA<0>                                              
+RA<10>                                             
+RA<11>                                             
+RA<1>                                              
+RA<2>                                              
+RA<3>                                              
+RA<4>                                              
+RA<5>                                              
+RA<6>                                              
+RA<7>                                              
+RA<8>      11.0                                    
+RA<9>                                              
+nBERR_FSB                    10.0                  
+nDinOE                 10.0  10.0              10.0
+nOE                          10.0              10.0
+nRAMLWE                      10.0  10.0        10.0
+nRAMUWE                      11.0        11.0  11.0
+nRAS                         11.0                  
+nROMCS                 11.0                        
+nROMWE                       10.0              10.0
+nVPA_FSB                     10.0                  
+
+--------------------------------------------------------------------------------
+                      Clock Pad to Output Pad (tCO) (nsec)
+
+\ From         C     C
+ \             L     L
+  \            K     K
+   \           2     _
+    \          X     F
+     \         _     S
+      \        I     B
+       \       O      
+        \      B      
+         \            
+  To      \------------
+
+RA<0>             13.5
+RA<1>             14.5
+RA<2>             14.5
+RA<3>             13.5
+RA<4>             14.5
+RA<5>             14.5
+RA<6>             13.5
+RA<7>             13.5
+RA<8>             14.5
+RA<9>             13.5
+nADoutLE0   13.5  13.5
+nADoutLE1          5.8
+nAS_IOB     14.5      
+nAoutOE      5.8      
+nBERR_FSB         14.5
+nBR_IOB            5.8
+nCAS               5.8
+nDTACK_FSB         5.8
+nDinLE       5.8      
+nDoutOE      5.8      
+nLDS_IOB    14.5      
+nRAMLWE           13.5
+nRAMUWE           14.5
+nRAS              14.5
+nROMCS            14.5
+nUDS_IOB    14.5      
+nVMA_IOB    14.5      
+nVPA_FSB          13.5
+
+--------------------------------------------------------------------------------
+                   Setup to Clock at Pad (tSU or tSUF) (nsec)
+
+\ From         C     C     C
+ \             L     L     L
+  \            K     K     K
+   \           2     _     _
+    \          X     F     I
+     \         _     S     O
+      \        I     B     B
+       \       O            
+        \      B            
+         \                  
+  To      \------------------
+
+A_FSB<10>          7.9      
+A_FSB<11>          7.9      
+A_FSB<12>          7.9      
+A_FSB<13>          7.9      
+A_FSB<14>          7.9      
+A_FSB<15>          7.9      
+A_FSB<16>          7.9      
+A_FSB<17>          7.9      
+A_FSB<18>          7.9      
+A_FSB<19>          7.9      
+A_FSB<20>         15.6      
+A_FSB<21>         16.6      
+A_FSB<22>         16.6      
+A_FSB<23>         16.6      
+A_FSB<8>           7.9      
+A_FSB<9>           7.9      
+CLK_IOB      7.5            
+E_IOB                    6.5
+SW<1>              7.9      
+nAS_FSB           15.6      
+nBERR_IOB    7.5            
+nBG_IOB      6.5            
+nDTACK_IOB   6.5            
+nIPL2              6.5      
+nLDS_FSB           6.5      
+nRES         6.5   6.5      
+nUDS_FSB           6.5      
+nVPA_IOB     6.5            
+nWE_FSB            7.9      
+
+--------------------------------------------------------------------------------
+                          Clock to Setup (tCYC) (nsec)
+                                (Clock: CLK_FSB)
+
+\ From                 B     I     I     I     R     R     R     R     R     T
+ \                     E     O     P     P     E     E     E     E     e     i
+  \                    R     R     L     L     S     S     S     S     f     m
+   \                   R     W     2     2     D     r     r     r     A     e
+    \                  _     0     r     r     o     0     1     2     c     o
+     \                 I     .     0     1     n     .     .     .     k     u
+      \                O     Q     .     .     e     Q     Q     Q     .     t
+       \               B           Q     Q     .                       Q     A
+        \              S                       Q                             .
+         \             .                                                     Q
+          \            Q                                                      
+           \                                                                  
+            \                                                                 
+             \                                                                
+              \                                                               
+               \                                                              
+                \                                                             
+                 \                                                            
+  To              \------------------------------------------------------------
+
+ALE0S.D                                                                       
+BERR_IOBS.D         10.0                                                      
+IOL0.CE                                                                       
+IOL0.D                                                                        
+IOREQ.D                                                                       
+IORW0.D                   11.4                                                
+IOU0.CE                                                                       
+IOU0.D                                                                        
+IPL2r1.D                        10.0                                          
+RESDone.CE                                        10.0  10.0  10.0            
+RESr1.D                                           10.0                        
+RESr2.D                                                 10.0                  
+RefAck.D                                                                      
+TimeoutA.D                                                                10.0
+TimeoutB.D                                                                    
+cnt/RefCnt<1>.D                                                               
+cnt/RefCnt<2>.D                                                               
+cnt/RefCnt<3>.D                                                               
+cnt/RefCnt<4>.D                                                               
+cnt/RefCnt<5>.D                                                               
+cnt/RefCnt<6>.D                                                               
+cnt/RefCnt<7>.D                                                               
+cnt/RefDone.D                                                       10.0      
+cnt/TimeoutBPre.D                                                             
+cs/nOverlay0.D                                                                
+cs/nOverlay1.CE                                                               
+cs/nOverlay1.D                                                                
+fsb/BERR0r.D                                                                  
+fsb/BERR1r.D        10.0                                                      
+fsb/Ready0r.D                                                                 
+fsb/Ready1r.D                                                                 
+fsb/Ready2r.D                                                             11.0
+fsb/VPA.D           11.4                                                  11.4
+iobs/Clear1.D                                                                 
+iobs/IOL1.CE                                                                  
+iobs/IORW1.D                                                                  
+iobs/IOReady.D                                                                
+iobs/IOU1.CE                                                                  
+iobs/Load1.D                                                                  
+iobs/Once.D                                                                   
+iobs/PS_FSM_FFd1.D                                                            
+iobs/PS_FSM_FFd2.D                                                            
+nADoutLE1.D                                                                   
+nBR_IOB.CE                      10.0  10.0  10.0  10.0  10.0  10.0            
+nCAS.D                                                                        
+nDTACK_FSB.D        11.4                                                  11.4
+ram/BACTr.D                                                                   
+ram/Once.D                                                                    
+ram/RAMDIS1.D                                                                 
+ram/RAMDIS2.D                                                                 
+ram/RAMReady.D                                                                
+ram/RASEL.D                                                                   
+ram/RS_FSM_FFd1.D                                                             
+ram/RS_FSM_FFd2.D                                                             
+ram/RS_FSM_FFd3.D                                                             
+
+--------------------------------------------------------------------------------
+                          Clock to Setup (tCYC) (nsec)
+                                (Clock: CLK_FSB)
+
+\ From                 T     c     c     c     c     c     c     c     c     c
+ \                     i     n     n     n     n     n     n     n     n     n
+  \                    m     t     t     t     t     t     t     t     t     t
+   \                   e     /     /     /     /     /     /     /     /     /
+    \                  o     R     R     R     R     R     R     R     R     R
+     \                 u     e     e     e     e     e     e     e     e     e
+      \                t     f     f     f     f     f     f     f     f     f
+       \               B     C     C     C     C     C     C     C     C     D
+        \              .     n     n     n     n     n     n     n     n     o
+         \             Q     t     t     t     t     t     t     t     t     n
+          \                  <     <     <     <     <     <     <     <     e
+           \                 0     1     2     3     4     5     6     7     .
+            \                >     >     >     >     >     >     >     >     Q
+             \               .     .     .     .     .     .     .     .      
+              \              Q     Q     Q     Q     Q     Q     Q     Q      
+               \                                                              
+                \                                                             
+                 \                                                            
+  To              \------------------------------------------------------------
+
+ALE0S.D                                                                       
+BERR_IOBS.D                                                                   
+IOL0.CE                                                                       
+IOL0.D                                                                        
+IOREQ.D                                                                       
+IORW0.D                                                                       
+IOU0.CE                                                                       
+IOU0.D                                                                        
+IPL2r1.D                                                                      
+RESDone.CE                                                                    
+RESr1.D                                                                       
+RESr2.D                                                                       
+RefAck.D                                                                      
+TimeoutA.D                10.0  10.0  10.0  10.0  10.0  10.0  10.0            
+TimeoutB.D          10.0  10.0  10.0  10.0  10.0  10.0  10.0  10.0  10.0      
+cnt/RefCnt<1>.D           10.0                                                
+cnt/RefCnt<2>.D           10.0  10.0                                          
+cnt/RefCnt<3>.D           10.0  10.0  10.0                                    
+cnt/RefCnt<4>.D           10.0  10.0  10.0  10.0                              
+cnt/RefCnt<5>.D           10.0  10.0  10.0  10.0  10.0                        
+cnt/RefCnt<6>.D           10.0  10.0  10.0  10.0  10.0  10.0                  
+cnt/RefCnt<7>.D           10.0  10.0  10.0  10.0  10.0  10.0  10.0            
+cnt/RefDone.D             10.0  10.0  10.0  10.0  10.0  10.0  10.0  10.0  10.0
+cnt/TimeoutBPre.D         10.0  10.0  10.0  10.0  10.0  10.0  10.0  10.0      
+cs/nOverlay0.D                                                                
+cs/nOverlay1.CE                                                               
+cs/nOverlay1.D                                                                
+fsb/BERR0r.D        10.0                                                      
+fsb/BERR1r.D                                                                  
+fsb/Ready0r.D                                                                 
+fsb/Ready1r.D                                                                 
+fsb/Ready2r.D                                                                 
+fsb/VPA.D           19.1                                                      
+iobs/Clear1.D                                                                 
+iobs/IOL1.CE                                                                  
+iobs/IORW1.D                                                                  
+iobs/IOReady.D                                                                
+iobs/IOU1.CE                                                                  
+iobs/Load1.D                                                                  
+iobs/Once.D                                                                   
+iobs/PS_FSM_FFd1.D                                                            
+iobs/PS_FSM_FFd2.D                                                            
+nADoutLE1.D                                                                   
+nBR_IOB.CE                                                                    
+nCAS.D                                                                        
+nDTACK_FSB.D        19.1                                                      
+ram/BACTr.D                                                                   
+ram/Once.D                                                                    
+ram/RAMDIS1.D                                           11.4  11.4  11.4  11.4
+ram/RAMDIS2.D                                           11.0  11.0  11.0  11.0
+ram/RAMReady.D                                          11.4  11.4  11.4  11.4
+ram/RASEL.D                                             11.4  11.4  11.4  11.4
+ram/RS_FSM_FFd1.D                                                             
+ram/RS_FSM_FFd2.D                                       11.4  11.4  11.4  11.4
+ram/RS_FSM_FFd3.D                                       11.0  11.0  11.0  11.0
+
+--------------------------------------------------------------------------------
+                          Clock to Setup (tCYC) (nsec)
+                                (Clock: CLK_FSB)
+
+\ From                 c     c     c     f     f     f     f     f     f     f
+ \                     n     s     s     s     s     s     s     s     s     s
+  \                    t     /     /     b     b     b     b     b     b     b
+   \                   /     n     n     /     /     /     /     /     /     /
+    \                  T     O     O     A     B     B     R     R     R     V
+     \                 i     v     v     S     E     E     e     e     e     P
+      \                m     e     e     r     R     R     a     a     a     A
+       \               e     r     r     f     R     R     d     d     d     .
+        \              o     l     l     .     0     1     y     y     y     Q
+         \             u     a     a     Q     r     r     0     1     2      
+          \            t     y     y           .     .     r     r     r      
+           \           B     0     1           Q     Q     .     .     .      
+            \          P     .     .                       Q     Q     Q      
+             \         r     Q     Q                                          
+              \        e                                                      
+               \       .                                                      
+                \      Q                                                      
+                 \                                                            
+  To              \------------------------------------------------------------
+
+ALE0S.D                                                                       
+BERR_IOBS.D                           10.0                                    
+IOL0.CE                                                                       
+IOL0.D                                                                        
+IOREQ.D                         11.0  10.0                                    
+IORW0.D                         10.0  11.0                                    
+IOU0.CE                                                                       
+IOU0.D                                                                        
+IPL2r1.D                                                                      
+RESDone.CE                                                                    
+RESr1.D                                                                       
+RESr2.D                                                                       
+RefAck.D                                                                      
+TimeoutA.D                            10.0                                    
+TimeoutB.D          10.0              10.0                                    
+cnt/RefCnt<1>.D                                                               
+cnt/RefCnt<2>.D                                                               
+cnt/RefCnt<3>.D                                                               
+cnt/RefCnt<4>.D                                                               
+cnt/RefCnt<5>.D                                                               
+cnt/RefCnt<6>.D                                                               
+cnt/RefCnt<7>.D                                                               
+cnt/RefDone.D                                                                 
+cnt/TimeoutBPre.D   10.0              10.0                                    
+cs/nOverlay0.D            10.0        10.0                                    
+cs/nOverlay1.CE                       10.0                                    
+cs/nOverlay1.D            10.0                                                
+fsb/BERR0r.D                          10.0  10.0                              
+fsb/BERR1r.D                          10.0        10.0                        
+fsb/Ready0r.D                   10.0  10.0              10.0                  
+fsb/Ready1r.D                   11.0  10.0                    11.0            
+fsb/Ready2r.D                   11.0  11.0                          11.0      
+fsb/VPA.D                       20.1  19.1  11.4  11.4  20.1  11.4  11.4  11.4
+iobs/Clear1.D                                                                 
+iobs/IOL1.CE                                                                  
+iobs/IORW1.D                    11.4  11.0                                    
+iobs/IOReady.D                        10.0                                    
+iobs/IOU1.CE                                                                  
+iobs/Load1.D                    11.0  10.0                                    
+iobs/Once.D                     11.4  10.0                                    
+iobs/PS_FSM_FFd1.D                                                            
+iobs/PS_FSM_FFd2.D              11.0  10.0                                    
+nADoutLE1.D                                                                   
+nBR_IOB.CE                                                                    
+nCAS.D                                                                        
+nDTACK_FSB.D                    20.1  11.0  11.4  11.4  20.1  11.4  11.4      
+ram/BACTr.D                           10.0                                    
+ram/Once.D                      10.0  10.0                                    
+ram/RAMDIS1.D                   11.4  11.0                                    
+ram/RAMDIS2.D                   11.0  11.0                                    
+ram/RAMReady.D                  11.0  11.0                                    
+ram/RASEL.D                     11.4  11.4                                    
+ram/RS_FSM_FFd1.D               10.0  10.0                                    
+ram/RS_FSM_FFd2.D               11.4  11.4                                    
+ram/RS_FSM_FFd3.D               11.0  11.0                                    
+
+--------------------------------------------------------------------------------
+                          Clock to Setup (tCYC) (nsec)
+                                (Clock: CLK_FSB)
+
+\ From                 i     i     i     i     i     i     i     i     i     i
+ \                     o     o     o     o     o     o     o     o     o     o
+  \                    b     b     b     b     b     b     b     b     b     b
+   \                   s     s     s     s     s     s     s     s     s     s
+    \                  /     /     /     /     /     /     /     /     /     /
+     \                 C     I     I     I     I     I     L     O     P     P
+      \                l     O     O     O     O     O     o     n     S     S
+       \               e     A     L     R     R     U     a     c     _     _
+        \              a     C     1     W     e     1     d     e     F     F
+         \             r     T     .     1     a     .     1     .     S     S
+          \            1     r     Q     .     d     Q     .     Q     M     M
+           \           .     .           Q     y           Q           _     _
+            \          Q     Q                 .                       F     F
+             \                                 Q                       F     F
+              \                                                        d     d
+               \                                                       1     2
+                \                                                      .     .
+                 \                                                     Q     Q
+  To              \------------------------------------------------------------
+
+ALE0S.D                                                             10.0  10.0
+BERR_IOBS.D               10.0                                10.0        10.0
+IOL0.CE                                                             10.0  10.0
+IOL0.D                          10.0                                          
+IOREQ.D                   10.0                                10.0  10.0  11.0
+IORW0.D                               11.0                    11.4  11.4  11.4
+IOU0.CE                                                             10.0  10.0
+IOU0.D                                            10.0                        
+IPL2r1.D                                                                      
+RESDone.CE                                                                    
+RESr1.D                                                                       
+RESr2.D                                                                       
+RefAck.D                                                                      
+TimeoutA.D                                                                    
+TimeoutB.D                                                                    
+cnt/RefCnt<1>.D                                                               
+cnt/RefCnt<2>.D                                                               
+cnt/RefCnt<3>.D                                                               
+cnt/RefCnt<4>.D                                                               
+cnt/RefCnt<5>.D                                                               
+cnt/RefCnt<6>.D                                                               
+cnt/RefCnt<7>.D                                                               
+cnt/RefDone.D                                                                 
+cnt/TimeoutBPre.D                                                             
+cs/nOverlay0.D                                                                
+cs/nOverlay1.CE                                                               
+cs/nOverlay1.D                                                                
+fsb/BERR0r.D                                                                  
+fsb/BERR1r.D                                                                  
+fsb/Ready0r.D                                                                 
+fsb/Ready1r.D                               11.0                              
+fsb/Ready2r.D                                                                 
+fsb/VPA.D                                   11.4                              
+iobs/Clear1.D                                                       10.0  10.0
+iobs/IOL1.CE                                            10.0                  
+iobs/IORW1.D                          10.0                    10.0  11.0  11.0
+iobs/IOReady.D            10.0              10.0              10.0        10.0
+iobs/IOU1.CE                                            10.0                  
+iobs/Load1.D                                                  10.0  10.0  10.0
+iobs/Once.D                                                   11.4  11.0  10.0
+iobs/PS_FSM_FFd1.D        10.0                                      10.0  10.0
+iobs/PS_FSM_FFd2.D        10.0                                10.0  11.0  11.0
+nADoutLE1.D         10.0                                10.0                  
+nBR_IOB.CE                                                                    
+nCAS.D                                                                        
+nDTACK_FSB.D                                11.4                              
+ram/BACTr.D                                                                   
+ram/Once.D                                                                    
+ram/RAMDIS1.D                                                                 
+ram/RAMDIS2.D                                                                 
+ram/RAMReady.D                                                                
+ram/RASEL.D                                                                   
+ram/RS_FSM_FFd1.D                                                             
+ram/RS_FSM_FFd2.D                                                             
+ram/RS_FSM_FFd3.D                                                             
+
+--------------------------------------------------------------------------------
+                          Clock to Setup (tCYC) (nsec)
+                                (Clock: CLK_FSB)
+
+\ From                 n     n     n     r     r     r     r     r     r     r
+ \                     A     B     D     a     a     a     a     a     a     a
+  \                    D     R     T     m     m     m     m     m     m     m
+   \                   o     _     A     /     /     /     /     /     /     /
+    \                  u     I     C     B     O     R     R     R     R     R
+     \                 t     O     K     A     n     A     A     A     S     S
+      \                L     B     _     C     c     M     M     S     _     _
+       \               E     .     F     T     e     D     R     E     F     F
+        \              1     Q     S     r     .     I     e     L     S     S
+         \             .           B     .     Q     S     a     .     M     M
+          \            Q           .     Q           2     d     Q     _     _
+           \                       Q                 .     y           F     F
+            \                                        Q     .           F     F
+             \                                             Q           d     d
+              \                                                        1     2
+               \                                                       .     .
+                \                                                      Q     Q
+                 \                                                            
+  To              \------------------------------------------------------------
+
+ALE0S.D                                                                       
+BERR_IOBS.D         10.0                                                      
+IOL0.CE                                                                       
+IOL0.D              10.0                                                      
+IOREQ.D             11.0                                                      
+IORW0.D             11.4                                                      
+IOU0.CE                                                                       
+IOU0.D              10.0                                                      
+IPL2r1.D                                                                      
+RESDone.CE                                                                    
+RESr1.D                                                                       
+RESr2.D                                                                       
+RefAck.D                                                            10.0  10.0
+TimeoutA.D                                                                    
+TimeoutB.D                                                                    
+cnt/RefCnt<1>.D                                                               
+cnt/RefCnt<2>.D                                                               
+cnt/RefCnt<3>.D                                                               
+cnt/RefCnt<4>.D                                                               
+cnt/RefCnt<5>.D                                                               
+cnt/RefCnt<6>.D                                                               
+cnt/RefCnt<7>.D                                                               
+cnt/RefDone.D                                                                 
+cnt/TimeoutBPre.D                                                             
+cs/nOverlay0.D                                                                
+cs/nOverlay1.CE                                                               
+cs/nOverlay1.D                                                                
+fsb/BERR0r.D                                                                  
+fsb/BERR1r.D                                                                  
+fsb/Ready0r.D                                           10.0                  
+fsb/Ready1r.D       11.0                                                      
+fsb/Ready2r.D                                                                 
+fsb/VPA.D           11.0  11.4                          20.1                  
+iobs/Clear1.D       10.0                                                      
+iobs/IOL1.CE                                                                  
+iobs/IORW1.D        10.0                                                      
+iobs/IOReady.D      10.0                                                      
+iobs/IOU1.CE                                                                  
+iobs/Load1.D        10.0                                                      
+iobs/Once.D         11.0                                                      
+iobs/PS_FSM_FFd1.D                                                            
+iobs/PS_FSM_FFd2.D  11.0                                                      
+nADoutLE1.D         10.0                                                      
+nBR_IOB.CE                                                                    
+nCAS.D                                                        10.0            
+nDTACK_FSB.D        11.0  11.4  11.4                    20.1                  
+ram/BACTr.D                                                                   
+ram/Once.D                                  10.0                    10.0  10.0
+ram/RAMDIS1.D                         11.0  11.0                    11.4  11.0
+ram/RAMDIS2.D                               11.0  11.0              11.0  11.0
+ram/RAMReady.D                        11.0  11.0                    11.0  11.0
+ram/RASEL.D                           11.0  11.4                    11.4  11.4
+ram/RS_FSM_FFd1.D                           10.0                    10.0  10.0
+ram/RS_FSM_FFd2.D                     11.0                          11.4  11.4
+ram/RS_FSM_FFd3.D                           10.0                    11.0  11.0
+
+--------------------------------------------------------------------------------
+                          Clock to Setup (tCYC) (nsec)
+                                (Clock: CLK_FSB)
+
+\ From                 r
+ \                     a
+  \                    m
+   \                   /
+    \                  R
+     \                 S
+      \                _
+       \               F
+        \              S
+         \             M
+          \            _
+           \           F
+            \          F
+             \         d
+              \        3
+               \       .
+                \      Q
+                 \      
+  To              \------
+
+ALE0S.D                 
+BERR_IOBS.D             
+IOL0.CE                 
+IOL0.D                  
+IOREQ.D                 
+IORW0.D                 
+IOU0.CE                 
+IOU0.D                  
+IPL2r1.D                
+RESDone.CE              
+RESr1.D                 
+RESr2.D                 
+RefAck.D                
+TimeoutA.D              
+TimeoutB.D              
+cnt/RefCnt<1>.D         
+cnt/RefCnt<2>.D         
+cnt/RefCnt<3>.D         
+cnt/RefCnt<4>.D         
+cnt/RefCnt<5>.D         
+cnt/RefCnt<6>.D         
+cnt/RefCnt<7>.D         
+cnt/RefDone.D           
+cnt/TimeoutBPre.D       
+cs/nOverlay0.D          
+cs/nOverlay1.CE         
+cs/nOverlay1.D          
+fsb/BERR0r.D            
+fsb/BERR1r.D            
+fsb/Ready0r.D           
+fsb/Ready1r.D           
+fsb/Ready2r.D           
+fsb/VPA.D               
+iobs/Clear1.D           
+iobs/IOL1.CE            
+iobs/IORW1.D            
+iobs/IOReady.D          
+iobs/IOU1.CE            
+iobs/Load1.D            
+iobs/Once.D             
+iobs/PS_FSM_FFd1.D      
+iobs/PS_FSM_FFd2.D      
+nADoutLE1.D             
+nBR_IOB.CE              
+nCAS.D                  
+nDTACK_FSB.D            
+ram/BACTr.D             
+ram/Once.D          10.0
+ram/RAMDIS1.D       11.0
+ram/RAMDIS2.D       11.0
+ram/RAMReady.D      11.0
+ram/RASEL.D         11.0
+ram/RS_FSM_FFd1.D   10.0
+ram/RS_FSM_FFd2.D   11.4
+ram/RS_FSM_FFd3.D   11.0
+
+--------------------------------------------------------------------------------
+                          Clock to Setup (tCYC) (nsec)
+                               (Clock: CLK2X_IOB)
+
+\ From                  I     I     i     i     i     i     i     i     i     i
+ \                      O     O     o     o     o     o     o     o     o     o
+  \                     A     B     b     b     b     b     b     b     b     b
+   \                    C     E     m     m     m     m     m     m     m     m
+    \                   T     R     /     /     /     /     /     /     /     /
+     \                  .     R     B     B     B     B     D     D     E     E
+      \                 Q     .     E     E     G     G     T     T     S     S
+       \                      Q     R     R     r     r     A     A     <     <
+        \                           R     R     0     1     C     C     0     1
+         \                          r     r     .     .     K     K     >     >
+          \                         f     r     Q     Q     r     r     .     .
+           \                        .     .                 f     r     Q     Q
+            \                       Q     Q                 .     .            
+             \                                              Q     Q            
+              \                                                                
+               \                                                               
+                \                                                              
+                 \                                                             
+                  \                                                            
+  To               \------------------------------------------------------------
+
+ALE0M.D                                                                        
+IOACT.D                          10.0  10.0              11.0  11.0            
+IOBERR.D                   11.0  11.0  11.0              11.0  11.0            
+iobm/BGr1.D                                  10.0                              
+iobm/ES<0>.D                                                         10.0  10.0
+iobm/ES<1>.D                                                         10.0  10.0
+iobm/ES<2>.D                                                         10.0  10.0
+iobm/ES<3>.D                                                         10.0  10.0
+iobm/ES<4>.D                                                         10.0  10.0
+iobm/ETACK.D                                                         10.0  10.0
+iobm/IOS_FSM_FFd1.D                                                            
+iobm/IOS_FSM_FFd2.D              10.0  10.0              10.0  10.0            
+iobm/IOS_FSM_FFd3.D                                                            
+nAS_IOB.D                                                                      
+nAoutOE.D                                    10.0  10.0                        
+nDinLE.D                                                                       
+nDoutOE.D                                                                      
+nLDS_IOB.D                                                                     
+nUDS_IOB.D                                                                     
+nVMA_IOB.D           10.0                                            10.0  10.0
+
+--------------------------------------------------------------------------------
+                          Clock to Setup (tCYC) (nsec)
+                               (Clock: CLK2X_IOB)
+
+\ From                  i     i     i     i     i     i     i     i     i     i
+ \                      o     o     o     o     o     o     o     o     o     o
+  \                     b     b     b     b     b     b     b     b     b     b
+   \                    m     m     m     m     m     m     m     m     m     m
+    \                   /     /     /     /     /     /     /     /     /     /
+     \                  E     E     E     E     E     I     I     I     I     R
+      \                 S     S     S     T     r     O     O     O     O     E
+       \                <     <     <     A     2     R     S     S     S     S
+        \               2     3     4     C     .     E     _     _     _     r
+         \              >     >     >     K     Q     Q     F     F     F     f
+          \             .     .     .     .           r     S     S     S     .
+           \            Q     Q     Q     Q           .     M     M     M     Q
+            \                                         Q     _     _     _      
+             \                                              F     F     F      
+              \                                             F     F     F      
+               \                                            d     d     d      
+                \                                           1     2     3      
+                 \                                          .     .     .      
+                  \                                         Q     Q     Q      
+  To               \------------------------------------------------------------
+
+ALE0M.D                                            10.0  10.0  10.0  10.0      
+IOACT.D                                10.0        10.0  11.0  10.0  11.0  11.0
+IOBERR.D                               10.0              11.0  11.0  11.0  11.0
+iobm/BGr1.D                                                                    
+iobm/ES<0>.D         10.0  10.0  10.0        10.0                              
+iobm/ES<1>.D                                 10.0                              
+iobm/ES<2>.D         10.0  10.0  10.0        10.0                              
+iobm/ES<3>.D         10.0  10.0              10.0                              
+iobm/ES<4>.D         10.0  10.0  10.0        10.0                              
+iobm/ETACK.D         10.0  10.0  10.0                                          
+iobm/IOS_FSM_FFd1.D                                      10.0  10.0  10.0      
+iobm/IOS_FSM_FFd2.D                    10.0              10.0  10.0  10.0  10.0
+iobm/IOS_FSM_FFd3.D                                10.0  10.0  10.0  10.0      
+nAS_IOB.D                                                10.0  10.0  10.0      
+nAoutOE.D                                                                      
+nDinLE.D                                                 10.0  10.0            
+nDoutOE.D                                                      10.0  10.0      
+nLDS_IOB.D                                               10.0  10.0  10.0      
+nUDS_IOB.D                                               10.0  10.0  10.0      
+nVMA_IOB.D           10.0  10.0  10.0                                          
+
+--------------------------------------------------------------------------------
+                          Clock to Setup (tCYC) (nsec)
+                               (Clock: CLK2X_IOB)
+
+\ From                  i     i     i     n     n     n
+ \                      o     o     o     A     A     V
+  \                     b     b     b     S     o     M
+   \                    m     m     m     _     u     A
+    \                   /     /     /     I     t     _
+     \                  R     V     V     O     O     I
+      \                 E     P     P     B     E     O
+       \                S     A     A     .     .     B
+        \               r     r     r     Q     Q     .
+         \              r     f     r                 Q
+          \             .     .     .                  
+           \            Q     Q     Q                  
+            \                                          
+             \                                         
+              \                                        
+               \                                       
+                \                                      
+                 \                                     
+                  \                                    
+  To               \------------------------------------
+
+ALE0M.D                                      10.0      
+IOACT.D              11.0                    10.0      
+IOBERR.D             11.0                              
+iobm/BGr1.D                                            
+iobm/ES<0>.D                                           
+iobm/ES<1>.D                                           
+iobm/ES<2>.D                                           
+iobm/ES<3>.D                                           
+iobm/ES<4>.D                                           
+iobm/ETACK.D                                       10.0
+iobm/IOS_FSM_FFd1.D                                    
+iobm/IOS_FSM_FFd2.D  10.0                              
+iobm/IOS_FSM_FFd3.D                          10.0      
+nAS_IOB.D                                              
+nAoutOE.D                              10.0  10.0      
+nDinLE.D                                               
+nDoutOE.D                                              
+nLDS_IOB.D                                             
+nUDS_IOB.D                                             
+nVMA_IOB.D                 10.0  10.0              10.0
+
+Path Type Definition: 
+
+Pad to Pad (tPD) -                        Reports pad to pad paths that start 
+                                          at input pads and end at output pads. 
+                                          Paths are not traced through 
+                                          registers. 
+
+Clock Pad to Output Pad (tCO) -           Reports paths that start at input 
+                                          pads trace through clock inputs of 
+                                          registers and end at output pads. 
+                                          Paths are not traced through PRE/CLR 
+                                          inputs of registers. 
+
+Setup to Clock at Pad (tSU or tSUF) -     Reports external setup time of data 
+                                          to clock at pad. Data path starts at 
+                                          an input pad and ends at register 
+                                          (Fast Input Register for tSUF) D/T 
+                                          input. Clock path starts at input pad 
+                                          and ends at the register clock input. 
+                                          Paths are not traced through 
+                                          registers. Pin-to-pin setup 
+                                          requirement is not reported or 
+                                          guaranteed for product-term clocks 
+                                          derived from macrocell feedback 
+                                          signals. 
+
+Clock to Setup (tCYC) -                   Register to register cycle time. 
+                                          Include source register tCO and 
+                                          destination register tSU. Note that 
+                                          when the computed Maximum Clock Speed 
+                                          is limited by tCYC it is computed 
+                                          assuming that all registers are 
+                                          rising-edge sensitive. 
+
+
+ diff --git a/cpld/XC95144XL/MXSE_html/fit/tooltips.js b/cpld/XC95144XL/WarpSE_html/fit/tooltips.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/tooltips.js rename to cpld/XC95144XL/WarpSE_html/fit/tooltips.js diff --git a/cpld/XC95144XL/MXSE_html/fit/topnav.htm b/cpld/XC95144XL/WarpSE_html/fit/topnav.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/topnav.htm rename to cpld/XC95144XL/WarpSE_html/fit/topnav.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/topnav.js b/cpld/XC95144XL/WarpSE_html/fit/topnav.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/topnav.js rename to cpld/XC95144XL/WarpSE_html/fit/topnav.js diff --git a/cpld/XC95144XL/WarpSE_html/fit/unmapinputdoc.htm b/cpld/XC95144XL/WarpSE_html/fit/unmapinputdoc.htm new file mode 100644 index 0000000..fe242d6 --- /dev/null +++ b/cpld/XC95144XL/WarpSE_html/fit/unmapinputdoc.htm @@ -0,0 +1,65 @@ + + + + + + + + + + +unmapinputdoc + + + + + + + + + + + + + + + + + + + + + + + + +

Unmapped Inputs

+ +

This page shows + input signals which were either not mapped or not + routed.

+ + + + diff --git a/cpld/XC95144XL/WarpSE_html/fit/unmaplogicdoc.htm b/cpld/XC95144XL/WarpSE_html/fit/unmaplogicdoc.htm new file mode 100644 index 0000000..6371313 --- /dev/null +++ b/cpld/XC95144XL/WarpSE_html/fit/unmaplogicdoc.htm @@ -0,0 +1,68 @@ + + + + + + + + + + +unmaplogicdoc + + + + + + + + + + + + + + + + + + + + + + + + +

Unmapped Logic

+ +

This page shows those equations whose + logic was either not placed or not completely + placed in the specified device.

+ + + + diff --git a/cpld/XC95144XL/WarpSE_html/fit/verboseview.jpg b/cpld/XC95144XL/WarpSE_html/fit/verboseview.jpg new file mode 100644 index 0000000000000000000000000000000000000000..819132e71c1438dd3a1040ff6ed6aa00b4c01894 GIT binary patch literal 1262 zcmex=s9iIEYA7@1%I!D0d#f@A`UGMI9L z^#214f*cH@47SXSN(@YbjLd?J|Bo<8F)%VP10fRN;N)UtWe3Wc3NSFRGBYu=F|)Hm zXCTgTa&J8D?l80!`UH3ye#G8~xc!>MATG>a*&$=&DWGS|rgr#Q3^JYr<{ zZ6beb*TH(hp88{YduP|l_?_6Z>5#?kIwdE89W%`njhbaQC7p2zopMCc%hD^iY8y*AxL7Gx&HO}Q(6LiW->I(9~U)SEVJ^b_K!*qnVCOpe=howVPNSXlM}hl z@U7+Z<+D|#wV6(_{5fzh>}YIAg`E28$emfry$)%UCC#MnL>+g2f27Ve_u2aF^JWVt zN4|DAE55(t%xTuhqJ^KVb=@mw_R*3x4;Bd09$ z`5G*+ByVucpjpf$tcC zzFhQp;_+0GZ+=9GV%G}Bt&Ufs1M{qdcZNmWd#)mP`@wcK=Uvvj`Dbh|d(=RI}x}lA&tJ((^p6z*fr%Esb>Kvqqpq5 zCU9A?J}as^+@x#EwV0||*C)3vta~jZEz}@&$f?D73d{V|04_E42k$v&bOp|y(e+8X z-(b$mul<(;EZR2L?@hD6xu<$pSetT&?iD+wvytMHEOsi~x$n@mb?dX0{Zq8G9ULsD zFi9K;d?4@Kw{7N{31!Xov1{Iccq#r{S&C<2;`7XUHG}2yx%(_{RlACC?A_OAVmR^E zuGp~f@Kf8qeOTms^6+wTp^1tb4Xp;8llA!+3jghz^v_V{#ivcOuet7Ly(!$69Fx!c+SRpV&k=$0tOe^A%n1)%8R!|6cF-bp%Emxe U5ypJ)hJVKd9{%2Pq5l6(0BBO*RR910 literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/view.gif b/cpld/XC95144XL/WarpSE_html/fit/view.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/view.gif rename to cpld/XC95144XL/WarpSE_html/fit/view.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/wait.htm b/cpld/XC95144XL/WarpSE_html/fit/wait.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/wait.htm rename to cpld/XC95144XL/WarpSE_html/fit/wait.htm diff --git a/cpld/XC95144XL/WarpSE_html/fit/xc9500_logo.gif b/cpld/XC95144XL/WarpSE_html/fit/xc9500_logo.gif new file mode 100644 index 0000000000000000000000000000000000000000..ad995ff62dc5c12ec258aaa9d1db1bd1d142d55f GIT binary patch literal 8103 zcmWlcRb0~#7sh|OHt@l^9N3U;>$IO)hv!%dtlhXGGdcU5U|9E7+^1^BTrQ7Bk|E)K{ zD}zDXL*YBa5t~Edn{UInh9kCzqqc@3x8Fr?{a-|H4PD(DiQAscTOZ4vDFnEA(1mjG zU(f7*ce`)&`>wtW+!zSjejBzq7`ibKvh^x#`)$O=>#!Y8^w!&`m4Wcp!RYPb>uYag zx8EhMagw%2)7RdmZI5NGzt7$nFWC7|vNKh+yYOUpxp(*5t3N-6{_=PEe|A1+Be*%( zFQu|8UB2rtLwC82yR)tQrA|I?jK4a=Uz?lC#IAM)^M8H#m@2qX`QPuyUcWkmem@Uc zdz-sHQucR!>F?$b{>Jyoc)^)Mz3(lQo%zAPTffHX!apCmFOhMTjayT8Bv-QM81$&Cgd`<&%5ean-Z=l|nH?XTDE zD?`s#-*>Hz_pN_;wK2un{4%jM|KZR2@3-gW=j+lJ8gDMKa+X^Qzjc)JdRg0xpLf1} z+5Nfr)=6%}Pj@oj`BNJ8b8aZND0=n|{Y%A-xw|Plysv|2hmch zV2VL|dqI4)OVXHQ-Fl|H=Vbwp8}#sGP3Oy1&0_FrVc9_$*{T^Hs5K$g-=2 zvO#I=BLBOzRjW$>ZF$&oT~BY#ySrqm^&^^$v!rvj_k9y2J5K@X2SG@`aKHMA`$xz6 zP>eZcx?BIHveJ`cb3_FYSfS^ffa9m<^rL8S&PF=hX|kvc^Q!%qvHpeMaw!1N{Ln2^ z(+?M=@Kdn(ew(S(gGa5NN6e02+^w=MR(r>isOXoXC%I7?qk5*!Z(svdJwt!Js6JSD zXsYk^_L~DRRGCL(*@TsiOx@&Xb8&Uev1t3XpQiHsTU!Apb{fHxtS#8v{}w2d4|J|w z87rEoTHka>?}0o|+ULJ0Vt(p6v>GaL^>}yeDf-6|J8rzN8LPmMy5J>NLm)oF;a`vew((L_eW`sG;Z!v3Amd_miP&iJM*c zdz2ahohj53)#yTkW0{J+@5*3~qv*)FG&wV)%=9Cks`J-f*LjE|AUpZ=0;fqqr?lf= zI^F#~a9$|og%d{0g-_fXwn+^Bv{Wfc%&WD`ea%!^%T<$EtT4kws~$dY^rRb<#@6Nj>!=%F!OpiTHT-DxRre{HcU9WKIU;-Ub^ON z+e5hTr_pL+{JRH(d+Q(WjdDwrnsh0xd1w?IxuXk=YwLq=6Wco~`;2=->0LA{k zk2h64I3QRfdGKv@Rn}ymoyD|rn}uH+oQ;0sTy;3r?34KWU)#z{=}vwE<0Hlk?~V8N z*yN;*XLz`V2b^sW-v1$8;Kjv>Gq?Q}`JZB+ZiM4=Z{7cT?dq6^`Jger*qYvd)_K#_ z7x_mUf96R2>-#q=s#ZUMc4<8aK)yM_^Dfp0k4_zrEPvQuc_$%oVsW7T^4F>m{b2lx zjj#H5veq8Og%f03bVI=k|12~j?cw){TJvV)0_;CFIA!C2w@Iv4qV6tQct`vNk|5Nfv(IA?*_> zflf5~K}>oFqhovteTq~=Q4zE50RF+@ zyUOswOT+!IQlv*VO=Q$+Rbl5+B+_iF4g0kF-sILvf1#OcYsW%m)6;{i2N)X5HP*2W zGZBs@dyWl&1Wtd6McDCmy`+aH^c%i)Q)VAu(t+?a!ig1of)+ve#Q8@Kiw7nCtH8+K zhCYquv++#?Q)xP{KN2-0#PVgF0=7vS0!IJ`$8P;&lJ``DnzcT0sOPh5<&LR+uPk-< zISJ9lN%+h%zBee?G;gvwYlHG;yAm>&^+py!vJPg}`%N1Vbe73M0d4=~&m2*`3!Jls zg1TLY9_StQ^GueoH$_<Q;2Dy?wU%5F!9~SbdKu}jWzhE| zb@GA#c3keHa0}Y=l{^TX+(1RKP==g0fk_^VyG9Nf4-~(MZ893X75(Tsx^Cq+HGMn_ zd*dDYlPytB`~(fNlP(}#KuCz*HM>e_df&EcI`R&#iZ?I4)AxE{5am5OK3?ABaVR{$QWSNIMBysWeZGwPFNlJ&iLBL3N(UiI6G|XrbdK}ILcxG*&_l^e)Yt)?)eGt4j15lAZdPs~tz{h80m*=W62H3Th1CM!*-}v*N-I@L+R;k7YWK*DMSpI|*O_X3<){uO`w^ zP+=qPzs=d#ftd{uo1j>1B?w^G(Dc+;3%|j@bIse`xiLzdGCl=~ylu2k&kY;7X$;_L zYgf%AZxBv%M<8dnYn^0fuUXk8z*dYQMnjXIIzxn%i zM#UGa;@NZjqSuOBt(=Yy`kwuxTj_$#8zEy{g3aCaTy-W~d$D4`AI1ORX!`M#aOF)9$M?yT7 zLV?O!bjt76L%oWNBt-I(z8K&B?C!;c+CTxRd4ATa!A@GSt5qm~Gfc5zf<;OryT6xB zXGYnVBcde0`!)1|C}D`uWu)O5gLi}T4)Nq9pB)TTtJKIa#E-xbcJ#dl+dQ0%{q5CUS zoB#$UP}v#HLcAG`;2)Ev(AAL?GBwjqHr@exjeR-oAx4}DPWu9GWP8|nhP%>XPMk#E zG?=2}Y;8evCK2AT=wd_&pyM7a3Km-Q+A{MMrzh8DV<-TOOn{Z1fkn}-%oqSd4sIJn zumlZaByVz9*S$nEYG6~v-T-<_ETK{q9IA`mCA;MiLAQ0BF&y}tQJ9`d7%Leh$^hU0 zjj!ckI4s->6Tid7@38R8MD#F6(qiL$p%P7!4yKM?iB<-Q(ZKh0pyv_$3;_g`?AGiJ zxdj56c~RQhsLpWwe|eyzP=J#kI2ZJ`h2da!`FNoRX^31{=);__#X` z0b*XTL!_AU2S5TDSwPP&1yE!X^bQnKO7J%p2UAIeR$ce)aL`?1u!9-oRvE^;Jw%)g zl3h}G!Nr_Qapf{Wk_=EO&94H6mZO38kidd>Bd&x)OnH#k1vz`}URncCCm66o4Yf^z zzm;;7lq!~b)IF61k|Rb$FZkOK3H28A(nL_&Ft+Gnq*XphiI88^hS@6yRwf~afXo?P zur(WYjYq$<0PIk}1CiR}ywvP-0kRYbAmUqX5!$5d{MVFrk(gy94iTrNsk&W^5yX5{ z4lh!|&*p*(0nm4CFw`7k0~KgHN@H$;i!Dkl3P4%}1dGqQS^hpnmWY4^d7T?8K1oT+ z3Ih}nPNfzQQ%~ih|q)EK}DXQdzVJ=stL5Yb- zA=T5`fC75vnN8w-QaO%?bxSGDBUEoG0!#*IZ$3zwKp|?Qvm~6FEJfl-%wqE!w}`+P z4XI4Ix2pqEp$MQ^bP0MRs;F{MF&ALnvWWDMbi`HF=IDf{)fGwnWuaRQOaTTuO0vvE z46H=qqt5%dy&o+JW|biXvG|?a2kQ`mWOScMoh%)aL_?a~S%!jpBiktEQC0c4?Gr8>vw zM4}=37p5;4*YgmyOuPSn!C%ZAYUBjb;z9otPqjK$T-k>FM7SnF1YKui=9d6C2M&(} zOQ{l`G9qS`9zCHW+)&`XbkGh&%3T(xT95MtV1JYd;b~r1@<12an5)MsH7IlmGF-qm zy6^qJeL?LLiIr!7wS`mDppf@R-KSZu;soR{+2zGcw8t`lBE)8m)x!9)m^lEy1mLbt zUhT_Eztbk==ogJe!@z>rGhfq#zd~n^x$lslWGB(FotRVh={RPf1QBe_F(?(1^Y3js zUj+7JweJ>O#IQrMbfOVuh-0LG`2AxCqRoL#yp-xTXj0Xqnd)M7id@%}(xOSt+2@$A z)(CgDD}(GKZtSR-!jpQODlJh7RXzYx8cZhNfy)YKkP+TYM23Mk>lZ$-xco95q{YLd z3odT3i)Fc>F4_wTm!||bjD69?9Ue0~7w{14;N*(EOUU`@6d|UbRW*T!NrG*FZfUu$ z=m^je?!QhTk{l6ifRstkf_gzR2!dZYcN)k@e%2NL>?81 z4jT3e>*D*ieoSPBHV=7?n`R3jzIX{d`})j^<)zH?$zZ}QfPYRDSR(-)7+lvbTM__Y zWg{;Iqr@bW3Rpqsj@fq7UF{ZqBn**8bg&Q!BtrqeD#^J_2FcOEhU35%fM4kV-0pS@ z$$XQj&CrdT2Fc|nwrKCkTgtB{nhlW<5f#e8a6*^@1i86cc z%n08=l9}Copf~7^HsrD_VN~D!gpA-Lx`+?y%3&rXGyGj!7`k#k7oU|hh3#p0L=fJYgk;B|AADsUtEvV=ij(I1E&9iqd5S{tCAu0!=0pZUsD(X}gf zjm=oK+xuI{V-G}PRaq|~({l&xu@X$Mt{S%AAnYq0caZ^(W};P3x>P+yL~DaJfd$!M zm=goW6h~Cu{v4aH7ndVDXn=kxmt0jFx{viK&~~=`0p>CRQ$fT$XA9h4Mq4vL+5oT# z_d=1eK~muVHlS}`D_Vy*#QZq(HB>F1)P2Fy*HJlO?Eruz_kf8P`r2S!l*Q`D_#Q5N zuQGTq8Dhu${3}G^HfMRQ(}ww?S5KMtT|Lm9Zy8<`nUvpg6WHa?KUNNVxP7F!kof~U85iqn8*Y=LW7<@=mFNE zL+Yl^Zkm`ZQ-9{!Eq+u1JEoVFCQd+`s|e@|8|(+nC0LLQ^dKE#%>>)r1A8Y!^muvH zxuc^qXP(k%_l>YR+rV4q$ESnAgQg--SuC7?-Z>3ns}HuirZ=e#H6bsXQlQN7h4^lW z1H<7_+1mZ#cUB*-%CZN_AK?3vXCdks#_@bG4PWO9D)j{Gd2iGwY^>%;g@pZV%>|Y( zUp;g+$pcXgkHtM@;Cql zAo&WQjB}=q6aPvNpvXfrrs)z|m%lh>nU~njwq}g1pOiDXrFyQ3Ix#$VvOuj_M8R>< zD4Ad>Uad#wbV3ln&8VAuR%B&lWO5IA47d-}hbx>c*K~fre6GRi%FvO>I-^8_o8MLKVDr357o zx$2&hf8|mU-aaz-@mA`AEWSbvNa_4!=)l?S_VqJhZHQ#j-(=9Hf^0lO*?oS|)^#m2 z|BYCc1?qA$;zC5UL#gM~$9spOZ@`3$2y$H|w*=>zf+P8af*DZcYC*8#)tkqeRp5}R zsi(F+P#IkU2@ry_Og~`uymLb1iIF^GVcTkAljbVJGE-rFDuicvt3aZLewFQ3#Sq6~ zWSiIJR4SVO(iw6$El$bal{pcK{2}ikDy=GxbWa}=jUJuu$(B%S2jT_1X>#d$A2s8f z_C>eP+_bvTUtLloXWM-L<^|MuQ;GUea{gRsZS22SjWmmUHdXAds(KwsOSNDORhI<6 z8mg8#ZDK;gQ~UT?XtM(=S5MPRxT#FV$Ydn|D4M33xzXDN6)J+*yta4 zuzEy`_`arZFYX|$F!-bFOY7``AjM4OugjfyvSplE-bwddkpudfeKmbIMSnphBSdq3 z6|#1{-E3f0MIQFloPd5DS50Fj>A0vMOftAvcFiH+RqcHK3A?Jaq!Z4HD0`1Vt$bNS zHimn`TTDH{IijgYyYPai6C^{Hxf<_W+o!+r*=fw6ynJ6f$z3Rf*gmgc{EJxeO`nzN2cXD3Ww&I6f==c<64FI8LCIr+A% zV9lm{3Q|18^Wbx*41yk{c+R$bP2~AzXQRwS@}U!0&l9bMQAMubw0HHLnL}nj&mM}h zq`a|j%uxvRKf3#S-rr40+>Lm>-}ntZ`Nrq0x<{~(k&`a7qURgRXZ=nbs@`24@f$mX ze>A@xxKvng*%QvKr{*>Nl~Z4E{v7YX??i6DJHKjcS(o^Iu0HUsUp2oTD)lAiA%ExH z8{*z%tG>c0iKb46eJs7+i_qf29Ltz%+s%6_oirfHChJ*W?){CaZ{?fNS~+ zat&f_5~wh+NW?f;#Dezh2g#L3r2`hg~KsQ=+o@-~wE3DoC-A!g6j;2{yO?QfvM>Yyq55>D*niV-EC^7`K z%0!**vYYfyRrQG%yHd&hvn;;nsct3%b?Sz=)^M*~yM+|nVn$A=-7HhLM>zXi!h)L9 z35;hpx{5t(alN_ZH2*D5PT1puNsbke*eo9r1yj~Xo#ZuMqBLTuYOBd7c>+Q5F?=-- z%NNj7Yin8ZrM`leZHAWArhB-UD^%$s%RR?Ug|22qI+;0k<7&H&iobSI%?g}O#bmsT z#&%o86=`RO)`dh3j9A2hCx}t@KXD`e%B5Bl8I)a(J8d~tW^_i9cgGp2U(zbPfvBv4 z9&4!ye{bH6l&pe;0EG{9XVZAG{OBKFOWjwzd3%Pe)2N}Irw{n>B&YjQ8&X3jdGJrp zzQ@AtO?-GE=gb3(9v>0E6``<{ICtIom=J=YB}?&WC2L23xc_w_CfZ2eWWt!}T(TjR6}9=uX*nEVOh5LTERRszEYq zTo1GLq;BYSU-B$%IQ+6412uuVKcD^{*s{18r#Ei2{~0+jHm3@q24y0B+D$Yv9ZeC% zX$1DsI7$05Z1(C*frNeD;FKQ%*o;$nR-=$IsHGsg2m&IDD{InTUUAU^=%SxU9%%vT z4a{gz&kJU+1#@eb`U#IQ+(u}gN}+m~0w`C8I$&k*uA)4MJz<~@_!?HJ$*18QYcvj3 zt6Rn$65L_Yun7<>I0SbI3AR}9KnNCm7k60*9xMb{+%32) z0kT1VKN#Fj10GGW|cx{Wky^K0p-{g@wTiz$C-KBEz`v126*s z7&s5n{tNhj2?iz>_Cu8Tj|d1K8ZW$igZ zK+P_;&Vl-e_CLt}@4zDdzsUX@?0<7D0EnwXbvzlfZ_Q)cap+~tESq>J2q?uM~*<>q~6+#bVu^wyz4kh>*aY0$B zk#M|NT1iUX$DdQ$ZVkV+-5THjER-k`yWG1q^7X9)jZ|XkcqH*PnIdKYtg*nMm*RmnP(F2BT}iJJpDpIF?sq^ z;otHhMhH}r|kEg@fiD&O! zP8=CQX2;rY{4AkW+$H0RKq?G92|M-=q_Vk|$NzqUd!D4I3um>>Y?)DXUn* z_I5y7zHN#QpK3?%Wz1e|S?HU$!xZA#8j^WfM?vBm0K<*$*Gu3G$-M=g#BQVJtmMRU5! zDyq%7O`eW!5&cq08pcW1Ga&uRz!2>BW~0|QLaUvlg?g$ydnRO)lb+2t<6 z8`Jew27gW7FH~&rSXH3T@>nm0nBTs8*ZeaPzq+=6t<1`bMweN(>$e=kIH3};j+Ek` z1HU`_RHxNO#>S>nxz4P0lg|1C6jfj5ipEEhYf$~Wy|F;GZoOEUlfLJ2n`qf&I^#=dJJiS5@eggh;l81x7wScS3*O z`05}1?H)jN_r%|1wI8x{Ko8;Hin_BrFQ?xHbaes-XFZl#z$3+&Q#8EgmJN2Lmn6kBILSe zA9E5z55nqSGLsAXaNh$QPp}-o`!qFk7IRL_4o%SYNoiqsVeIf{>^AQgN=gXyEB1yC zKVPR0RB)!sM|@{vjgQ~x6hIqq3X*5Ld_ z&p)m~3C23iN`{ax;9Bvm&k1hnjOqiQoH_M-!Hw!p5@jEWoZ^uQ;Oy^(l4TYpfsqH) z_>ucuOfZBUOCeqv-?hYIA2%EC88$xhyyQEZ0AE-|(!(hRto zKG!mp2>k+Ilx~i3Sw%{AJ%!0c;L_OPtC;B`aaA^xLh)stEG^GNV>h0!WU!C)=MMFc zXvpCl#!4oSXN$E5l=ln?B6*&h*NED}CiAo{(i(rp*bHg{3mYDTRZI}hF^9i`)NOKo z65_!fpQ%rGYOWX2!xJO-PgkUw7OzDpR}X6ZrGB(I_5d25X)!?bj)@nJi=9Mr+hk2JK{-e zAOAU>Zs5;LHxBl3MP^OX$qv~K(<40h^?Lx_E3{Jg9ntiSd!-qsdtnV9Ii^gNF=H&_ z-7BmCua7d8@49Hk6rjF-LymSnMF;d=IGs~&F@j7Q7-^_hPkaz2{uWXEQq2V$iEjgW zw%cF{*iv?XwNW6_W>Xdhyy31`LR$=@Uznq#M6`v6HJH8J2u}Ng*JH3F<#@Z$>nMq^ z=s=R>uwt~EJEeuw?17`RowKxBs&M_WPT6+nE-luVW&bUINO{pFY)*P&Ps}tcm=2w^ zA^1e8QfDJ68q)l3V=99)p%FKghUKPbTdSW*!i8=i6uq|^eY$$2ROl=(RX>^6)Al7Z zy79@TRq4TG*Kz5m#{ljI96G!4AL>Mpuxe_i*_SgGqebK+Hz|Fqh0r@Fx2g3Uh;eae zbif9qpK02lO$nsdG}8@(J?eIB(OkMPX5)c<XA{&_wo6qrvc^4HOja*5uP;D&?QM30(h zBp}o^6CZ6$wMN`nO%*?RxBcg$IVFFTXF~xiFSG?k8}A zBiY(P(U*DmfDc-Csl&CA3y3%=!ez`74?9bKnw!ff3 z6pn(YS`tyWv)c-@p##=P0M&?U11UZ+M}>e!SG}Mo5Gg*9qT657jdRB=?1_QM+6(cu zPNCa^b6329j4QdyyvxKq$|yP2ea>Iq_X^d_nix6znr$&hQ5-q6SM4MR`uiEoYkq8ZMV?PofdQ zR@aoP=rA4h4v|cQh3y}cy6)mvT4#R^ALC1H;X3pJchI#fhW=wz=Zo0isy4c?M!}n$uJEpd;?leH?3B$ry+2y_ zfDncAgo@HWEd@IN>kZRhOPb!bu?6&-qO1_RfkXpPq%k=UuX879q!mu5zFH3s-DL^jow>jvU` zLqpdSI)Npzjsp;vA5)iCQ|H2*k!30Z%U;3BG1H#5%~&2>KiRzR0l~BIyZ(jD=#x;~ zy_p4eUUx4$P_2{4@yL@1Pg|~XqGJ5>YXmGCt+KYPwZRn+Qb8#V(u?=QJz;yQE42kVoqa>Up#vYbU z_#BZ7l0Q4ELgIQl6&U#&EFXq2L?~XQVn4g?Lg-5c)^Zd6S;U&@_-cZ_KD`<=Y^jS{ zwU{n!l64boI1uJ&Q1%(2#!h;RUlq@39y_qCALqNWjOk;gW1)SXxqQ3-uHH=YPW*J# z0^9w`qJ{Wz46Ep)r`oOhZ=V^yZ(+ihs^Xm8-09t0SU#=LOm9;=ja7=-e z{QTbBy;`-c`%NSF99vSar%ewB4eJe+n6yWNB>krd{T_K?ml?dE-N8t^T3b7>g0>2X zByd39I?2dh9sPkyK|M2h01{Q*b?rcP!prrq-s z@|NQvTl~%43tB!HO`<_MzC^}7Kp0s`;Jf21$IF@=Qx*DWpiR8_hRvnTxB6`^i!h-L z5#Tm&6#w~W{xgiO9D6j(g|5S-Q^QPGl43<01p;l ztf~jTw)U)Nn4J*R${ z6E<#l7n15oZ$y|%!^}BvaeGZ0cK=fYQtSwPPy~KAE*#PDy?r#;gi2_dTc^jP{$(az zId5UT``v|dkKPIYtCs;q*RX7;zg^sk0Iz@s?KXQT74kKPyUJ1-I zS>qt-s`qVA-5Rng?pToL)g&*T+=l*jam9)hsjH=v!v%0wy;9mfWT}H=@dm#C4)f+w z%`V&cYmvG4)*#Nev&gJ~n25VV-f4)QS|3^F)Mm8HGeMLtYEn4%oSFyuYNnPYh0|%+ z2*_hcRmYM+A?sv2ro_BlKG~!!qKpggBUVgz;#na${Gpu84FeNI@zhiKPN|A;J@cK8 zc~@owOC~tyuGG%$t9?_+p%_uBd0x&>WIOb=TtziqsA`u^xF@F}ZZe~dj4iX6|LJu@ zV*!f_7356Asv*O{b*nW)YCeV1j+hPOV}31wVk!l%Jf9SL4{(tZ8z;H3ei_2nCs;JN zF#@zkf%L14St$fKUdz^Ke-zT~o&OQqf0~h6ylX)})eu51rX%rVp0yGGIj+!GJhpN< zjbmNszEH*+dgP5p33|sIUH|$cI;sEg@=kL&l~I0PT^QSr!;?-wQICu>;Ct27rN>l9 zKt3Aa|8g)pEZO6$rmKq}^@g;`F)|Fs=1ktMHWEePdvuZ$NSifalxEnTco+KRs5Z^e z0MQJ(z9cm2I8}pQTsIQKE&Zdw0c;_cn7}2>u$2@gboON!DLSazL|$m-;tTbNwjP-| zmAQe#E3unMmRGiE-wEcvjpL<``6re?ENGiFJtqtYSA*S)I$SS*Ui?iMZL>Rv=GmpC zXgtXk4u7#0wHN+;f!HUkKB?z~9BpYjd*ioxP$m#;wt;(l*3+G_BDVrUZ3VD~ zJM;l{hAVOtXUs$B&*_;oWe&G;zLXb_?^`ylTvSK)kg)<4v>6CaI8OKtx8_CqAV-hh;} zvq^JRHY0UME&>Xy1|flk?aivm z2|0;Ampt8GO|J{J=0{*bp*x-t_5yl|3ZMBjH(Nw>|$V4bi)S|VaYzV z7yC*no^9u9Kib9*Tb;ei#yv{>%i*q!zZp9+E8f$8-8n;3CDt)AJPp; zNPn7mo1x>_q98K;ST}z$ysyxd_?P{JqR$D5h{ zT#>raaL-U;S2uo-ZDGox2Dx0!!`wspGYJwnqC!&j5=G(|%%5?wZ|(4D3~@Ggh!!q7 zgfi7kY?%1N3treb3cYlorF)9;Qca;aGJl$5Ou%<4{kUObcY3v~vucOm+oUNv{>J44 zWa$PpWt^>2JAGI=v09D~_6%*Q_MAE5l&F7y&g*4tQaIxx-_mxdYI$TBng8ny!4BGiFKX0~nh@AH0Vy z$9y)ug6Y2+c>mhw?h)Q@OsUgoI@vA|+Z`L?Y|yC-V`4@pF9i+U1HRA31@pC_iyhZm zSWer}cISBwI$NKSA4gigFV-i@UwT*^RKK_fIJ`hK9lBwY%b_de34Zc-h_0Vc9A&FT z+6LfdMR*>*IA<#9$Z3}>egDmt$P2m?L(&DOzNUM zx{7c6tT_H!Lh5_98panZ2h|j}F;yoWfy(xf0b`)9>4oLHzF?J!JVW&F^|GyLA7_nY z`;UAx%_>YdFXlEx<2ikQAN)4zq7fepGejr3ucLpVlp!FK!!wojL&EZ{aRUuz;O6Y! z-n@TrH*AC0Viufnd^WkU{HNc;UND`{e!j*dTaOB@auIai1^u-#v***IxLT3U-lw<1Zj-}b_Q?izVBzV1^ zor)(WtS^p2$o$RaV4KFa(Eg*2O7ZM-m;ei91pah z{thsCJF6z770oI)Xq0x&hQt*|XNtXQ(&~B{k7~UK#4-+cxZfoBm%eLMV4AxT4r1ie z{%JeqxAa$%LNqIm#^v-01H-2H@zr+T;$iNhuOudk+ySZDDV*LiGOVUDTj_C%8m=l% z-J!;=oQ_S}4yBO4nx=gHLr9dN{u4Aby-A*8Gt;c%ae9Giz&*Z3JsUw#9 zzN89wS}Z<8qQqJ#ZvLtGN&I;djROt6-woHFE1v^6q{lh=B%1WyuM*lLEZO>5LgabX z)kt^7j69VLkq&#toy{=yD+U^uk3x8K((vUc->7E-r<9yCsXCwgP z^{Bi7w|9u{FZlA|LobI-BU7GDBy_&MFuyUiTkpczyCQk-QDXKrtVrE9SL2D7`j!p* zE%#xoefAV6=RjgAhEnm50~ua z<&D1PM_#>~0&NP{(CG4yq^2y2#^-^@yk&MG^N|;f62DB6aq~PF`v{o;MDfL{!c#oJ z$}g|wIym!0boJIXhhV_P$}Dg%a?5E3(e`(LTpm49FkT!iL+|sew!%rl#`mL^&s(z+ zKbP%$03vaI&!W3vPOHSg;+X+&ZW=c*qiTHn&6f?GheFw=3+QICkWg3EU)+ zgDLf1);VS~R%LNaZ_hR3m^haQ52+7}T*ySLkj5IskeHd%m0zB7%~X)Y3T3B0)po~E-&?6(Ld%t%mQ)e+ zd;=@6zq+MB`7gxp9(Ov-=ftA?*sl4^iACSNk zvJb58(Sz}+n6mDvP)$5kB)?uaS^*0YGPo2RiN%y)i%R}PN0LG)Zyo!C<@xZ?j}yxg z2{!0{C`N+K)QiNXn4rA4;3o$3K=^j6vMX4e(nK3KJGQK_K8R9vn&RON@K3BMSpAy8LXUe7BR3zBpdg?yLN@p^QX2$k?F*%GRikeg8P(w-wwGDID$f;7zF$$ka2RhCn z7RsoQ&`=JgnnQ)uzVGk-)AbMB*Y&ubm&+b!E9>A%01Nsp1*B5x+Ut|g6b#yR_1lcL z3G|HwoAd=*Tb`*JJXbe-rl9v+QU9@`zCg+F3I0D}_*7x*zrSl=?gI0CK>GLh*9PFr z9bmo@n6C%sZUeKofiDf<`3CTpM(BK_%$GV~z6O}D1!il2nQGv36)=AX`K3Ym3t#hF zv%$Cf}>11Hx86W89ITOYcxK63GMIWT=4_|mBN?Y_<8Q#Q)`2#*9Xt64J568(tjKO z{{Hz`fDm8Ce!8x;+!6Jw`{ahWVPpE0fnzSLDTbVhl))az?Q?8;!{-?g7_6Ewy%t>^1vzTRVgdy*^8-7{Mq z{cmY*Fj{lujLA%S)bFXmKg-{yN{@ajiyRCmjwai$P7iG?ElAgYi-Ji*@jE}}hffwB z6&J^RZ?9W?)v`SBY~@|Y&k^CT4}HHUM5~|Pug!e;`)jE`NPFgX&TPY_dH&@u_pU5F zsgQK>*XKU|S@^W^W3E4d^fuaRH09uUcG$OqU!cEdhKy&Q`1fc1rHjr$q}yA@zM-@9;q$>G$sr>b!+L4t;pFgciq5M; z)c%k?LWgZVKD%Dn=nCDeyKJ|1IaqX<>%Fiw?bv3}VQT#E-#;VZzx)4Rpdh6H2&v)9 z=e4CE6}AKl`Q;tycx|^be;RjFmWo-_n6Rm`J1_bZROsU(=7%-yz8(1TZgp>N1Xx(e zP8FHPj`H50ec61g|EBt^P(zL9q7ysAFn$BOSNG-?#ZZ6j`t4Fs+A`|ehVSF8n2JFu5UR!W^z>A z?ew>F@9k|@%(_a=cDgS^?#>d62B*S){cn=k3KHlH_Kn`Tp?xEC?_}`pAin^}ut@Gr z07EC969

*r+C&G+pj}a@PQNX`&}0JKm*L{rkXLU2%dQ<*QzZ=u+|u(}%t z_X;$d$*QLd4$A{$@l2-LVZI9+(_*5f0kOC&-fiM;g#cn|m+5wxd;Uncxg&*U6!z@Q z1fb3#cZHM)2XkydYN~Gf*NM%ZwX@?LXalh%v-_-4Kq^Gn#4qOQ#kIS2Sqq=P#v_%Vlx_%3cV{hRKvy8RMfZ zdOlUWfU3~NEE&(#d`?x+s}Mm-eaJ|=KHD@hh0xuTw?QQNqV6`Ftv+>RZ zTcmyJ=%u%zhN%-AIz$Ux-mz!*kw4N^0k~cq41ybOcB}Sc7p&!!=~vl{bXNL%h{jUA` zXNO`W=p)9abLYFgt+#vyhtt9H?Ovxb3y{}_Ss9>nRl0H(friOQ9W<_1g8mTpSy?9g zX0(tAfAaK}ex#%icUF>O<=ajW5?!pB!+8hXLCH<3Ia?(p4b&qBDVIAy3Ck~1I~A%b zz&lf^j++gENt}qTwL==Y*iNGAf`yr~_zRFHQP_?Q+Q}@<9Ii%lQb=mRG5Ab{(CH=s zH??h{#zw7XV@@sR7zeyKuh}d(kO9|kB5SM7wiN7i4>wM+=RoccVaLT#Y-h2w{%5~%EF#J(gaYvE*GObi&MmnDM>>7j-j6jrydI+@S4 z;lPe&;5&2SYD@EjHA-pZwgLF|9F*Kitb@{1CTI5(2Vhqf=&W9*MlaH$T-B;ksg~-c z#W06F3Gl(WC*F2S15%t~Le<}hyDTr{bNAO*1{QyL4WQqpvdEQA5NBB8e6M;KsGe)wqhzdRhfsBujM=MlBd;}Y+|C6RP1G109b1iRwGtOQ7`T`^^-0b z;d}gt&QdA*zzFH?#2!k`-QtJOC;C8`c4jz&guN))>#0ICwD*(CIfVDZUl||AizX5q<{;EbQP{i{saRmBmQV;vuy-?h^77LZ=Az?%q9AV<-P`)wEF28hF) zbE$!|iQ{F8*{~W5)b1^63>2#J}m;eq4zr`uga#X~TP=lm) z-e&Ez<-zW(w=c82l-G}K_ArJ7Go9$yl0jIZgqTojj}0M%<=p)}RU_LqhQzL?d-ppA z8@;f-KZ(gR_U#B#5CCK?eZ9r1tG}+~7H<&I-tKLaKcz3HJHNILKA@l0>wnona`!Gx z8s>9ej(k=Vk)auXCbyc2Tn?Y# zUf2LT$%}*+Kkkxj8*k>niz%aCh+5sK9e?Y>}DLA0}vE>4L3N0FKO0{67+S)*9C zV?}VdC1>CI(Bm8W$G9CcN4C2K`?wRUkesc@?7B-3%$8;mdhpD}E^JRKA^_55lX=m( z8s~&|<~o{UQ)G(GHfL4q^u!G1DSSQhF-;C>D=oh$K_|wilzc4VZMtoI`odJW`UNk$ z#W`j>u%Iya#`VEQ-CLUFKil#@vs#G^mrDbX0(;eBIcBGv{7aLh!X^S*sCJq{yD5h{ z;ix8;p}Ng!vtJukv4oXvg3K<_7;JJm4`V0i!+m`>e_cjrTr7ba#DI6EZ+*u zMj;izI{{#VOGMIlX{PVBEzC3*QkJW*(~fd?=uzO1U@pn<8PQsSuk*AB=%GGdAkaT9&{R3PCqlsK zom2IZfT<#sL>v@42)tt)h1tt^DCx=r=;9C{tpGHbh&kkE{2LERDhA~_;G^lGclAQf zI=e6)=H)0uw~G>J0IEUsUp#`H1isrvh=1fAV%>;5vpl2Aveg;EU7xixk3u3WFLf^^2=f&mEz7`W(BMT0R_a#D z1XUniY+cJlUzZ?@h-i^mc7Y~aB!bL2ZABIMzhI({TAt#gVVMXbBXa9qD^+~nZN6QLHGjT40YJ=GDuHQX4H&9 zD1i0Jh^RA~7`mml2pT9tRJ@G&LkAC7tBe5G>qZKP z`vLShB1DG_c4MNdO3Bq^qstDEYs1-yED(tSHfESq-v@Hz%fMXy6Z`^~rR%F5H)L8h zgNRsuD=9%iKf=MX;tg(+kHI|9S?y5klwc-!vWJ{xz;Q?Cq&$Px48-#hP>#4}E?;)$ zfo3QfiD40YNtA0m*)jh^Pkuoi#ak_CkR~=PKpfRhBF1Ervjvex+Bj7r=z;)a(n^Ah z;7O;zydC(bypZb80Gy9W5^pDpL7{Xla6VG$q?MFXw=oS@p+ThLE4zKTHrCkqEX`F# zaLOqD0hwzz6gr*{xN#2}60bYjAILEzRvGF5j0*8PoT(DnQ+%`KB+6+}aDI-)*f_u}4ywU3{w6nRNk9=I|HQ5A-?|Y%YTS)X$ICcUI`e+>i9SO)Mflj@EYe89!L31?0&EJO9u137vqb zmN<{noPA2rf2E_F{_>y;i?+~3+=ms^!e@{t8J@sH(3Rv)Gw=3g#FGXgcU_&EhvOem za9;(OVlo8r5M)l*~%%-QeWIe`K(Yk5&i8tip#{OXBKX-ctBX;jm z#!BzGj7JND%HiArNSqkGd{0IIWaVKA;kJY`ozzjrrYjo+lsmz0BPAfet$d7-%j`A{ zW_r%bP)mC}@{s|;ox_CANP}KVFv86Ub}_>vz*{D&zLzqY*pGl70NLid^m&@NPTxXZ zQnr2B+h^MZuh)aQ2v8pYTjAbUUX!k-AZP|2>-`S%X5rQLwhj;jsyvAaSY*vD5PZ{N zx{J6z^4UeKVj>X^t3mfryzH*_8YMO_n9KpxCrcukd;x20^m}m0WU3g z{B^tg%$G{z@)HCggny=8giRkFFg8I(7Y?-0WUfaJZY@VFq(ckj&re7x-Np^_k$m<7 z7I@B7z$U-ZjPvxN!ygGSBQ(`n>{~*9Dv0?iM}RGCYIl1o_w4lfYZ93sc<+7Va^wce zse#m{C+}49;cgnh-YRd6Z9{Te?!J>LNgx^Bh9P;A9*<-tHQixCdCvZ})S#VxN#poZ z3uPY(q?+$SULBUnhwqDF2Gz>E1vnW`@l!4YvhKbBt#|pkcw?+;TIYRU;d=~7C^3iC z)XN0RjKcEa1XpE|yXrIMD6%Ob(_Q(}#zQQ71}8;NQgdlbZU-(Vh-=8h=tr}^@!C4WEcZ_s$E zy{C^RtZjfV+}>C9ihAqs@WsW6g^ZSSE2Fj7OAHTu$lEl0G1sxrRsG!C!KB==-|FM_ z7`a4#;F|l;yxGXuEt&M||0Oem#Dey15k)ZX|I&&nEXTfy#h;?XPeb9b>;01v-l@C1 zYGd%HiHO0Mu;-(OIv%f*ODVQAl;tq?;yrYfxXWV`G>U?563dK=WdiDZSq;pSrlD^UO?5XiH6 zVP88jqLGM>1E3vym6VHG(sq0_Zi2THG0y}t8)9Htf>OMUR5`7QqBMxlx@Vk!bklf* zXCf;|d2h^;?Zf;}LA?Da4TB{Tam*uTys)Q^i00g7oQyP2MZV%@Z|xoob`@3BD=BVMdZP;SzYhy8U42kBQPF^!q<1 z%C$7udx?YJdj?c@%%y1`#y{X;r+BjXBQiiZzVI6HQam`CqKyNitLLD7YENZ>W7Vj= zv#}CM>gEGwDyX&xZxViZ(lg2P*~(H8y8B!ZjtyEs?=w@1j3-8elc;Yblg_}>w6zw z*hA`Ppu_f5Xo~$-(1-iQ;pWU7@S+a50+2V;Ev{1EXS7lVL;XrDlf|Qh#o{J%&g6Zl zjTwj|`Sut8=)3BT$qyt`%WJaD%d&WVRWV4>!zD#Ld&TOAtr)x=fF)Cu>ZT9&DOm)g zfIH&aSr+P-gPHGigMIIU-67*iQQV#P-qv4%@pes|wTtzQOgm8kl?+Q3p}GLv>z{zE z1ZjkC>Ai?-yrlV83b}TDyM6)#^?72`C2BxkLNj8^%|C~Yo&2Q%S)p}QZRv%OZ zt^xt~kALpjpH;Zo_TDp|4u9r-3bN2&#d{jhzMAA3LhEoo@@kjP=WttLRv5>|Ai_d$ zO{-J3utQQV(<+eRsC#Cv-8q9Rd;*L7?T(at|0P0HUgyjWt-dp~*)i}56EyuIn`pb_ zEB_gNMS62Hh@;VS-cQUv$s@F8=7Tyi@P?Z2%z03U3_XJ@4TTT!mG+2wMzJWR;MJ3& zQr8-P7<07emJ;b!?`_hUH&NA;~8xRD!ccKW6XHWaS%`W*B|pGK0H#o7o!sGSyO zR;AZpXaV2I(P+8FRdEyn5UeGI#nHQg6rha`GsCKrooT}6{gifD0!m|YFB1|*i^N{> zZU6osFXVO9U+rZnx(9XLTH1Txi$rvoi6X_ea0zFfSlNGx^tI z#LeWla!zWo_sY9>G*jIua2Z-{!ovylr~@10nG}m`YC(f#!G5OZP<0NNcdM(olrI0w zNXG(VQRUEIjWMnJ8OdSQmDj1?Y?771CfUwhJv?pw7$bnRmzsTk|;k<#1r`a4-LTRn?{ zSs~Vx1?*(_Vp*K;Jk(1--6e7=&GPXOvJe}U0VzJ>aMlcJ9pt9LEx?WL8nvCT?Bdi$A~oEvB2-ybV}F_T~l3RGv% zy|9`AwiPFp&@60W3~MWiq0~c9v;C}>@ePZW(`Q{JXrUqsI|GhY;cdiWK`B_k<;F*C z`4eo*^LcZuzDrVwVOD07Q|&Rw_xRfz zC#n?ImTSIwOgXg9U?PktnvVrg&0>Cf1h0Ctwn%{eS0mlQhdC?52m-KdBzTw3)P-jO zfF4<3;71T>b|Yb$u5^vEDkAp#^rW4iefB|$uHwUN*R|B6D0xjs%_Jd{8c9rdbk$Ws z(=})-c$Uvdl?|t@O-nWys$q_Wc?jAR=%P$jYlXDR!3dKPZsb4~rL zRiDjw@0Ojq)|E?y1&pAte}|^DIO%8@w^4{w`xGYm73UCpDAQCtdy|cm(r2cNjbIT= zvqI>rwzS=rUvqz1&rh|?$_eo!ZJ(3Qv7#;h^lDHb*lEQt+r7xIjy(v=WyHpvHq5^U z!HS<8p=zD<3O=I!g$dtfJ{2YUbZg(q)DnzHpxkHX3jTB#Z;h`=lxt0YAH%B?aUuTo z2AyK_itA}&W>Mf83sb>Fvz?&?MRK1qts*OSH1(2c@!=`4OuF4GbPE@KI7f5jk ztki@U=vw)+5ZFKpgeZn+hphW;-E~_J;yPr%9{em#1kxR$_m}_5ylGL&3bV;zW3q1L z@3W17?x4J&u+xeWRiRR7)}{{C9LBABe|x08uua=0IKxvzTYXjq9_Vpr^M2Q5Ck2B# z_jvp6j8AWMBWnjW?uEcqDD9iin*f@-udp=ATzA6{hA6nu*y@DxvY>n_ZcDIi!it-E zkHNQN4cRy23}Q(ASM2Fw1wmT&F6ON?7%(WgsyqkCH`IQ$_iU?`UP%}~FfktkcQJ>@F1{$mcF56D=eODE`$0Y`lc35QElh4-AX;p{)cP9+7)2?#j~Vx!T9P9{5Q5xkMMLJHLQB@-3JOflVg zaQM(9i{R)jJMURCSgdF1meE9G+Y)Ic@@I>nip$rLkoU`~t|k)ldpV<1mD=*{_zYl% zq!R2|I{vfq3?t2_MSJ84#ieQdZ$Dc{AnLM@@KEC`sc$Ii&dsISESUfh72f70%8XR= z=-)CzZ5)&dHIIq{&Tk-@=#=yw}o+Gu%{TiajQn z+@ZQ4fY%5oC(TZq<1P>v=~8yGvcBaJ)#1iHHSI!#y~xotK-XrDIkVSJ23I4=I`wI6 z%JzTvwt>F^??W&Zfd32InMw4HzER&Cw|BO7p$KDg(6@t=AjLqFys~}QM;-|eIYMd3 z*I|Ndv`m492>)e^92o09Ymcj8z-oRIaVKyOIG>Nt&m(!j8hI-Xz zo9M6QwdiJnp&|4-%uZ5+GUvvLpsb)mKO4Z>?%X8yv1Ju7s zQfDI?lft;#BMqmMm&@zm@s%}*T^SC#ZmgVmb7Z&Rw3CMWk?)$Tpg)6Y9HX*}7c@uP zFcI)}+!7z@O-a$19=X7O<(+$EWAe|;zmC=F=nE?tL45#3Cj)OxPVpck;u-1d7|TdH z{2b$4tjQ_nD$qiJgT-3PY`_kxaIRm@+&}Up9l~~E z!s%ZXPw-&4zP5T4=i4>-(Tub&1?YOKU5j_@V>95gZ|!xT$RA{KG%y_BmehWtARY7k z(`Dlm{50LM_ALP^heT-`JjiPZ*iJw(dIB?c@3`ReJbt-A>dG{pzs>QbIoO=nyYj(e zdmS`JU}9bGU>9@KCelH*lcV4c4_E?|!Of1ezDxV@kf3G-^$wHp)lOQVlgKK?{S(M560s-6+OOW}jwnT&VZPG@Hu<&a zFyL?q+f_Gr$$94v?-rB!C^((qeDoyRqPBG_8P;;y_;93i^jM}N(aCJ*!;{gr2cI}c zcXp~qKlaJ6nO{#^0$idsUc^?h5BTqjt+h&g;(S1(JJP)S@ER=nn#-a3lzYp#xBH%c zp6-s;>3YN4eL&z63sBf$T@fp|PuIE*$35W?oKM-xNQuIfNOF5xz3^y#cO%h3t*|jx zV1J3w<*4$6y8>D3pkB6pNq^$dsM4vB$|*^GdC(W4Ey>a>ZBr=YR103x!yF$Rf|@NT zMo}Ef@4Yae%hJq%Qga-(1@;^yK#TgaY;$@J*Kr)GdJgvOs{h2=(P`qa(sR&#PeWv* zo9I6(?$iu*;=grV&vpn*+Uw_=lG~Gegy)=z>Ac;lc z!8M{ebq;-Qj=j>_6n`uGGllLgeOb!2kV8nzBN^_m9383t&$VeO`)Tm358VSR9sls` z?_Ah?g>cBdvu1^T84r&t6`rVnWtRi!h}o{c0Z!(M$KEQ4Q4%h&6dnt3l*~Qt>LEHv zi<6O@ST1ZR^|iS>#1_m95OVxQuieIMLR>i#LO-n#Hsj#6R|gIa_1cR?0z3Z?MZyBJ literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/xc9500xl_logo.jpg b/cpld/XC95144XL/WarpSE_html/fit/xc9500xl_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xc9500xl_logo.jpg rename to cpld/XC95144XL/WarpSE_html/fit/xc9500xl_logo.jpg diff --git a/cpld/XC95144XL/WarpSE_html/fit/xc9500xv_logo.jpg b/cpld/XC95144XL/WarpSE_html/fit/xc9500xv_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..90ddb3616f5fd1c5a93a4247b6649aa996dcb136 GIT binary patch literal 9336 zcma)icQ{;M*Y@b5ccS+a(Tx(lcM=hTAbJ^t(Q70mg6Q3-5iMGfF@r&(cY>&+lM!Wz zV6=#Le$V&3@AJLa_5Ja!v*%pr+UxAoX03g%bKmE7;dUKBW2kGO3&6t%09*NY>`HJhoyF~$B14xMeG2EJjjD(nkjN%Rn$sGzR za&iiCaw=Mie;F+m4J|D#4HY9JGczM2*FVPhKZZw2N=ijZ#YRWR#>v9K!udar|KE+< zuK-$7fG++q0Uj*?pB9gR7VowX&;kJ9;}hWF;jZ)FhED*%BP1dwA;kmW`f>K(4&0sK z6a3?$0pJl3;1lAuiAd@HapM8-31|t4=!kiw=t(pl@j6OtGC*FvXCyUu^5YjT1 zfgdK29wVdW9PqhUR@)*Fs1qc|EYG4qiyMj$AS5ItASNdG*O~C}X$g3w=m<3)(GxjB zc%?TOh=09GZ_<=`-#z8e#~7ofEpWR8pup{n+mRNa1~{wvH13a`o6cwLsUfqb*lwV| zA6H+K53H@M&SXW@@PlnMU5uUz)R4w#6RK;+q?jQ;jF44ah0&v3W`NoyF)e+4ReLVPIS(6H5|aOG5fsY9i#$s2mdj7H`~a z!n34bb9n7E$eRL!>ldp&$$(_`v2O^Oxs%2#>kRc7j8)6M=379;iE^Nu7@IZaQiK&2*G z3^yT$akY>K9r9>CI@1!;Q@qzzrB;SU*t3acf-4D_22s74 znN^u1iJmYRyX(xRYg)LqdUn0SdcBP&(|8AGMT_aG57{&dWI&Nupc~opMWcJ%*J#>C z|Ds0a$?>ykEN{SF9~zH;{nb>wwI|OyTVR#CjWsdZ_6{iL`jVg^KIcTa+|EO8U_E|$ zhUL<(T%m@uOnjK@c{FAnnREXI_lm9Lw9?zjDG7qjsp%+^Tt>`3Hh+-K>4lkQ*P;wN zIN|a^GGaLr?#z0CLE~XVgOLVEZ?t=wXpN=Tlw+W`>M_Yr;<(Hgb1;Olt(^Z624t}N z&TUPie|+r)4|mM(r{0q2z1fspV;)Q8!-alaAnjL= zI=U{r5x(6wYe^~f;Qa>UA_J0c_9!N=s=gpUYo8g`3wPS|h4mE}~Ig3iv4b5l75S5o)sOst2OTX5}Ci5@K3*p9MH{7VTcbF zHgFf#a-A!|#g*0)eI7q~t=;>Qi}add(PCy$`gECzni+m9w_q$w32%ZuPhlxIK<{ud zD;)Em+~Y8b`9JYX5MT3WUIGjjNw2$CTG1O~26hX$@N%jO*<*Lf81wU9_xDr!PYY9W${>`IVzi>#Vf=cBGHzj|S-=}DFi ziQv$|J5jbUc~0t(^{uwPxW1vK1$6T|htIlNTTUXST817z^CWl{9MWGRv%CvOI!)+_ zE*zMeLHaWjeRBpn`_Hk)3=)1@`h_ZAZvpQ{dly$eb>=lF+da4$IIA)~F1Zt)on2J_ zrp{9CksP@P3tt=)r5H#L03a`rk;xCsg)IdruhL$;YF=Kjt~(DV5eNfOn0hm(cgrRv zS6NoO-uIk23b%W7DLQ*l3BPhGH)tiZwdGN~w`l8*-w z*sywdgAFL8UGc7~KU(E=%Q&wPjRw00d8$R!!|CM`IVX9}jc7NS^G_r84-S>fDN=sS z)8*UDOx4=*1eYrOCJMK#h_i=%M9Rr=z0ZQ$``8+XU4?Hl`~sugn8g3yI7! zey%higMus-dO$KveaJ|TzmHpQ#&fU}hoQm6--Bbnr5_K5McM2I#}F(`i<^s2@}O9{U)DNf5@H>|_abYHY#a5&ChHto4{K~!j%ZOu$D%0wW04P3F z!UHyIO<+{!}X!ZP+6tthBSEVr%41~cLho>tJu zs1CfBVYi{&SnC|5xX$4C7>{5%V2>H`uIL@DbeZn_HYmee?c3F>p@q>kBeD)r!tx5s z&HElhTpKu&uWtd0Swn`}^K=HJ?L`ycLObhZH=|yWxqyUg$V?x| za=bW7w#+u}iaeq|m|p{5fBGx(_sdL`Y1ZlfE#S`e@*n1z2;YxWXHi|$4yaDkVm05l znC=XHGVGJ(x9 zEk6KxI71r&ZGT+H-`uWTFduMaxN8?Bn%AYiuu)0rzr>)^E|1ZF+t zy43#`aOKu}ntyX==oYY3g6fO5MMb>51$dyWBh6HwGj1iYJ3FO9g}%AveXRZC@v%yS8y!l`j)!ie&EUt zXu#&|@iD5Ab$KU@bZzuBaR!AB9ef22C)v2V1(1iJ3KXQI;@x36Pn#ig4f6bZ(yb>v z2V#7qE5Dvaoq^eeMCX%KWE+^?ivSqPzgxV7mIJ)f?$l)7pA`WFS;VY3$R;7YPRv~u z6pizJX3^y%Ktm0m8eQu$bFv^hNje(GY7ODEmo=}6=xDr*v(g@kyy5bqR45hRJ5j$g zi_ZJ^FAb0Sgj5nbp9nB?)FG}Zy;m*kX-Ybm)txMohO+2Bs40go)x;>)l%{|^aC5+{ zv{Y$LOlBTgYm8#D=u=#2NN!k;jn#o^nm!jb%xb>-r1P;*3+N88R^Q;2=Rd%%A=#KO z_HSsvBtK?a42YUQ!kdy*7V4gvwu|jlyqf8pxMCC5aLo+JjTPxc8s!P3X`DyBmR3kx zSh@x!@T6J%Vh}SC+-|@M9xW&(=ha0j0dv}vG<~)+K&?K9vXf=rc*J}K9)F;~>-tbNtqNn~64Va;`?H^iBJD@L#~`c1GR6wRm@35A3uwG+_qfs2$i24un5m8w~!+>M%c41bMUFDm2Ww@2# z{nY2qZ`EB_QIk0)8iP7B>_JM`?vMA>L)7BjzW_TzEPrHIJzh_MrWXEYZjI)DMO{H> z&dp2k_PqnNvq@Hv^7)fHxs)p^qfpd^*Jf$R{&0#>cYhzE%<~6U!U_d@?E3fP z&8knz%#&aTrjix(rN; zh>5dsQH%jWP%Gs*Cy2y@Gw6g+!3eU7DmvWlxCq&=1)+R%f>H$u^7+Lnc}z6qWhJvt zKxZcPkL}-rXAH-8N@B^;p^Elr*rgpNzw1ob#gWD1A~v7w5A2t$3m)JK4-fvlQ4cd! zd!rZgF9Mj3P4>5E4{PnFIuJfX%Tv$&0Uj_#4!O*q2&mHMIqC;0VhrM}U961ZXjpx1 zp2*mk^f_a3^qntWUQrPJy`0E>P=>s3bi+){V|EpNbVZdA@% z2pf_35dJ$~!3@HKB!rNIA8DZ#(uN>roBQ1s%jFish-!wCDa zLyD+5)+@HfWXV~J%$KCb zQD=gCw)=^e11r>kKgNDHKX&GQbxt_Jl`_;NN_Oyb(}lxeW!I+j^d+aYG&-}X>^Y2@ zhz$9{ujy$n4a0!-PO2?ea05j7_|L+$pulbc8_3}(66@Zo)IOlkm!`%MGqBRwG=+Ky zH{fD>69tC&PCIPq3?p4Yb>^RsbFz~rT*t68T&+mg718mIYqnu5_R;1VI-epn-s^11 zc&DYJ#iya*;@3x2a#QpysbZv>zL#5@ZG_^(E>yO*@6V)0IG-N z{<^k9z8xOQ)AD!`t9H4bVZic74%>W)2R;&YrF6EsdMaiTj(kh3npcz#Rq{(sa=Uet z!ugv!hyYsgn4O??K9qYfYQm=pUq&*6Y4%6yF1OT@X=x~pN5>*|r6ey|p&t$Dud3xx zF?Hhn?fubMy!9=gyRQ;-&VJdJ=_}A*029y}g%@slBaciG{4BM>v^k-a=ll7(gc{*C zsPn=l^T=Qk?C}#2VYFSDtFXseVkOzpHIMRb_no<+%^wAU6Sp=O&Gc*SHNp@LLX^DE zT#o|f`cn+|=>;lvH_=l|f|sBdAG|_iNTVe_My!0N#zM=2a63sq>1tEH1Rwtlnr-g7Y-JU?3+&K3u}V4P5EV9r7RbXyv4?6 zoQGE5vKjfa8$*^3^ABT4 z-xGxvt+_`rLv5rae^w5`T+TH_b<0-Ci-Sgf(^7mo*Rkjq)YafO*E=HP^^}C%yRo02wuQfKwk!Eey6vBu%Q(S@ z>fEfQ`#%^E*ZWa{I=VNRlz`(xbTue}w9n=*H{*$ck66FuvyTW7Mkv(A0N-2Cz7*7x zJsUe-i!RrF7#sw|LBOSohXdYqNn4^V1_c3#cY1W|LrpE+F#C*lU>i;UR+51*n z7ef>y5_O{V4ZX2;0G5SHndFe*HvxUQ4Wo$Xz{w$FVe$K>REGmLZ- z1gPW3w8|+m?Hk#3^y_IPCtEOGL!VIrU}4E$6u{n=NpG*sOCk}zav}RB4L!^E1e7lb z5K3&WW|F9d)(z;M498fr;z>u}A;i*rPx9i`xP=VtxECJ<=V)F!_xBlnMs6GA#1X{i zBt2en!1`8m?QvZDbtzS3hJ}$9{Ms6hFxkFFw&pFwZA>hyUae8>Qs|OfPBjf&JVl>z zRi>n+j~#0kb?so>`c~;}m)#*!<0>$4++F8@4Znoq1NU-UOT<@B1B$!1fF!Ki*rqrt zKB0LXI=2aH!+7(gO2d<>-anWqv$HAoU=iu8T`+DM-m;BPvPSc}EV2NHD-C$V(o@bm zWdl-?+7xnZw$2!E)@UAfLM2BGDHb%4t8(=Yk8<-|DW~jJ+zl~~p4j|XIbGudV$?AF zbYHAlZnC94xmicl$bRsp)++|?82Efx9hzK*J}=Dm=s9=sp|!U(dT#M>mIH~os(AV5 z!Bt)L@Ab~k7Z`=DXOrVUt(MVz$pLC=-QO_{D{hjl^Un`M$QzodgFpD70vM8g>3(h& z)cqb%zW(l8By3`_)?Sa9W$Z6RUPD*I+abOs>*%9f0Cn)m%BY;0Bp6)0H|TTyqUpIB z_2Zh6RB`f#qCZT6)x`J&bCF=(f5sflzcc;70k0zZ3Ym1!roxU!rB_-du@2X|* zZhl^AmkV`zRVeTwW144~jqsXk+ z_gs3_6$#6Eam+AbxtBTMApplyJ{H7(Ng_qT6g}sie5g(kL;kABnY>os1k)m9lOPSUs{LX$pK7S8vqzC-DdXo!Yb%;F3?=b1g zt2-%Xzm$pZ{Kz;+Jl`rs9u^$+&LG13H`7C@L$tPQ(#I>0*3OiZ=rNnPm;K>2Aln0o{lJYZ^EFJdDphlvp1vkO@mtP zN;yiJlppRdat!CqNgw6w7!|@yY?FEvvHmAp}(<{Z%MuB z>EIl_Zn+#h2|U<75kp3IfaPNArQkW#jk5t$tyvvo&vuYjhE>UEW@x?N736Y zTkbPGilNT$VxPcu6to>12VBr!v2m;5_VahcNw21rDIblwisiL*=vA4poiWB8uAOjV zrVyAEg_HOcuEbiOBE=XuW^Ql5U5b9;?>D=Jm9{1~>l~j}Pcahvdn#92pqh@Kip7S= zHq23#i+!L@EjG}Mlas!OhQCTxo~3dbD& z4li$ha_b+@iu&@Tv27f0-ib%Ak%r@ggOE5E2y zc6+ivL-JK6P0IObsX4|BJVQ$dklwHRAY&#G4~k?%?{HFb3W&V2l`ZP*aq*u&ITsD= zaT@u-x4U_t>lPp}aFU{`T#>t$c4P0wAw{jFQTE@8j>4PE&nVl6x=j`g&3A{N30mWU zEryfrfZEX6&PRM+)AYPH-C_^5>2LxNKSTO^ec_Lp=H?6*EQYv(UoxAUh?@>48$K7& z$1?|PC%;Mi?0P`;=C5*QeUl`2WK6(r|!v-{}6K+nJ+mn3_T!t88q0+9dHy{@g#C!8HORuiEu zMUa`9^#v!Xhfa{-yrJ&E;B>g~@)fhEN9jiZ(eO$L(@OJvad0850>a`EVmY1ax9hzG zYuxk6)Z|##_{9@^?c282k`L`Ojhy;yVOP@~{Kv65?T2ktT4WkYTraRYgE@%h=LB5A z;@g7{slch#>mP`{q5u;J{T5^2$t2XXOjL0!GrEyz_Rsv(@`|mI;tdGn7AWx5pK5B1 z?4ow&=)AIKA8I~jZ}(*KG8-A1KjDf***bHJfQyUC-i&> z_zgO=dG1fp*N}1x=tKm;gKh5?`d|2&c0%;~B%4t_>=idf+Y_O3j|T&oVn`Z@>hj{}+O^gGlqiRS!q$UZ8^lVcv)`ULXc<;5M$})2+oUtL zfG5Q6#x7QhN!=RphK&IoL%~ z=@8#yv@MNJQozX0Af=8Pj@1qfQ9Z|15!v-3%-8MC^uAC}RG+E4Ok9o~QqDGX+3v3R z!LEn475r1Axc956WEIOxgcZ^5<7LnX)GqB**#4HSQ)rYuSMNKKS-C~!t=RHDjO~3@ zIS{#J$$ZDKa_WP^^K`@38}gnbl^J&kT+a5b*?qsk;i*r?mXhB&VnH%p_M1krse5-# zUgmEucR+IkYYj`J`okYzrTWM)R((tgI=yk|&kAlj9?XQKqrR=JSex+#=p;=|5?HGf zlm$HNHsoaVu=fQWTFn^ENvW1Q1sqRawRBa(`Yzc@dIt+%&7I@dg?<=pa$g8J$H0T; zp>VHv0|L28h^V*+S4ptolNyyaIVJ+OL`Cg+t9dZf!5?XwF#Qah0u7MIz8 zdYd#t_B?v~r1Uy}$F!b$p~g24^<-;aLuYgz>H$Z}^yji4>NVE$NJo%OlcE{x__2)T zg4PtG;r}R+J*J*E-K^8F*LgUnqXpe8O-$+ktvqgr0RI+#5bNGxaDKa^oOzvPyZ?zK ze+AQjyuH~ZmvR_~<}gIMVupJw{z~v=rpCKxzDFGY61QHpv+GoFvmbar{hy;zalG)t z&aHZoNa3bTif!2IQJ#*RS!3wzl!ZaE?JS)n?DbGinvo?fA#O|p5%*jC=NB^sN8h$xbSow2*T@n#oX^op+MTYU0%SS?RBrs05{c5PqDGY=d z3zzcZrxEnyDU=e<0t7$iQS;gB6Uw}=JKe4Ax7aT2eIiJ2F(z0|2Gs8XZRc5W@dK{X zK&+YMtQ~38DP0CVt{F?UuZw#kvo#FH#eZAq#vBP~JCk>0Yp{>o8a}dW+dy3yZtb zuDX)!Q`ToXa~L=$s+f1_>8emV8(c9v{B7Nh{NomZ3@;R=@7>xyy-6Hz;+*OK?5>ir nUO>v^FG*XCuT}VKeI6v<(5cx{Z^ieM1OLBq3Wt?yw~PM;IC;g| literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/WarpSE_html/fit/xcenter.gif b/cpld/XC95144XL/WarpSE_html/fit/xcenter.gif new file mode 100644 index 0000000000000000000000000000000000000000..dcff6a3f26778f1d9115dc1e535c7740814603bb GIT binary patch literal 868 zcmV-q1DpIuNk%w1Vc-D;0OkMy|NsB~{{Hjx^Uu%EmX?`}_L( z`uF$u{O*?h?U(lU_R!GK)6>)W`T6|({QK>c{OyzC;^LT?nAg|W@$vE5+1dQDt=b=H}-6 z?3B2;xYgCwva+(3m6guU&e+)4(b3VDmzSZTp~c0;zrVly?UKH}zUAfRsi~>!>+7ed zr^(65-{0TL%F3&&tFyDSpP!$eo}QhZo%`&T@#T`avyP>tpv%IJwY9akx3}l#=bM|G z;o;%T%*?v8jjyk-!NI}H%ggEAj^5thq@<*on3AHRqPn`e-qMZO&Wx+5jr{DEyu7@w zuCCkL+qSm0z`Bv8qnE_Q#I38GpPi4Ys;aQCu+PSd$H&K|rKP5(rl6pp>gwv`)#Xjw5a1eKPTn3xW;bj> zRZ%hnG!P#g7a1!kDL^0^v7^V2AVZ2ANwTELlPFWFT*#TA-}ivu6dWT`SOm zTek(l;~G3j_h3SW3i9erz_)J#34kRa7)-cu#>0pcJ7lo4Cxskcq?GY7M8}OF;|6Hn z%(=7Y&!9t#9!C>oFt6t5zwd>cgW6L)EptfxqByvO8&HIDz7cV#nzi?s3j10(E ztPq2OU5YF2KtQPgPedGfEIQOv0p}jR5c>G}`&YIt!MOImQ u9ts#xAULuA{{RLk;D7`cXyAbeCaB#_vdT()=K~H zl!O2f|M#W;_pSf$rT_1o|L?8;_q6~300000000000000000000000000000000000 z000000000000000A^8LV00000EC2ui0H6Ry000I5U?PrWX(Xqi8VHNLFcQo(Vc&$J z?+?@W3Q#WDX6wx>|+}jFV4@!o`utRf`ZuguuW=x|&GPL7jrtL%3+AXQ@6HA43isDGZ;Yj{W-oIorjh2(OIS4w{ka$&(%h3rU<9(Wcd_ zICEy5C09|6UMkN&t(5X>T zL7qQdePj~iD^Wg>B%$VwXE&M3ltq|X-L&-*AR%41nG$P41&TKhf5s3>(T3X{=)86s ztVy3TNly2Q#z#6Ozm7+EZPJ?Ya6y_s_%P0 zHd`57lk;5yIb9XQ`lr={@d9>b`f=Hkx-ncdM9D zGR=g=u1fn^782!F3wY>cR)%4OVZqP;FTs4Y-g*t9_ntGSDF_X8)~NGIO>o(i#%wA0 z_W~S1O;nj>JRmfNb3?4wOgs%Xa@-raX-!NU9!koPVuc7!3lZTK)T6fWfzTJ9czKnlpDlsul@Lk-%#D6dBN%-G0TL!+ zGkvAsheZ14P^&<#xmF!*?LdJ4Vy%5KCqKhEi06Y(-Pm5RTN1+JTs|U;n2KfKM5L;= zLG;kP^v-+790Dj1fB^gUp;3#{oMsQWSJIOVt}7L>qiU_eRO(C`qQT!PX-4!=0sr8?!mV`>e$mk4$pM z0u%tU&_i!*vauZbX=jWq?@CNE_mHa~7q)&0Q!YP%vQ4wj9{I|}2_T(x$VC^BG{*xx zsfjKE*D2L!Pw!dWBQV<)>>x3P%3@5M_%`dhJgaelPCf&TvDrhVE{&J zTXk^Zu7&ulERY$&>=xjb^WCmv3arWcLgv2%ZsKw616c|2x57!Bkc9UWo&XB~Ecq4S zfDLrtE*{Xo12Uih5CmcYlvSN~9jRUi$QbU1X164}zW}-q0Q^H6{qpxe z82&F3@>`({1t@_38`%(tH@sgJQ#b@5LazWKR94TzhQWCmAY(oHPkVHVk>YKy&5&P53bF8 zMB&CIs3@oxjk`ayU;v?_3JQPmSfbIhs0wn-PApo&?LrlyWKuIyk zL`F?Ac!(19cndw0!9Mg61TAanKL(01k&B!}E^E0<_wmA)@)O`M-AA228m$1Q^V&`J zz|3Zf0~Rw#%M7HM$5V0x4eJ8aju!aJ_ocA_Sp=so7rBUW0#KbPbY&VPnL__9Es)Cc zkQwUvx>3siL0SR0SU-~nPgUXqa9SZ~A_b_;g)Wqlw0!9M)M-3)S~7mPz5JUU1~*;sS|(0+#w}{X{-+QfqV4iXk?J&iUR}yqdUHQ!xCIYINU8ykB_%;Tr6L30<(>CTQ*k=~@qXJ5-YKP`Mm~2gEgg{$4u^87D zUY4%^DU{_F)3^)C-cJnK`0J_AfJ7v^Kuu#Mt76ScGB^>+HIVHrL~H6&xr!6B*_CZ9 zxjRVNwogoI<(gOZP+rsC=CF3TQlJ+CM=5G-+}Q{Puz{asg~bxmgiCo0JjDpE`c z>7-X502wruxL&v+s)CnGGC!t+6s{#!H3&HX4|@}lwnYSPQ%KPiI#9!k^zeJV*3)Gb zc$C~h@L0>LM?Yo;piC1&Tcr!jkmadicVa$Hz2%2jiarp9Jx5o;;Hs2?kpI%phQ zoW}CbUaqnj>l(>A! zG}pV1whdOg3#PGD;m>ZjvqR-&b%T!)kU&7KZA~VlusY6fo}{t%@doqK7r*$08HsJ-5mo;JtI1ZRBIl~7{ z=!9u#h-?@hbQfpTkr6J!ejbs0b!dQ>@+S!xVKSC30LE1m6oI{%eZOdkq}Yb!@f+As zd9LHN@(~i^9?6)8fdU*DvK6+5AAr@1d6W@0rZUbBIfh9iJG9 z7F^W$91~KI%XgD9LXa;)jwnboV4#%`BV0trgxM&AD|wXOC>|%Zka4LXmg0|k5s=Gw zhqKt02_rg#qAo006WExN5Gjg!8EbAheQ+2kmiQSWp&kU&6jW0h@F6VJU@HyCZgN+b zyf~C-cz;lM2V$8JWQk2fkTBfxnR+6XBVjjw;doXlAG}~Qu4QYJM<#>#kdeuameG>` lv<@8D8OP`hji4*0*O_`Em@krt&IdP|7?amz5WNus06W;n7d`+0 literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/xml5.jpg b/cpld/XC95144XL/WarpSE_html/fit/xml5.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xml5.jpg rename to cpld/XC95144XL/WarpSE_html/fit/xml5.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/xml6.jpg b/cpld/XC95144XL/WarpSE_html/fit/xml6.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xml6.jpg rename to cpld/XC95144XL/WarpSE_html/fit/xml6.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/xml7.jpg b/cpld/XC95144XL/WarpSE_html/fit/xml7.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xml7.jpg rename to cpld/XC95144XL/WarpSE_html/fit/xml7.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/xml8.jpg b/cpld/XC95144XL/WarpSE_html/fit/xml8.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xml8.jpg rename to cpld/XC95144XL/WarpSE_html/fit/xml8.jpg diff --git a/cpld/XC95144XL/WarpSE_html/images/acr2_logo.jpg b/cpld/XC95144XL/WarpSE_html/images/acr2_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f7f66262672ae2a1ce0d0dd4bac05a015c50d55e GIT binary patch literal 2865 zcmbW!dpy(s9tZI6F3eDjxh7Udlai8>hRMsoB&8rH2bV`EC@CQn5C~;eO*LgCN(F&X-=K~{ zqqVfOl-0Dc+8C@RMho-%6OiO7Od7UUMrJJri9llh=Mp~w5HbKB$bo>g0WbmtL4d?v zfEECNq$Fv72mW_~z!0b;r3_qFPI7^v2!KHl2w0L|0+8H}k(>iigp|?-qutWVF2`Wn z;mB>Y%n})$J+&Pwu52OJ`1t8KxU8z0x&~_FAG&(_2HQ<`nC>()x3RUu6YL!v-P}Dq zy}W&V{rpb^1O|~#o{2bnE|PLSD*jSJ;^m}dde+rz**Uq_^YTk?mEFEmez)RYU3~+i zv8lPGwUgQPw43$p`HTKH18)b1-VJlc_=1n)pC%@!rsuwWpI=y968-r3+XVt3|6=_m z`!5$l;sQ&?0)_o{fxwZHh9IC)8;qotcDulig)3`sqsbumWR}!+z;%pWg(}BSvt?DW z+s8J}{igj>_V-|M|Cj79*neCcKpp~;3=e_;tbpY$biHEm-|9Injv;@hmKQ^0GFR6% zF{o1|%eLS5Cv@r`zeg`l->;y{Y1&S8P7j#wj&br4UVis7lQG~xUY|k8I0VL<`r(GF z`zrRid0_jUgY%Z{UiR;Dq(37gDJQ%uDJk|)O+~VwV4>pU(01=Hf=5@q-}H0#c$(#p zgU!&^A-thKu@BWEf?RygjcN;5UQUritEbNF+rY_WMXoc}vIae`(IKbTz2f&NO>UhG zCy;OLj?r&dv!E%0kzcr1@)lDAABQ^0c~<@K?0ONNKGE!Q#N^jD(dD@mR!&saU{M7n zpclQxgqpmiN>hJCofoQG?7Bau(wV2${il+srYmioPDLHZWuJ?$?Oh+9SjUvKw-n^d7JpzSff9iiCJ3X4OkFq{NP!miuuhq)-v2F zS^K zeJ$FLxE)p+3y05)Ezutxi7D|J?kL4W4eIOR<2n`&IN%hU(~YY^AEd}B@L6R>}MQ-QJg#;Q{Ou?&3BFWto$giPkY~1mYN^h9v6)!2Zsm4 z5*oaDEwZ|4A-Ck#yJe3U^(tsT))3rvUp(a!JKoUGQNQQvRX_3rHQLjWf8opL@bl(D zLpZ&Gv%rHjlS7_t7-VK;Tpe#Ev8pdU2A1FSP_a0)ksE{HCk}G|S;-hscM&!~6Oq9t;hw9evKKPd^c<{&ci(yh{ zS!S2Wx862QO-wh!4o@AS&pbA{5_OQ0P4> zA_=S%_cOoxR=sM>Tc`Yd^warT5x)IqOhG8`iZs{8a>uF)`&jyzWlQ#^TQ1rY76&1j zEJu=1H!kfi1EU+IKDMXK@{oo_ahegDgT$gaatDXlHq>aP5Yg~(V+$>v1@w65(YH%6vG{xO1&^08kz`!%onPnY)-p;s2fe2_VB&}k z`hjfx^Q7)#ztrssu`LxiRSI>_k$8;RTw+t%@aQXW%0XDOb*Gu5;ItTEwA%iv9GL&0 zDpH!l_>1tCl^M2mPyLiDt8LI-e_CD)i;>z_AxdG^k=EQM;gk<=ds_D0|3NGJIF*Fe z>at#+$U77N+RG%Gj}!#ti=sZCSPhu3o34*KUu3?|a#2e*^uBHCgOi+wT=|Q_9x|#} z46w?`%OAb`Dk!qfib1WIykp@)u5+RKpz-F$>N}t2Zs=i-b~^<=m$8t-U*-ZH1*lgYuzd3G|)iElYX#dXAjTu0@7XQ|V02}d= z<4-GPSG>vH7CKq8G#$}{t=vcbMkj^{Yrqu0y>qjy<@Z~DU<8i!4mwwRGAby=b~Nd+ zUbq}_mMW|k15R}fZ(=c&w%Jbe2s|PG{f1@h9K!mUo<=;tB3`dblj~f{H{lXVL@i-q z!Rcw2@kWN;xC1oK-9MG~!^=)dbamC&>GqX&-{sKN4L%ob!`b{ zv%``6V8MBVpWSO`Ki0;co$sw0t2}%vXnZK*Cd`#W^XBlMIl4F>FjY<`Efp%iuKD`4 zY5@(iZKbmvXi@2`gKx`{~W@H*l)2 z^PSIRXvyO+>4ggYu>KKu=GYKz_cLMjHH8%_eLCpQ^Z@nwiolVpfwD@BSc5uZ>eyd( zzexQLso|n(^Mzf(M7rUQ8MWrrB&Ix_&|HEza15!psD8UNJ7+Acl&*i##SRJl4-FCz F{{y?PCS3pk literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/WarpSE_html/images/blackBar.jpg b/cpld/XC95144XL/WarpSE_html/images/blackBar.jpg new file mode 100644 index 0000000000000000000000000000000000000000..af8f6d65afbdfc021386fa137c9ea34d48066d6c GIT binary patch literal 750 zcmex=Jq? zU}9uuW&*k&=mDVWTA(}wiy*6zqM;+3a9|?4QlW@Z(iUwW$pkka<)Wpdp zCN3cY31zV>gMj@=@lFj8WtWA8I_!pnwFlCnN?g;T2@|BS=HRq z+ScCD*)?hMl&RCE&zL!D(c&dbmn~nha@D5ITefc7zGLUELx+zXJ$C%W$y1juU%7hi z`i+~n9zJ^f1 b_qOEaNAap@a5plPST0%A#h`%)|Gx8LWU+dQ-U%VP4aw@gElY?R<%{S&zIw7+^b#ey&0@8UUP3G)vbre2 z5!y?5^1J9For_L(zt=ghhOe7z31rLV204Im;S0_ff>!1XfV z0f3Bzl$4Z&?B*aNBO|AvrKGqK1{xYFS|9@>Bai_IWMbiBWn$(40fB6MY#iL&yu7?j zto(xfJc3+2ygdInA-XZ8Ag7?Gq@?Fz1~T*fpXGW00Hg#!h`x~!@dJo~L?l3>>-PX& z0Dy?>Cfk1!{x2aSCLz7al9Gy==0*X13qVXnLPC6#{|&&6cJ$3VfD}l^$S12w&Sd69 z!SBy37n@m5DWKK-4rD%s7rg5n5JyGL!pg?ZAtWp!Dkd(ka8FT5S>?e)h_;Tdp1y^p zl{M7H7UtsW=KjRP(mDVeo0%bVX(3EVZugPa4V zs96N%aYBTD(EdgCzXOZ=f06wg?7z8|0JJ1TH^n0X0>FS@KV~LR>Y8G@ufh<{6dkBR zm(EkeP6{)q^Nx6RKdciJG6gI6*p#ah1fmU=kBWr0KX6HRt;oyt^qxt=IKyF@2G+V3 z46tbV>6c{kGZz+gZhWs5m@I25ytXqvQfGLod{5cyUCo$+>abq9h%{SWSGr$sL=X;@ zUV{+OYI#JFek@X%`FYi@Rh63ManPG-9to6t0Q@{G~!%$cqa z-LpXg)V`v`{L0n*WpK)k*jq|f!@3*U%(RJ#+T+$XmabNUs<|I#*u}>{oYa!?P`A1d zR;d=cwNAP4NcRHs`rI0z5&c(A@M10LX0<`;Os-fJE5FS-1;~r7llf~#H7rR3;>osB zC^u0-2J5^9vIZ?f8N15Ma|+#sK8ep799xBHjt;|84xxs?Y_|@GeZo`mVs-H!>SUqj zcQSpYD;kH>#dFjl4$0_Mu9mNQtMuqEbs=*F&&WTj+zkyww!3-T zw%x4;y>Q{oyIhcqecBNc0@B$dDpRSD*04K1?vD1|;?lY8wKkb}owR3c-y>9He(R-< z`|R@{^*2&%I?9JpY=ofRT++Thv#nK)88q{c`IGTi!pkwzLLuyHvtDCwBwa!<;<&cq z^ypZP-Lh3Uwf`eq0^u9JxI3$-m|&L&WfH0E^Ctgg2QsS#(aG2vi!B-&HAk8F(TwLNXYnL zWSTD0z4e^@8&Fz~=_6=P?mgZIiZY+;k-_J`2h$bks+su2{Nxs111pEyR1zJevi-amY)NWg)>DPeu<$@M6##d(lb>s zxvfBQqLA=Y6s2ID)nG9FX3R#n4Vv{jyTM3EQwBDXlO)UO#jov&HB=C~BWO-6%AfVO z7Eha^K4OJ)K{MQ` zi_Ws~))whrEu~(rYVcLbiF_wDs}8$ZODEw5tuWAnUV-6c!U?h|r2b5A;!(S=juOf| z{!ZpI4Ya4Km2Mg=mEh3JM8(2{)-UlAR3@%Aj_ckn9L<7jLVVrB3kA5v9}2)z{37yX zr~7H`$}GqIL3{DV!KhbYZ}rHbh0uE{k}L!)a$tGYP3g$=8bBq`7_E+6Rr3}`Q6%}f zP2%*>WQnPi+km%9+m|QX4N)?|k_#%!6ODTQeFmvtI_*CwpZ8g;p(u78ZHEdV%3Twe z>a3^DJ6lS@=E%CWp+C_hO;@A8d7mFddHtSCe4p0^dBfc6tYJ``E&wQ=MR02_KyWDEns6IX)-erX>^yPs?2!`Z`aG`b_~%0%`PCX>PGTlBNjXeYw#S))nvueT0#Gc~<)2eX>Dv@MU? z@zad&9}|^fdh$0N2VN|%k0hU%P%ggeeWE44<1*OuV{I>71o4-mBM!8)_32h}&y|!q z193&SkpS;|5%AlIOzsm5*yM}CU(W`v0Y}nd*sCG%g%lLu9;+eb6~n0p-fV~&3RoO0 zx*vCCD_t(+OX_AaDkwD`I4}Z+A@O&0&!+Hi7VMhiaWX$wBGL#QjNH%WS6*WP7&{cj zTZu-L~mgd$4x++%N3|85EcLlkp=l)sJ*r zN8?}id5T^G@GP4t%QxCkSye}fl~*4~v$%{a_&JSdaPsqZ!+cOR7`EnV#+?5!^CnkCiF$X@w*fMpkL5TNSZUB+=q z-JSh2s13iL+tf`^qg46NS#ZTK@@}K_ zE|A09;xn~NaHA&&@Qy}D-DloI%Z&Mgxg7XIQm&%dcUW_cT&J$0#69-Z`hnxIOD_rw zixu6M@26GCtiEPDaMndVA>>uQ`7U=RGFW7^8e3yLsi%IPKhf=Wv1+RYqNsTg()fei z!tPGMPanaCkS}@NGS(1Sb8Y93EE$l)eea1&H$q~)8nFEA)ldYpVmk+V@cz7FR?Z#e zmHLE?4MxCSjE?&5D)6il@m)h$gM$#7xPM}|>r>UymN$>|4g}yRCUUaF-@JU)KXI-IHcg1^3RG_N(W6a&N!8K@Bv<8hp&38H5+MKPPVf$Mn z>Qq?$fwOpm01SWlBIpmref)kpN_|5X>`^$0FxA7XRst5d)iRhY*zgfzLZJ;=)_qq= zC?LqBB1Xw(>)8%?Gzc8A-Z64QQ8d@Tos+?RU-%(|=X=Fi827tx7}< zTCj9!N8oOrIq0!i_vTa=KGL14MR#RSBG$L*&5 z!b7<+!r8(4Gu$sX@L8!a^KZSKu)O8{&qX$M#)saaym0TJ+Lh>{B{%rN)u-7} zf8G)mkpll>X%n@;VTiXv+Ml|v=~D@A{guwt3Acb&{-*DpTH4XbPhtAs=_^y(BRMW~ zR~LZI^Dl#{lD73b`tuFZ3-ZV`<6DMr%^WZbloa+DdYn<#wn3ucg+p`AQjexV{V#va z2R2*)8zFn(bQgNcrInQ-&<$Pu!86U$J0e>*PCYcE=2rP#{RbBZcct5X~>(hTeya?AaEcC#M{K~I`x^tW^XxUWxgqbEvCAON(VLIlXSUTf|VQaH7 zB&CXV=4VKPU@RO>c^lrq%7%PdT-1TgrCsvIvhlaar~8E!C6FMALitx}bBL*J?m#2ooRb|1{QGD-DGUj5 zZTb4Kga4*XtOFy#x>vZ_|E9OW-g)2e8e|pt{eeKBLngy2GLs}-V)~u4xYO2zv9+sq z+pa+o{l{Z0{Urx}wXj03!lcgYcWJ@!qLfT^TBVLt+;<@J_KY%#;AvxUj60ZI^4;cq z&jTKMOR4t)T!i>7XuJ0Pjr!Zekted~U)w7qdmYaeJ$pm>rhZH$<@>lVD6;y{I;ma6 z(Plk%k-Sd=PPFG1WriBsMYJYIL-sW<>4@{{v>1Nr;Pi}Zit>nJca8jNJZEQYM?Fl2 zm`7^S+H+WbOljN5uCZ6z9y_!49H+(7&EHcn#T0xg&WeClD5S!buK^qsKEzutg0c@r ze?zk_8D^totD~gn_Jc3>3~c2iFADvfvLLq9vMcq>o2tnqvxK6bduNPc9EumU4dJC| ze8%AKz7_>K?I~gL#oHMA=+@MQ*4)jfFNbD_HV!Id^7K7 z@*lE^c+m!79UZ++j9lJz3D@MAt}MrTyN>?Ej~t0J3;5nq!cgch%)Vx2Y0=UhqUEyw zoX)p3s6&^SA?^$9GFmcm6KPzM)uB5)HW@w2SUlP@fn$gLzj%e*%lOmDx2QfS07Qoq zrI`^pmqkWUG(ErWO%1qoXn2nz*~x|9eJOrC|K;%A8nwf!`ow9Li9mKITzKGa z9YcGQTOYXWtX!r&=xDD2 zpPv{MLjzOq%l3FFnZU{i_;OAi^CC#XC}eiYQOrB!Vu!)Z!OC!({#YNhUe;RuA@@qS za$#<-yro>mO|*9r7xDF(gaI!VD)qE|F32g|ovb(nKfta_L=^OBA~l_}!G7U~%;f_D z^wDupuY|Q-rXj7eRa@(U25U89KU#|Nae=eEA$EE7^ALR;^zXUmnaVhYw+MTUcCNn z;#~`aFqB=Pa{-d%PnCFK3&8hm9_zDJ%Yom11-)(UT`S2etw?9_4SA$;nIZ5BGTj5l zuMIkgO@B4nPf4j`7ttnm?~=Kg#jdU=kwPB?;{K=(J8~Xk8rXy0PS5X}Dg#CZt<0mJ zvNxYLw(Fny;g+SM_Ke(UzDW34ALWa$R;8bIKfztlJaYDN0;Qcy7O;<95o?Zod%Yli z7cQ#tMB6;TzohDyv5~4&h;>YbbSXV7P-q6Pc03qig*fYMG~sUZ^LMMED$mVfNh>m{ zUp##`vS}Z@UtL<%!oU!J2PekHvTcYIf`*!|E_PclImC= zs`mw4vL8Jo9HyUTt>?S88Y;yt$q7YEcMr9m(HH%=0L0&7m;X)+0&b zVZ;m;=Hh}TVZXGk65+CFElv%oONp>JPxDd=MpUX>X)UA zoK)1GmMj#|5<$w!ZXmP<8{UUC7PN}7y7=Zgslcn5HsNT_pl@A|KQ2b_FQ>+%N{ zVvWDu19()-^yxsI6a}ZIUq&bNSoK9w6MXr&<#}-7;qixY^Ak`2&&}k-VgNTY4KB>t zlHRHUsRUKPPdy&*Ec*=?SpJm>kru7!c1fsSCb%0l`(OEMgFlH@G~mQSE^Qizc(<7g zD&T%p+RM)*rH8m;P7zfx#byv!d`|Z>Nzdkn`Jy4XXgv>O+RsZ{y%qtZXD4R1J=~o8 zXDtV#1+2;=JSMX=A_m-Q@@M z)TSUpapf_|#~j%Qe5RbC5f31se_0gFmigCY%bV%Udi*P52S&KkUEa*#yCpdPnEuHM zTd@TVhiK)$u44DJKl+Ss literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/WarpSE_html/images/cr2s_logo.jpg b/cpld/XC95144XL/WarpSE_html/images/cr2s_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ca81eb85ee4c6fbd4d29a3edc31150db667b8d1d GIT binary patch literal 2884 zcmb`Idpy(s9>>2kGqKc~X^543DbidcLM((L&w;%u+!Gs z#u@;DK)^}i0JgpYmH-4SDk=(w2qy>xA_j$tLxtKQDY*>>-?3{Kd^R7zZ2N>Lgvt@vMS>oouu2dsb$Fh~gy zfrG$s&{ive1^^I5nD%Gje+nc578Rxx-zFg`EU4ZIh=9Og5n+BIfUr7NxDJTIA-neJ zn2RCK_(7GzrH|Z9%Mn+$sCa{P`ovYi_(zbpNyzL*$;zp!scY;%psS~EU}$vI@`RN& z_N0xi^H~>Hw{z|u0T%)<1_g&)y7mh`GKz4Wc z6Bp?p@kv5PMR!z{_Y>{!WPc8f{6CTX0roF0761c-gv|rP0W;vcR-(pVME-=u>~&8+ zTc@978oqxHUU_C26@vL|{DiE08RG$B!|elGCZF2wTjld~)JT;Qs{fe~nuUyzhFzzk z1CoG|~yu@;8msG)e4`Hb`fkXq$tS1U`)~9*^tCpEQV;sCZG&RTm zt;-EVjwCk@du9i;{M+T7?dQ>MP4tcNA6@4-N(I+OHp=zf8eWfnH|LUYJ0){+yD~31 z>(y($c^ofShg&VcYsZf#VhSZSLhZkNXe^Tt1JDMjO}Y1o^s{u0BI58+`jhE;I;D&NW>2_5m7jdnuklzL z>}LJp1Ju`Ul60>@y{c>a1*oCD-Jr;ML7D$?ggnQW%E=u^&k=){y&8rv!#Kxv5?8GYONtV*~0-g81~ z?;)%&S1qrAA3R{E%c}0wme}W%47j`pzX*#xLX;p4^pBQs3X10X>Kb3zdRTaDU>Luo zIJLOeQeuyehbg7H-Wf1Q;SK$|>0ae#+}hS1@m+zjL8HeUIyc)x4lQT&oZzLT6%MkG z-2B1fk;opa&o9T|IQE_{4E35mUFP97Jw}RLmzM2~d`>cO%)rG`JCiY95*jMk&-SyE zMb(uq#Mbm|j z=F8aQ4+_#?caYG_9BMXksbb#nlv}^^1Z^0w%|SYOlb)M&T+ zM#Tp@`6LQUtN`0d`Kus*sCw>wI!>U$H!BTR z+{?r}B8Ee1vsoF=o}@es1y)K^k4VkyeN~z0+@*s^N{ZeBo;Djw=uko~$6Vt+!7{3E zj%@+)pVunfy?u}0IW_R5*VDmZfBW8@j;8(WS(13%USEMpuRvKVZ!{XGpcVAUTP0JM zUK^O1-Y$RN-0h_u*Rqv{-ibGpCXiZJS&pnSIl?J_^MiryACKhYji$f!&t8ddLgspx z@+=}17c@y2pVF9L0#%)!o~VfoS-{0%x5MOZn)7{KqL*KF2qd{_84_(-bn z=EiEt^rk`vuQ9I-Tg2Bq_dN3ADaOw~x8JNXUHHP>U6$f{+uAh95@OLo~j63x?RvjYb#UHI^Kl8nfe{-k0SW zv`p(=kxaoj)N!Tmn$XC&TLA}WDAoxl&S!{HY1h6;9i6-%#$`03`(A1m1Yi8_m?4id zoEtD{3k)p+%`)~7T|W?g9-#;O=5|j@Yyo#1GCp^%9UAk^1-bXPaf;il^Ya4Bx&@u_ zXsE5jX(whEDXlfevZn;!1ex(LiJ1J*AhzurN4Q5RE>M&O2S0Ox`IxZHRHgsp^uCp)Zo!p}|8BEP<5 zwMO{oN$nohCexa;cTk%fGHYrLPA%F+p7(c2s(2#{ECWI4mUCH$xy%F;42;E&U%2pC zL;B^t7rSz$91E|Sb?7w}hYVw?cvY;`2fDNGW&O{2d~|2&2cF5C3;|VoW)VyU#y%tk zT*KK7@6@z_oF8qxmq8#yfyGQ3IR^L literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/WarpSE_html/images/fitterRpt.jpg b/cpld/XC95144XL/WarpSE_html/images/fitterRpt.jpg new file mode 100644 index 0000000000000000000000000000000000000000..42de6fff46e7a1fbae781b4944ea0f4471075e2a GIT binary patch literal 1341 zcmex=^(PF6}rMnOeST|r4lSw=>~TvNxu(8R<gTWM0TY@5u?V53ptdXHXalWy7)oG zIH{I3zSIJR&kGIVCkMJtH%#xTLhK zyrQzIxuvzOy`!^h(&Q;qr%j(RbJn88OO`HMzGCI7O`ErD-L`$l&RvHNA31vL_=%IJ zE?vHI_1g6tH*Yuqec!9r-=(U9^_Ou4*DRPRCJL`OvU7(>PL{* zz&<0+V@+iF4DK<6ziu(`Ff#%pk6Dnxp5X(}{3xT^jCG%buBC1IQUCC_h3Vgyn6DvNsN_F_@hAG^f z{QU^Od4Y;L`?C|`76NaqFSoq2vi$S9_-NR#(%z%3OMCk#9QNNbuQDpFSZC60m$wZS zp(oX4=4>wRUA6be)jz9$Ti8ETzOE9jD|YJb7kT}^eD5sYJeuTDF1c~*1Z%SwAA1k; z6i94{o#&BO{3reMlF#wKkAGhGJnX_2ZF}BR6ZbCM-|Vw_Z@g;Wtk*S%#1aj)pKvtH z+z`&*(Wo+wJvit?UvJ@~+jHe?wQBXG5YJofF>dCk=iqt6TZFF4T461*t#_8p%M)BCa&)_GgoD?L5T zdsvI@?%K~`_WgNEJFmZu(zehI|E=%;Q!!n6cEpU@Z^;J~-EBqE)m5fB@3x$8xPMQ* zmFAWJ?TuQQdTKKjJypv+0*(u977{EMJM?_PX~P5Wj(lI##julY_v+j1zwK7niv4F$ zub*DM(%;U`lc`;9d4A^k^K;K$x3Bm$ zOJf1o3HDBiqtP z+$Y!NuZx}dPHjiu9gkxgQsH|4q9&C!9k=`Y*;Ry5_Sx>$uZm9U{##vJt}>BB_W6!V zZZ`=Fr-(T#5~O8~jIXV}d;jiz4!i56w#O$59ps;@Sg&XLd&SNdi{~&N0D6D5t4IL* G|C<21w=%2% literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/WarpSE_html/images/logo.jpg b/cpld/XC95144XL/WarpSE_html/images/logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..dbc01d5124f366a2fb387abf7e62447f44e0dbd0 GIT binary patch literal 2922 zcmbW2c{tSH9>>2kW2ZC>N-;lM$xh1DkEBpY^J8Lc5rr5=#x`a`DLY9;HFhRzNCsJ= z#?sGJDq=K32H9gQ!yt2~yZr9+yU)GPbMJkh=Y9S-=X1_^zn;$@hdaRi3hc5pw=f4l zAP{hdHvsM+a0=ju@bU3M_<0jQKfi#Wn2;b(;-aF$Vo-4j38**}Dk;5BMiM3~1%>Wb z*exq32ZzHYWfYYa<(2oz!{vWo0^%JN6cF4gB(zf=28GH0&*HuWphAE-kO2WH0$?Zz z0tIo~0XP7F_<3o62L8K1U# zZQKPF17UhM((;9rPFBB?vi-QIe8>YAEi57}vwM%M%KihY2i5csA2C22J$A~}%>48j z3ro~_JA1T)qtm6!o?hNpe0+m~Lqfyw;e?yFVq)Xs6B6&wk`qs+1KiEoor(=)84<&{gfw(tr5oBus1s-2){R^==5kPNwBozYBDR`mnH%p0!^qIYt^=NpE0PK07Qr!T~#U z#Vf!=nKoOe`_QY0Q;5opZB0!xu7-Nd$z~#3nbpZ zAjKr?wWsR{YMmY@*5R?g*p6ZBW#pE*{e&ngtOlJZsxqKI+iu-)t~)XI&HGJ_C?7k= zz-3kc0rvZ-3Trph?2pXD-WLlV=~tiHYo(vC&sXp58~{%!HlJ2h@1#w&snKvkt3}c? znlPIa(SJhap=WBOb?miJFH>ByK!B@5+@#lotTX3&XOF-!nzjBhv~cobMf(1%q+ z{ow2GquKY4(K!%MZPuci+9Uyi7h$?Py`cHuUjRn38k4zrO->^B;0dE!GGzP%=f>xaEQ9zEG3Rn!Dpe+H=S%4m!1TT83vM^G(e2yDtt?~$ z*2#Y_HT1ZP2kMT5P6V+Uo|$Um8Y|o;y3i41F+UjmAVO{>B7H8DPWxp#sG*)e5`$%g z+9WL#T!+NbAGVJ;uHT~$D>Z-A$7Dvhnb#82yot$Y%7hI|XRqUo%DX4lCz!1;y!pt< zkNL6Uuo<*9Jo`$rGy{lS?2h!%IW#3an>cYzk{P$S5En8}u)6X+gbTpBlT65Pqkw#p=iKEwR&nhZsrJiS1rhMJ3aP`6N@r!wjO?xdn z`Wt(DS8>S|s@6O}RM%?31=J3; z%CxGdLRQ zj`<1HYX0cS19^M8*p<=Ez3c=QHa!n7uzaQ=-qrWmCv6{ZFY&h%u|1O?v`p3zQrV76 zCN%c1dUMA8y62~tmM@f7X|<3-5JSYVcf-Y_a%$Sb)1@+rhkYDv8K)*SDem#Z1aHLH z@muW4tiG+&EWu9FiksMz)z1)W;1f4$!I3ayf)0AO+4#jv`R^fFZl8Vo8E=>s{SV4N zcLo&3-16KgEFF*1rsXdRG%?{ZTwvE~;S;>EZJ+k{%U6#G*n4Z7TO2&&dGvr%e~a&9 z!fJql?MG{M&aX!{ePoJBO)UwLF+Xx+sePqZqSi+{g3Q@XID%tKn%nK-$G#)r6}Z^C z0je)pReMz&`s&ibdiVWSzoYkQ2hn$bYgTq0sk-rGODso@XjNgkZb(O!N?1xg7a@C| zIB++rDb;C1)R`65VeR~i9dw1^l}q;R`Etmm<0u!f+o@A#5MmgOltW0}7}W-kq+pQ} z>rJ-XJ^h!hyDmPXq4Q2x}-W56?pKfxXX`-*~%V2 zY%?;{kFtjGSCoAAZ5p9>Y1{Gj4xHbG0UbKzW+FrHSXIrt4(xBfeKJ`@dMG7VE8)D)i7ofD?n}3~0n{KU{Ot|=> zxu?<)CA2v7?tQj~PAvMN04;88;Ob62!Ty%`UcZXqS=A?V`3@K3-ED|=i5sM&MhI$e zB%4&Bk_jTh0>4Rpd7eLQDrgQ;Q{ zdo+;~sFuuc2LYWf<^rxw#f$7)8#TV~3I{UTowN7AoRr%F=k%^3Dvqf)WJi!*<+d=w7 zASZ?nIz3Uj6s@~vheMvtA&%!1y|7K3Y!=`e$fSZYPh~=6^ zZ&hVM!;9M66HG2(ufj=3mY_N#Sfs?~0Yfx>e;Lh@)%Bf-2exF-3k@noTmZLX_?^LA zLs@9)4~ix|f2l#&+y1WfCHvi*NZ?a-c#iF(I>AoofFVIvWqqeX!Co_py@=bl$N?KJ zK;O3Om|{fGlPXDwJzqbJ`5|!#O!Tn=B7wx&XYP`t;9la}KewhzpCr$`!?o*|i&jZr zcu`x^ENf_8_iY+~NS;-^dU%=?kzE^;WgmK#tYg|_1G~zvnO^ZCoot_RhqsV#1G}hN zT0XOgki{RnRy|T~c-B?VA~NtMu>a(@7{>we*O!3Gh_w; literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/WarpSE_html/images/spacer.jpg b/cpld/XC95144XL/WarpSE_html/images/spacer.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d9a9aa5f130d286466afa0c53da1daeff068843c GIT binary patch literal 444 zcmex=iF;o{=v;^GnD0RsUZK7IjyJ|1CV5fNcw z8EI*08F@HhWM^mR<>8eO5Ri}(6%>_%OAyQWe}F-dgF%8pftgW|fk}{&S&;Gn5r#Ad z1}0`kpex~kk(q&oje`*g;6h*qBa;9FBQrBI6FXd-nURTwK~Tt0m{l<-aH7$cQZ{oz z42_IJg8y$Z@Bl4g5@Z%+uxEH$d;G_hC$WKd)Ju(dgQo=F6e*T5GEc$SPW{t%dq2;)zvWSe(H5qrfM|71 ri#;KAnosv|wA-wBcywjwQUhh^(PF6}rMnOeST|r4lSw=>~TvNxu(8R<gTWM0TY@5u?V53ptdXHXalWy7)oG zIH{I3zSIJR&kGIVCkMJtH%#xTLhK zyrQzIxuvzOy`!^h(&Q;qr%j(RbJn88OO`HMzGCI7O`ErD-L`$l&RvHNA31vL_=%IJ zE?vHI_1g6tH*Yuqec!9r-=(U9^_Ou4*DRPRCJL`OvU7(>PL{* zz&<0+V@+iF4DK<6ziu(`Ff#%pk6Dnxp5cS;+rN*0Udqo8{&^{6+jrrpJMP7~+J|lY zrVlQ*wxwk&b+t&wC%&|rt$F-KWFh*?J zam-+qqYmrMLwWh}*Lu&s{&ju%%PO(SD{f{OOUolZczoQs~? z>gO%SIy;l$(WbXs-U>ZFEc|1lNye4PB`>B0#duvjBjjxoHnmw>{OIjT0gEPew=yd< zmKDsNef{fFA%lO)Kd;xa&CFf=C+)-2xof9<3tW3`y5hDSMVH*(P1xP8!auuM=7Z%M zc805^{o8&R{d>5_eO*P9`7~>P?a=;&O%o<6`RttKvsowTnAwAdcoimQpwUg0;)~~> zo2U0w{#d=$m(%;Xex%j}r!P68n=bZGY1?Jh==iPgCW%#w{=4*vp(*%jPo=BlnHDAn zW!;io)e`Q#dA{6lKE3?UklDE9b>~v8w<6mLo6WWUrRmKtJJh{XoNIA`)(O3H@^6d& zGt~Smsa+TUe*U@L-e;{{E}6Uy4yu*1-p;>t?;fETuZ^zXW=E<`^t;A)f`hRx;c(W| zjyuxwk9a!ukHiaZa+XoZ3g-&tRG6s9x>M!J#L2f+9xyiWg~*&a@;hb!J^Q($;UnJ4v}+OXmV4@a{FW{xka$>Jn*YO}BikjOOulkq`}fSJxx4062J8`h z9d;$5kEJ_cm&^8&iqNzK!w3l-aZv`rGtzSV(9r;LtDaKD{@x)U1f;Og61Qm#*~Z@yQb;w`f+;I7XncdI73xv$@Q%j@80GMhwk%U z?a?B3S@&>zn*j$$@0?W+CN>^dzt*L}wCDQua`}wU>y}S{E7bY?zGA+a7>2kW2ZC>N-;lM$xh1DkEBpY^J8Lc5rr5=#x`a`DLY9;HFhRzNCsJ= z#?sGJDq=K32H9gQ!yt2~yZr9+yU)GPbMJkh=Y9S-=X1_^zn;$@hdaRi3hc5pw=f4l zAP{hdHvsM+a0=ju@bU3M_<0jQKfi#Wn2;b(;-aF$Vo-4j38**}Dk;5BMiM3~1%>Wb z*exq32ZzHYWfYYa<(2oz!{vWo0^%JN6cF4gB(zf=28GH0&*HuWphAE-kO2WH0$?Zz z0tIo~0XP7F_<3o62L8K1U# zZQKPF17UhM((;9rPFBB?vi-QIe8>YAEi57}vwM%M%KihY2i5csA2C22J$A~}%>48j z3ro~_JA1T)qtm6!o?hNpe0+m~Lqfyw;e?yFVq)Xs6B6&wk`qs+1KiEoor(=)84<&{gfw(tr5oBus1s-2){R^==5kPNwBozYBDR`mnH%p0!^qIYt^=NpE0PK07Qr!T~#U z#Vf!=nKoOe`_QY0Q;5opZB0!xu7-Nd$z~#3nbpZ zAjKr?wWsR{YMmY@*5R?g*p6ZBW#pE*{e&ngtOlJZsxqKI+iu-)t~)XI&HGJ_C?7k= zz-3kc0rvZ-3Trph?2pXD-WLlV=~tiHYo(vC&sXp58~{%!HlJ2h@1#w&snKvkt3}c? znlPIa(SJhap=WBOb?miJFH>ByK!B@5+@#lotTX3&XOF-!nzjBhv~cobMf(1%q+ z{ow2GquKY4(K!%MZPuci+9Uyi7h$?Py`cHuUjRn38k4zrO->^B;0dE!GGzP%=f>xaEQ9zEG3Rn!Dpe+H=S%4m!1TT83vM^G(e2yDtt?~$ z*2#Y_HT1ZP2kMT5P6V+Uo|$Um8Y|o;y3i41F+UjmAVO{>B7H8DPWxp#sG*)e5`$%g z+9WL#T!+NbAGVJ;uHT~$D>Z-A$7Dvhnb#82yot$Y%7hI|XRqUo%DX4lCz!1;y!pt< zkNL6Uuo<*9Jo`$rGy{lS?2h!%IW#3an>cYzk{P$S5En8}u)6X+gbTpBlT65Pqkw#p=iKEwR&nhZsrJiS1rhMJ3aP`6N@r!wjO?xdn z`Wt(DS8>S|s@6O}RM%?31=J3; z%CxGdLRQ zj`<1HYX0cS19^M8*p<=Ez3c=QHa!n7uzaQ=-qrWmCv6{ZFY&h%u|1O?v`p3zQrV76 zCN%c1dUMA8y62~tmM@f7X|<3-5JSYVcf-Y_a%$Sb)1@+rhkYDv8K)*SDem#Z1aHLH z@muW4tiG+&EWu9FiksMz)z1)W;1f4$!I3ayf)0AO+4#jv`R^fFZl8Vo8E=>s{SV4N zcLo&3-16KgEFF*1rsXdRG%?{ZTwvE~;S;>EZJ+k{%U6#G*n4Z7TO2&&dGvr%e~a&9 z!fJql?MG{M&aX!{ePoJBO)UwLF+Xx+sePqZqSi+{g3Q@XID%tKn%nK-$G#)r6}Z^C z0je)pReMz&`s&ibdiVWSzoYkQ2hn$bYgTq0sk-rGODso@XjNgkZb(O!N?1xg7a@C| zIB++rDb;C1)R`65VeR~i9dw1^l}q;R`Etmm<0u!f+o@A#5MmgOltW0}7}W-kq+pQ} z>rJ-XJ^h!hyDmPXq4Q2x}-W56?pKfxXX`-*~%V2 zY%?;{kFtjGSCoAAZ5p9>Y1{Gj4xHbG0UbKzW+FrHSXIrt4(xBfeKJ`@dMG7VE8)D)i7ofD?n}3~0n{KU{Ot|=> zxu?<)CA2v7?tQj~PAvMN04;88;Ob62!Ty%`UcZXqS=A?V`3@K3-ED|=i5sM&MhI$e zB%4&Bk_jTh0>4Rpd7eLQDrgQ;Q{ zdo+;~sFuuc2LYWf<^rxw#f$7)8#TV~3I{UTowN7AoRr%F=k%^3Dvqf)WJi!*<+d=w7 zASZ?nIz3Uj6s@~vheMvtA&%!1y|7K3Y!=`e$fSZYPh~=6^ zZ&hVM!;9M66HG2(ufj=3mY_N#Sfs?~0Yfx>e;Lh@)%Bf-2exF-3k@noTmZLX_?^LA zLs@9)4~ix|f2l#&+y1WfCHvi*NZ?a-c#iF(I>AoofFVIvWqqeX!Co_py@=bl$N?KJ zK;O3Om|{fGlPXDwJzqbJ`5|!#O!Tn=B7wx&XYP`t;9la}KewhzpCr$`!?o*|i&jZr zcu`x^ENf_8_iY+~NS;-^dU%=?kzE^;WgmK#tYg|_1G~zvnO^ZCoot_RhqsV#1G}hN zT0XOgki{RnRy|T~c-B?VA~NtMu>a(@7{>we*O!3Gh_w; literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/WarpSE_html/images/xbr_logo.jpg b/cpld/XC95144XL/WarpSE_html/images/xbr_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f7f66262672ae2a1ce0d0dd4bac05a015c50d55e GIT binary patch literal 2865 zcmbW!dpy(s9tZI6F3eDjxh7Udlai8>hRMsoB&8rH2bV`EC@CQn5C~;eO*LgCN(F&X-=K~{ zqqVfOl-0Dc+8C@RMho-%6OiO7Od7UUMrJJri9llh=Mp~w5HbKB$bo>g0WbmtL4d?v zfEECNq$Fv72mW_~z!0b;r3_qFPI7^v2!KHl2w0L|0+8H}k(>iigp|?-qutWVF2`Wn z;mB>Y%n})$J+&Pwu52OJ`1t8KxU8z0x&~_FAG&(_2HQ<`nC>()x3RUu6YL!v-P}Dq zy}W&V{rpb^1O|~#o{2bnE|PLSD*jSJ;^m}dde+rz**Uq_^YTk?mEFEmez)RYU3~+i zv8lPGwUgQPw43$p`HTKH18)b1-VJlc_=1n)pC%@!rsuwWpI=y968-r3+XVt3|6=_m z`!5$l;sQ&?0)_o{fxwZHh9IC)8;qotcDulig)3`sqsbumWR}!+z;%pWg(}BSvt?DW z+s8J}{igj>_V-|M|Cj79*neCcKpp~;3=e_;tbpY$biHEm-|9Injv;@hmKQ^0GFR6% zF{o1|%eLS5Cv@r`zeg`l->;y{Y1&S8P7j#wj&br4UVis7lQG~xUY|k8I0VL<`r(GF z`zrRid0_jUgY%Z{UiR;Dq(37gDJQ%uDJk|)O+~VwV4>pU(01=Hf=5@q-}H0#c$(#p zgU!&^A-thKu@BWEf?RygjcN;5UQUritEbNF+rY_WMXoc}vIae`(IKbTz2f&NO>UhG zCy;OLj?r&dv!E%0kzcr1@)lDAABQ^0c~<@K?0ONNKGE!Q#N^jD(dD@mR!&saU{M7n zpclQxgqpmiN>hJCofoQG?7Bau(wV2${il+srYmioPDLHZWuJ?$?Oh+9SjUvKw-n^d7JpzSff9iiCJ3X4OkFq{NP!miuuhq)-v2F zS^K zeJ$FLxE)p+3y05)Ezutxi7D|J?kL4W4eIOR<2n`&IN%hU(~YY^AEd}B@L6R>}MQ-QJg#;Q{Ou?&3BFWto$giPkY~1mYN^h9v6)!2Zsm4 z5*oaDEwZ|4A-Ck#yJe3U^(tsT))3rvUp(a!JKoUGQNQQvRX_3rHQLjWf8opL@bl(D zLpZ&Gv%rHjlS7_t7-VK;Tpe#Ev8pdU2A1FSP_a0)ksE{HCk}G|S;-hscM&!~6Oq9t;hw9evKKPd^c<{&ci(yh{ zS!S2Wx862QO-wh!4o@AS&pbA{5_OQ0P4> zA_=S%_cOoxR=sM>Tc`Yd^warT5x)IqOhG8`iZs{8a>uF)`&jyzWlQ#^TQ1rY76&1j zEJu=1H!kfi1EU+IKDMXK@{oo_ahegDgT$gaatDXlHq>aP5Yg~(V+$>v1@w65(YH%6vG{xO1&^08kz`!%onPnY)-p;s2fe2_VB&}k z`hjfx^Q7)#ztrssu`LxiRSI>_k$8;RTw+t%@aQXW%0XDOb*Gu5;ItTEwA%iv9GL&0 zDpH!l_>1tCl^M2mPyLiDt8LI-e_CD)i;>z_AxdG^k=EQM;gk<=ds_D0|3NGJIF*Fe z>at#+$U77N+RG%Gj}!#ti=sZCSPhu3o34*KUu3?|a#2e*^uBHCgOi+wT=|Q_9x|#} z46w?`%OAb`Dk!qfib1WIykp@)u5+RKpz-F$>N}t2Zs=i-b~^<=m$8t-U*-ZH1*lgYuzd3G|)iElYX#dXAjTu0@7XQ|V02}d= z<4-GPSG>vH7CKq8G#$}{t=vcbMkj^{Yrqu0y>qjy<@Z~DU<8i!4mwwRGAby=b~Nd+ zUbq}_mMW|k15R}fZ(=c&w%Jbe2s|PG{f1@h9K!mUo<=;tB3`dblj~f{H{lXVL@i-q z!Rcw2@kWN;xC1oK-9MG~!^=)dbamC&>GqX&-{sKN4L%ob!`b{ zv%``6V8MBVpWSO`Ki0;co$sw0t2}%vXnZK*Cd`#W^XBlMIl4F>FjY<`Efp%iuKD`4 zY5@(iZKbmvXi@2`gKx`{~W@H*l)2 z^PSIRXvyO+>4ggYu>KKu=GYKz_cLMjHH8%_eLCpQ^Z@nwiolVpfwD@BSc5uZ>eyd( zzexQLso|n(^Mzf(M7rUQ8MWrrB&Ix_&|HEza15!psD8UNJ7+Acl&*i##SRJl4-FCz F{{y?PCS3pk literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/WarpSE_html/images/xc9500_logo.jpg b/cpld/XC95144XL/WarpSE_html/images/xc9500_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..86638a7b64d533da73cea86e03c5a98875618767 GIT binary patch literal 1979 zcmbW!c{tSj9tZH>n4Q7cx3LY=a16p^9punt-0aI#4j#M7#j!7S(m6V4a+QgSW6M@# zFMEYB6i=aq8PZTfcQiyM+g#4Q&pFR?|G3Y+_x(JdzdoPm^L$?4uV6~>4nRAQDP#b2 z5CVt*00K5(3m{-HI1Gw_!{JCILKr12iV_h)DM(6*ODij?swgXBFlw55cr`3R1B1~r z(Iy-UeSma=!1q}#+z+fQ=7z%|z4yJ!P=mQW5sHD1q z4NS__AC8TdHvBo~4npJmrd}DhF`lMTK+G>lVOcqO1x4Huyq5NN#AC)Lre@~0b|=Uu z?I{lK9-dy*(`U{G1_g(NhSAQ&#>FQjCMBn&UH&yaBl8NKaU(bH=B?ZL1!d(Gl~s4| zRo65>c-Yd~*51+iw6A}FIXLv}`S`2X6K^J`rdgc%h4&v8KQ1k=tgV09*!;T1|F(VT z0s-KEvi^|$n@i%rB?N(hA@D;NNGS23z!DIsx&chm#ue@#Erm7w86o|B&Yh-Sq=u0j zPbMH{OjuTv$ib~0(telycd%dnFWDckKV2*U1qK~_9#{gf2DY}foALQlcS3x6vZ{Mk zZKo!)2IsrceCxr0LBH4dj>fr`th6+#xa-ku_9*>|BYD!C*G>sY&Q+=Qo!t`;D|_T+ zEgQbCyVloRu_9;83fY$>q3ldwIzO5l+41V`_fuIh_goK4u}wC?9y1z&EkZklJHCGY z%qnM-xe4ah&G*mSk9T%aH#RMu=)CGG{?#%~lR=lxl33_^e{mwdP)03{AJQ2m!xsP^ zO}vCWk}Nl{dPV7t#*e6H7kIxMkFuwXv#_(@Et6XB*Nl!0(25 znh!A5Y2J&yu3t=t-fwW<=0>A$(8Q`d-K4UlZ$wOOoYQ%#x2dYQ)Tx`1>Fv{TQ-@(i z#^oi7_Mnr@z`XkI{yB8L?k=?ITtQ4Nwcw_Qu?d3Cq~>gT4<}<$)I2`W zJrLt1bUI1reR-F^N0m;8st51LA9aTxo(JToG1aifKVlGCeb{g!ybl6h5 zeeqQ|0XhrGbZItzqagq8tJUUEn6=o$S#fu{9I^;-eC7jB+&^b~FTA)bFE^k*k(DowS%s}LWQN5u?tGNrz3=YLtGt#OcTYE7T5?#J6HG`1 zXGwK6JPsY!qpJAv@hKx=1iNU}kvbfEw0}*m-v{hCI?rH+|KvashPGy(LU%mV-Fpj< zE?l4wt&s}!ukONws~xdR+{LD}7r=)`8(#}dsAUqygLgrFe7?{^0p}9iviL3a1guL$ z0HA2B?6kd{Xkj8oWo#LYA};ySIo2C(14@<~c`emt6eK&Skb zY|QO}#AzrSaGeT6YbflI*pX$MKB{rW>~7ISx75f5|NEzZ*u{;OrZ3`)So*U%Lc=Zc z#wVK=*6LGzn~SUz_yGxC>k9=OXOif9!+m;qR|ZEcPx)zAGDFRbwO*(?1m2#cC31E| zxFS2)dC2*QQYiZp;^4I}N-ro3|D82d!bMq%op5aJC@gvLX_M5)G;jI!<1N?g#AT0hH(1Vhq)bf@z;|gxgMyB|a*=vQ$R@MEbFet_A#u0t=@90f&TY A^#A|> literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/WarpSE_html/images/xc9500xl_logo.jpg b/cpld/XC95144XL/WarpSE_html/images/xc9500xl_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..dbc01d5124f366a2fb387abf7e62447f44e0dbd0 GIT binary patch literal 2922 zcmbW2c{tSH9>>2kW2ZC>N-;lM$xh1DkEBpY^J8Lc5rr5=#x`a`DLY9;HFhRzNCsJ= z#?sGJDq=K32H9gQ!yt2~yZr9+yU)GPbMJkh=Y9S-=X1_^zn;$@hdaRi3hc5pw=f4l zAP{hdHvsM+a0=ju@bU3M_<0jQKfi#Wn2;b(;-aF$Vo-4j38**}Dk;5BMiM3~1%>Wb z*exq32ZzHYWfYYa<(2oz!{vWo0^%JN6cF4gB(zf=28GH0&*HuWphAE-kO2WH0$?Zz z0tIo~0XP7F_<3o62L8K1U# zZQKPF17UhM((;9rPFBB?vi-QIe8>YAEi57}vwM%M%KihY2i5csA2C22J$A~}%>48j z3ro~_JA1T)qtm6!o?hNpe0+m~Lqfyw;e?yFVq)Xs6B6&wk`qs+1KiEoor(=)84<&{gfw(tr5oBus1s-2){R^==5kPNwBozYBDR`mnH%p0!^qIYt^=NpE0PK07Qr!T~#U z#Vf!=nKoOe`_QY0Q;5opZB0!xu7-Nd$z~#3nbpZ zAjKr?wWsR{YMmY@*5R?g*p6ZBW#pE*{e&ngtOlJZsxqKI+iu-)t~)XI&HGJ_C?7k= zz-3kc0rvZ-3Trph?2pXD-WLlV=~tiHYo(vC&sXp58~{%!HlJ2h@1#w&snKvkt3}c? znlPIa(SJhap=WBOb?miJFH>ByK!B@5+@#lotTX3&XOF-!nzjBhv~cobMf(1%q+ z{ow2GquKY4(K!%MZPuci+9Uyi7h$?Py`cHuUjRn38k4zrO->^B;0dE!GGzP%=f>xaEQ9zEG3Rn!Dpe+H=S%4m!1TT83vM^G(e2yDtt?~$ z*2#Y_HT1ZP2kMT5P6V+Uo|$Um8Y|o;y3i41F+UjmAVO{>B7H8DPWxp#sG*)e5`$%g z+9WL#T!+NbAGVJ;uHT~$D>Z-A$7Dvhnb#82yot$Y%7hI|XRqUo%DX4lCz!1;y!pt< zkNL6Uuo<*9Jo`$rGy{lS?2h!%IW#3an>cYzk{P$S5En8}u)6X+gbTpBlT65Pqkw#p=iKEwR&nhZsrJiS1rhMJ3aP`6N@r!wjO?xdn z`Wt(DS8>S|s@6O}RM%?31=J3; z%CxGdLRQ zj`<1HYX0cS19^M8*p<=Ez3c=QHa!n7uzaQ=-qrWmCv6{ZFY&h%u|1O?v`p3zQrV76 zCN%c1dUMA8y62~tmM@f7X|<3-5JSYVcf-Y_a%$Sb)1@+rhkYDv8K)*SDem#Z1aHLH z@muW4tiG+&EWu9FiksMz)z1)W;1f4$!I3ayf)0AO+4#jv`R^fFZl8Vo8E=>s{SV4N zcLo&3-16KgEFF*1rsXdRG%?{ZTwvE~;S;>EZJ+k{%U6#G*n4Z7TO2&&dGvr%e~a&9 z!fJql?MG{M&aX!{ePoJBO)UwLF+Xx+sePqZqSi+{g3Q@XID%tKn%nK-$G#)r6}Z^C z0je)pReMz&`s&ibdiVWSzoYkQ2hn$bYgTq0sk-rGODso@XjNgkZb(O!N?1xg7a@C| zIB++rDb;C1)R`65VeR~i9dw1^l}q;R`Etmm<0u!f+o@A#5MmgOltW0}7}W-kq+pQ} z>rJ-XJ^h!hyDmPXq4Q2x}-W56?pKfxXX`-*~%V2 zY%?;{kFtjGSCoAAZ5p9>Y1{Gj4xHbG0UbKzW+FrHSXIrt4(xBfeKJ`@dMG7VE8)D)i7ofD?n}3~0n{KU{Ot|=> zxu?<)CA2v7?tQj~PAvMN04;88;Ob62!Ty%`UcZXqS=A?V`3@K3-ED|=i5sM&MhI$e zB%4&Bk_jTh0>4Rpd7eLQDrgQ;Q{ zdo+;~sFuuc2LYWf<^rxw#f$7)8#TV~3I{UTowN7AoRr%F=k%^3Dvqf)WJi!*<+d=w7 zASZ?nIz3Uj6s@~vheMvtA&%!1y|7K3Y!=`e$fSZYPh~=6^ zZ&hVM!;9M66HG2(ufj=3mY_N#Sfs?~0Yfx>e;Lh@)%Bf-2exF-3k@noTmZLX_?^LA zLs@9)4~ix|f2l#&+y1WfCHvi*NZ?a-c#iF(I>AoofFVIvWqqeX!Co_py@=bl$N?KJ zK;O3Om|{fGlPXDwJzqbJ`5|!#O!Tn=B7wx&XYP`t;9la}KewhzpCr$`!?o*|i&jZr zcu`x^ENf_8_iY+~NS;-^dU%=?kzE^;WgmK#tYg|_1G~zvnO^ZCoot_RhqsV#1G}hN zT0XOgki{RnRy|T~c-B?VA~NtMu>a(@7{>we*O!3Gh_w; literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/WarpSE_html/images/xc9500xv_logo.jpg b/cpld/XC95144XL/WarpSE_html/images/xc9500xv_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ba2110dd57eb412650bcefacecb2ec953c0520fe GIT binary patch literal 2499 zcmbW12{hDeAIJYQV^+d2c4l72HRw{tHkWW!40_8Y*_XF$l@OAVx!K9Sla$ahXc%bH1Nv`SC~jQ-HXQrL`ph z0)YT4K?C@2fCB&&A|xaPfeJb(6bgfjAmD-!6Bb5_pv5F4&|+w`q_m=pBt~8ejh0oG zl~+>2;c${NDyk}2RYfcg`)w1DU@0617eydMu^2Q4`#%T26+j~ZOP~+}QUSnd5Cje4 zcK|p506_(AzZw2#fWQzTflCAuB`ip25C^~@2m~zfF8~l^|0vhQcB>YfOVL!zW*WaZ?4P$Q^oXzJ-37?OT6I&jd!l5AydbJXFO zqm#3XtGAD@pa02Ir^C*LpO2tKUWiXfOiI3#lA4>BUr<*^mg zJZyZ_^theb(b?7A^X&P+;Lz*gkDK5Mmk^~$DUr{TThWfSh?okqVNJ}|it#VP22Bov=FvbZsF5oS{o=Kq{y zf}GXp7L)OPvMoj^Y^S`GH^3!&2dw9P7_QU`bX~T4Th%W2vD$O0msGq;8Mnl^#e zCr27oXy(2c2jKR$W!P;^+S2T_D#t5LjeDn(HEYxG_SE#{oA!n=HocMY6Pd?s%S>NS zxGCP)WoYW8J?-My(Rp|)E&9vG4w*(paHC|HbchAbR&yf2Ff(W^pk>8E&C7U#FS*~U4e{QSx@4C?)oI^CGf=;8y3 zo@yK-CHNqxAaR(6&rkZ%2zmLur7x;`5MDZVbEJjD)97oqBP2C=$)Eblx@sS3-*up_ zgAYtZppMzzw^ic&>>){YADJJx$UIXj$I*UJ_xY?|32q-u5m-1Y{PrGE7^I5sVP7up z)3t2%Mas2xhYS?YGSa}$<#6W5-N6Q#^Fq_fCMr_onGJ8~QgZXTN6HrMYD(#t!; z2Lg2;j&}UTw-HO~Ji1*K@`nS|6WRUd{1vHRDmWsN^k^@mt+VrW)gu<5sMEEV59+ zWFZIe5rOv!;SP9Fc#&-V^^UBh3(4_I`jpke7D{t(@}(XFeDdxXvVB=NoiJeLJG#0o z;WBA4W0iD`ki@82bMtA?TsJP)c~JePhGr}a7Tf*G^<*K1)*cO`M7goa?HNH^T`WT4 z@=|zIQKmvA$DquDB`y^!*9Bf#bocK#=tYB;DQi?~IrqPUM6KK zOHS3P)bZ**H~A9%OHg896KmsB45C$OJMn@WYZRBtkh|;e5XamRAM??Wk15a5@<1q= za&gz9^vvqc>GF0DQ%$P4cYfoDR#mH2vJ%dZCjI0H;e7+Au{>oO)^#v^c@a7!*IEm+VL7Y!O`uDf67+;>vMrEk3|g zmdQSKawn#W#jyPtU^wmbVTO+3DYMH%nYORzRxsxsn_O(?LG-&k;VshPX&jDnw1X9W z=~KF^W7c*_)f^1Tfdky8 zqGPprgc}}T$Z2_S&7=9*qC0Ht0L^S#XYW4{B+A!+3R literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/WarpSE_html/images/xpla3_logo.jpg b/cpld/XC95144XL/WarpSE_html/images/xpla3_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3089121f6ff00840427e35eadbac7a5434cbb504 GIT binary patch literal 4130 zcmbW1cQhQ_y2eNJ5{xct7$pQjM2Qj-J;-PiZSW}*L?(JCNC;8F1c~|~Oq3yduhC_Q z9y8i#6B%vP3Br|g?>cv_d;dA-yn8+SpZ)H4J-_{|wJ*M3ECHAebo6xqWMpIjy~_o- zzyj_AD9I@(D99-dRuHr>A8AGO@4#nSel64qi@HwrlJ_AlG%SYkYhH z0s^d@LLec25HG&~|DPdbmshE&s2OQ!82Q1l}rDZ0GHiim*)TqASKInSxqWd zup_mQ7n@vUNDVqv{x9z+S~?C+F79h0qGIAVByKC*QB+b^x&J_0M^{hZ zz|7pj67tx}+R53)752o<-N)C@KOitDIQr$QnAq2G@u`S+Y3Uj7KV%jZek>|Rekv)g zuBol7Z)j|4?*7u#+t)uZI5a*nIW;}=eHMdTURhmR$N$*)N!;5%I6OKg{W|%>MFt@M zZ>)ck{U0viCD)b9SSYCfaFJaJxD+{%g7Ug76^kaA+R=+uNG_6wO)Dk8s*6@w-gKA! zFYhrr4$y6!2=NcvKgj+yu&Dot?4Mx&%Y^|jkds}8M-BvN08XXj#s9wY4|%9aO4I;s zahhtz^8HI!9Rv4!GhxvOHx$&gyWlMpWG{m9P78k_zFSNnB~l;7x48PbcfaFZ-%MXW z*YQfpZ7gUS1@wMGf4l&A5|po{Bja+_qZTxN!B~>-F{urTDU6Mo@}_#VZAvJpm9uSm zykY}Ca%Tf;1OA^ZnUX>wMWp)=JWb489c-MzVvA|NsYx>lMyC(vwM%I7bSBaj;q%?c z4-AG650{S4E#_l}y|~`*PbB39tOo_o;i;oCk(SV7=d6j5{%^Gqnca>)3_STv=674M z&74hNgB)ggHbf)dYqEr@=#R1qcojz3rD{q^i3tC3>>gts~d{vVguHl&bH~ zlTosweB#=cfeW0dCHl_XGIS{=Kun=*nYZ%4rGkYW_v$D60eDd60rCUxR`%qE;bL{- z*&=K)ta+A~-8;B3CdVRhF>@j3EIl?@iHi*q6F9L#Aer?9q;t0O*_7FY-QNtsHDd5` zPc6H+e(JdAB2P8v-S&qvR7CTxfr@YK*|9PBL{9ptr!fzCnfZf%S^5ok6!M&cKnSuI}I?WSCoyCh!?b6gM{%m_a<2` z_eQrp3rkr3%RX?Q*-@>F?`7H!zOQE`?kOoP&AcHkE(g3hptfhUesa{XAev{EG}Osj zEt&dDFy%~8{HDpVZiUz8zI?gy81g+%-(o~j;Ni@uit8)l^_|0rI0(jXsja?4XTsO) zTF{{=dlZq}h;%!>Q2EJ&jQYf< z2$R@HbqKDvH_JPP8DjyA;dKLU_E!suc|AM8c@p-^N#zl_4c5pBt~T6DG2Su^icD4H z8Mw;w*(;%hkT6-56VesISvPMz+Ig~$atna-m1{UesutZ_>$1E_c1prVCL(jw24j+> z-{DkHwb(tX5CV??M< zSrr}-mgCrp5sU7kSx5_5Hc3!5!tuF>Y1t$*_TvTwt!ze{CB2P}acf(B-^lJBGd6bh z25l$qV*Ado$M%F@pJuRzVPUBp<3$r)jjb`au-wJ_G~BSapD=;yXHa4hKK?L|c7r1$ zf?fe-Vr!!`C9U~@r~bvVmaT+KhK8&l`CEA_Ix zr>`mu)rR=iH}6D9h43%pJgpRV7&d}epBp~Qe3cC`WQ%6vN+G9IU%740PunnsQspbO zCLGJo{rvX5JEOYKyYkjO-sr{b{e}1wvo2NnBjluOR&Ovgt+kwEx;>oi=SUB0asksw z$@y@{)mGMs-Hvk(v4mPXMaxOQpbBYzPaXCTo`36e1A(^8tJ*+N#cC3^6Ty8841LA2DP&8mN%R!Fv*^zeLbVsS1>eOro4V$$td*r>K>@L)X?n zXIz&|)`X_Z6}i8s(TQqFJlOx?6g5;(CD)@soK>6x1;=D!OrmQ`%6;_~wI)PUZ3cV^ zH*bGM$GE~mUk{#QsRhF=(2K%>GkrpM^UVE6yX|po)m@&-R-oqh?3S*;$oI$0%7nTW zRx2nwQ7tyn6mPW;s?%4Xj`98$!NSbo;B#I8rmeLoDH z|G9J3pHaE-1D}UY>-F^dSKV9|wBI4Rv$9I_9ie}68XAsoMl9DqoA#R^}4ljg4vk@sezqP zTLLfqS?Lza#=34b5ZW-nr!%du{)x#wx(o8zO@muixa?`Ci! zi>-HdQll(I4QeHzilJ3&d)6QBi=bjqMq>zY%e#zyJF9SAWMJ7!W&D8*M}2NR#t&WN zVOad?$xyWhW%1C)v*^&wtoEcOkZJnLNh2wvm(+#pOZt*QW|B<}b`>A=`c1r`cl%#~Te(e4z zI}L;ZFE$NTC-iNYSAq}$^+zFalD`_K(eJY@ zE`_9}y4AI`FYe+CNQy^MdT_);Vpyw7QA66+HtI7&s!mI5L5|!PCBYS8ihv5=@NfJw z?L?!giY!N-E*KN00xU)U1y1Ww3PK33rc&vejnnbM;lMpyVZWJ)#*iuTo$pwD+^ghy<3#LXK&&It(Dv#XWDIK4w zuCIrN_5V)GG{|;Tshl^lD1;Y=>-!Ssj@klN)_S)QPF}dF`ug^GD~BmhQIp$W1Zl?7 zR9&ndzYSSR`g?{Qbv}`~R+03~&ybHEeJ2Y!P7x~?qtv&ue?^loIlQ3J11+HY%`c>E zScdO0DR=uoVqOaM1{?NH_ia|%hvctZDHD;o%WcS`yStXLVY|mRM4hT4Yj@7@>yz6o zWS(qsmYcxD{Iui_?jMq=bq^J8s(2M=g$~=>sXv)=X~aWvQLcsCEz%oSm7w{Z zJ+)*UKIR~Y$xyNRSbU`HI}q__^o`kMM$lA zh4Z@S)>vygwA3Z#1#Mm2X%mPSQVF(KHjCXbFaN0+atw*c>DaRiMxuTP!W6EXq{#G} z3|#<{i)xh<#kyUG&|tq1LQr%jJfQ)vA1DA-PG0sRcIIW~Zl$oGf2 zhQQPhH_agdby=1zx9}N0gXdyr*>gFo5ADEe$yRk^Crc=27O2(i*$RKEqAR zcP;=mVqbnJ*s1@5pXm_48&Qm5^g~hfx3%@==$uz8Ow>kXt=p+dluL^=fhUW7!LIog z!y!*$dxDM4x>2$cX)g4ic>&LrH{~-AGjFIM+|i$X5aaj+`*3swlT4`n1%Q^l2ZK$n z4=ZW$o}1A zjKOi!vgGNlGlk?YD~1gSyO^ap+V#owQ$;i8BL5n8$%gDD@m0EmyqH{u@m4Y%J)Ggm x1k?xy2USbX>+x%iEBg-u7|TN-hT4YNnKjN$cgnwmwb_N}#0y^hE7G`_{V&gB + + + + + + + + + +CPLD Timing Analysis Glossary + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Introduction

+ +

This report is the result of a static timing analysis of your design + after it has been fit in the device that you selected. The timing values + given represent the worst-case values over the recommended operating conditions + for the part.

+ +

Overview

+ +

The timing report consists of a series of sections:

+ +

Summary

+ +

This table summarizes the external timing parameters for your device, + including tPD, + tCO, + tSU, + tCYC, + and fSYSTEM. +  For a more + detailed description of the timing model for your device, please refer + to the application notes linked below.

+ +

Timing Constraints

+ +

This section reports on any timing constraints that you created for + your design. Timing constraints can be entered using the Constraints Editor + tool, or by editing an Implementation Constraints File directly. For more + information on creating timing constraints, see the Constraints Guide. +

+ +

Note that if you + did not define any constraints for your design, then the timing analysis + software will automatically create a default set of constraints for you. + These include pad-to-pad, register-to-register, pad-to-register, and period + constraints. A constraint value of 0 ns + will be used for all of these automatically generated constraints. As + a result, all paths listed under each constraint will violate the constraint, + and will have a negative value for slack.

+ +

Note also that to + limit the size of the report, each path endpoint involved in a timing + path will only be listed once, under a single constraint.  

+ +

For each timing path listed under a constraint, there is a hyperlink + that can be used to open a window listing the individual internal delay + elements traversed in the path. To understand these delay elements, consult + the Definitions section below, or the following + application notes and white papers:

+ +

XAPP375: Understanding + the CoolRunner-II + Timing Model

+ +

WP122: + Using the CoolRunner + XPLA3 Timing Model

+ +

XAPP071: Using + the XC9500 Timing Model

+ +

XAPP111: Using + the XC9500XL Timing Model

+ +

XAPP + 362: Using the XC9500XV Timing Model

+ +

available in the literature section of www.xilinx.com. +

+ +

Data Sheet Report

+ +

This section of the report lists the external timing parameters for + your design. This includes; maximum external clock speed for each clock, + setup and hold times for each registered input, clock-to-output pad timing + for each registered output, clock to setup time for each register-to-register + timing path, and pad-to-pad time for each combinatorial path through your + design.

+ +

Going Further

+ +

To do more advanced timing analysis of your design, select the process + Analyze Post-Fit Static Timing + in iSE. This + will run Xilinx's + Timing Analyzer tool interactively.  The + Timing Analyzer provides a powerful, flexible, and easy way to perform + static timing analysis on FPGA + and CPLD designs. + With Timing Analyzer, analysis can be performed immediately after mapping, + placing or routing an FPGA + design, and after fitting and routing a CPLD + design.

+ +

Timing Analyzer verifies that the delay along a given path or paths + meets specified timing requirements. It organizes and displays data that + allows you to analyze critical paths in a circuit, the cycle time of the + circuit, the delay along any specified path(s), + and the path with the greatest delay. It also provides a quick analysis + of the effect different speed grades have on the same design.  

+ +

Timing Analyzer performs setup and hold checks (skew analysis). It works + with synchronous systems composed of synchronous elements and combinatorial + logic. In synchronous design, Timing Analyzer takes into account all path + delays, including clock-to-out and setup requirements, while calculating + the worst-case timing of the design.

+ +

Timing Analyzer creates timing analysis reports based on existing timing + constraints or user specified paths within the program. Timing reports + have a hierarchical browser to quickly jump to different sections of the + reports. Timing paths in reports can be cross probed to synthesis tools + (Exemplar and Synplicity) + and Floorplanner. +

+ +

There are several ways to issue commands in Timing Analyzer. Timing + Analyzer can be controlled through GUI + features (menu commands) or its comprehensive macro command language facility. + You can select from menus, click toolbar buttons, type keyboard commands + in the console window, and run macros.

+ +

Definitions

+ +

Pad to Pad (tPD) +

+ +

Reports pad to pad paths that start at input pads and end at output + pads. The maximum external pad to pad delay.  Combinatorial + pad-to-pad paths begin at input pads, propagate through one or more levels + of combinatorial logic and end at output pads. Combinatorial paths also + trace through the enable inputs of 3-state controlled pads. Combinatorial + paths are not traced through clock, and asynchronous set and reset inputs + of registers. These paths are also broken at bidirectional pins

+ +

Clock Pad to Output Pad (tCO) +

+ +

The maximum external clock pad to output pad delay.  Reports + paths that start at input  pads + trace through clock inputs of  registers + and end at output pads. Paths are not traced through PRE/CLR +  inputs + of registers.  You + can directly specify tCO + for all registered output paths in your design using the Pad-to-Pad timespec. + Clock-Pad-to-Pad paths for global clocks begin at global clock pads, propagate + through global clock buffers, and propagate through the flip-flop Q + output and any number of levels of combinatorial logic and end at the + output pad. Clock-Pad-to-Pad paths for product term clock paths begin + at input pads, propagate through any number of logic levels feeding into + a clock product term, propagate through the flip-flop Q + output and any number of levels of combinatorial logic and end at the + output pad. Clock-Pad-to-Pad paths also trace through the enable inputs + of 3-state controlled pads.

+ +

Setup to Clock at Pad (tSU + or tSUF)

+ +

Reports external setup time of data  to + clock at pad. Data path starts at an input pad and ends at register  (Fast + Input Register for tSUF) + D/T  input. + Clock path starts at input pad and ends at the register clock input.  Paths + are not traced through registers. Pin-to-pin setup requirement is not + reported or guaranteed for product-term clocks derived from macrocell + feedback signals.

+ +

The minimum required setup time for flip-flops.  You + can specify the tSU + (setup-to-clock) for all inputs in your design relative to a global clock + or product term clock. Each tSU + OFFSET timespec involves an input path and a clock path. Input paths start + at input pads, propagate through input buffers and any number of combinatorial + logic levels before ending at a flip-flop D/T input, including the receiving + flip-flop's tSU.  Input + paths are not traced through flip-flop clock pins, asynchronous set/reset + inputs or bidirectional I/O pins. Global clock paths start at global clock + pads, propagate through global clock buffers and end at the flip-flop + clock pin. Product term clock paths start at input pads, propagate through + a single level of logic implemented in a clock product term and end at + the flip-flop clock pin.

+ +

Clock to Setup (tCYC)

+ +

Register to register cycle time. Includes source register tCO and destination + register tSU.

+ +

Note that when the + computed Maximum Clock Speed is limited by tCYC, it is computed assuming + that all registers are rising-edge sensitive.

+ +

fSYSTEM

+ +

Maximum clock operating frequency.  You + can specify the fSYSTEM (clock frequency or period) for all registered + paths in your design using a Register-to-Register timespec. Register-to-Register + paths begin at flip-flop clock inputs, propagate through the flip-flop + Q output and any number of levels of combinatorial logic and end at the + receiving flip-flop D/T input, including the receiving flip-flop's tSU. + When these flip-flops are clocked by the same clock, the delay on this + path is equivalent to the cycle time of the clock. Registered paths do + not propagate through clock, and asynchronous set and reset inputs of + registers as shown below. These paths are also broken at bidirectional + pins.

+ +

 

+ + + + diff --git a/cpld/XC95144XL/WarpSE_html/tim/cpldta_style.css b/cpld/XC95144XL/WarpSE_html/tim/cpldta_style.css new file mode 100644 index 0000000..4b82019 --- /dev/null +++ b/cpld/XC95144XL/WarpSE_html/tim/cpldta_style.css @@ -0,0 +1,144 @@ + + + + +.cpldta_text_report_header { + font-style: normal; + font-weight: bold; + font-size: 25pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + +.cpldta_text_section_header { + font-style: normal; + font-weight: bold; + font-size: 15pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + +.cpldta_text_subsection_header { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_warnings_header { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center; + background-color: #FFFFCC; } + + +.cpldta_text_normal { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_text_normal_bold { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_constraint_description_normal { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} +.cpldta_constraint_description_bold { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_constraint_name { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_constraint_name_error { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left; + background-color: #FFCCCC; } + + +.cpldta_time_value { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + + +.cpldta_time_value_error { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center; + background-color: #FFCCCC; } + + +.cpldta_delaytable_header { + font-style: normal; + font-weight: bold; + font-size: 12pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center; + background-color: #FFFFCC; } +.cpldta_constraint_header { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left; + background-color: #FFFFCC; } +.cpldta_time_header { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center; + background-color: #FFFFCC; } +.cpldta_text_caption { + font-style: normal; + font-weight: Bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + + +.cpldta_datasheet_pathname { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} +.cpldta_datasheet_time_value { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + + + + diff --git a/cpld/XC95144XL/WarpSE_html/tim/genreport.htm b/cpld/XC95144XL/WarpSE_html/tim/genreport.htm new file mode 100644 index 0000000..43aca47 --- /dev/null +++ b/cpld/XC95144XL/WarpSE_html/tim/genreport.htm @@ -0,0 +1,17 @@ + + +Reports + + +; + + + + + + + +<body bgcolor="#FFFFFF" text="#000000"> +</body> + + diff --git a/cpld/XC95144XL/WarpSE_html/tim/leftnav.htm b/cpld/XC95144XL/WarpSE_html/tim/leftnav.htm new file mode 100644 index 0000000..e72761d --- /dev/null +++ b/cpld/XC95144XL/WarpSE_html/tim/leftnav.htm @@ -0,0 +1,37 @@ + + +Timing Navigation + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + +
+
Timing Report
+
 Description
 Summary
 Constraints
 Definitions
  
+ + diff --git a/cpld/XC95144XL/WarpSE_html/tim/report.htm b/cpld/XC95144XL/WarpSE_html/tim/report.htm new file mode 100644 index 0000000..43aca47 --- /dev/null +++ b/cpld/XC95144XL/WarpSE_html/tim/report.htm @@ -0,0 +1,17 @@ + + +Reports + + +; + + + + + + + +<body bgcolor="#FFFFFF" text="#000000"> +</body> + + diff --git a/cpld/XC95144XL/WarpSE_html/tim/timing_report.htm b/cpld/XC95144XL/WarpSE_html/tim/timing_report.htm new file mode 100644 index 0000000..ced2ee8 --- /dev/null +++ b/cpld/XC95144XL/WarpSE_html/tim/timing_report.htm @@ -0,0 +1,16265 @@ + + + + + + + +Timing report for WarpSE + + + +

Timing Report

+
Need help reading this report?

+ + + + + + + + + + + + + + + + + + +
Design NameWarpSE
Device, Speed (SpeedFile Version)XC95144XL, -10 (3.0)
Date Created Mon Mar 28 09:47:06 2022 +
Created By Timing Report Generator: version P.20131013
Copyright Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+

Summary

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Performance Summary
Min. Clock Period19.500 ns.
Max. Clock Frequency (fSYSTEM)51.282 MHz.
Limited by Cycle Time for CLK_FSB
Clock to Setup (tCYC)19.500 ns.
Pad to Pad Delay (tPD)11.000 ns.
Setup to Clock at the Pad (tSU)16.000 ns.
Clock Pad to Output Pad Delay (tCO)14.500 ns.
+
+

Timing Constraints

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Constraint NameRequirement (ns)Delay (ns)PathsPaths Failing
TS_CLK_IOB142.80.000
TS_CLK_FSB40.019.52910
TS_CLK2X_IOB66.611.01050
+
+
+ +

Constraint: TS_CLK_IOB

+ + + + + + + + +
Description: PERIOD:CLK_IOB:142.857nS:HIGH:71.428nS
PathRequirement (ns)Delay (ns)Slack (ns)
+
+
+
+

Constraint: TS_CLK_FSB

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Description: PERIOD:CLK_FSB:40.000nS:HIGH:20.000nS
PathRequirement (ns)Delay (ns)Slack (ns)
fsb/ASrf.Q to fsb/VPA.D20.00019.5000.500
cnt/TimeoutA.Q to fsb/VPA.D40.00011.80028.200
cnt/TimeoutB.Q to fsb/VPA.D40.00011.80028.200
cnt/TimeoutB.Q to nDTACK_FSB.D40.00011.80028.200
cs/nOverlay1.Q to fsb/VPA.D40.00011.80028.200
fsb/ASrf.Q to nDTACK_FSB.D20.00011.8008.200
fsb/BERR0r.Q to fsb/VPA.D40.00011.80028.200
fsb/BERR0r.Q to nDTACK_FSB.D40.00011.80028.200
fsb/BERR1r.Q to fsb/VPA.D40.00011.80028.200
fsb/BERR1r.Q to nDTACK_FSB.D40.00011.80028.200
fsb/Ready1r.Q to fsb/VPA.D40.00011.80028.200
fsb/Ready1r.Q to nDTACK_FSB.D40.00011.80028.200
fsb/Ready2r.Q to fsb/VPA.D40.00011.80028.200
fsb/VPA.Q to fsb/VPA.D40.00011.80028.200
iobs/BERR.Q to fsb/VPA.D40.00011.80028.200
iobs/BERR.Q to nDTACK_FSB.D40.00011.80028.200
iobs/IOReady.Q to fsb/VPA.D40.00011.80028.200
iobs/IOReady.Q to nDTACK_FSB.D40.00011.80028.200
nBR_IOB.Q to fsb/VPA.D40.00011.80028.200
nBR_IOB.Q to nDTACK_FSB.D40.00011.80028.200
cnt/RefCnt<5>.Q to ram/RAMDIS1.D40.00011.40028.600
cnt/RefCnt<5>.Q to ram/RASEL.D40.00011.40028.600
cnt/RefCnt<6>.Q to ram/RAMDIS1.D40.00011.40028.600
cnt/RefCnt<6>.Q to ram/RASEL.D40.00011.40028.600
cnt/RefCnt<7>.Q to ram/RAMDIS1.D40.00011.40028.600
cnt/RefCnt<7>.Q to ram/RASEL.D40.00011.40028.600
cnt/RefDone.Q to ram/RAMDIS1.D40.00011.40028.600
cnt/RefDone.Q to ram/RASEL.D40.00011.40028.600
cs/nOverlay1.Q to fsb/Ready1r.D40.00011.40028.600
cs/nOverlay1.Q to iobs/IORW1.D40.00011.40028.600
cs/nOverlay1.Q to iobs/Once.D40.00011.40028.600
cs/nOverlay1.Q to nDTACK_FSB.D40.00011.40028.600
cs/nOverlay1.Q to ram/RAMDIS1.D40.00011.40028.600
cs/nOverlay1.Q to ram/RASEL.D40.00011.40028.600
fsb/ASrf.Q to ram/RASEL.D20.00011.4008.600
fsb/Ready0r.Q to nDTACK_FSB.D40.00011.40028.600
fsb/Ready1r.Q to fsb/Ready1r.D40.00011.40028.600
iobs/IORW0.Q to iobs/IORW0.D40.00011.40028.600
iobs/IOReady.Q to fsb/Ready1r.D40.00011.40028.600
iobs/Once.Q to iobs/IORW0.D40.00011.40028.600
iobs/Once.Q to iobs/Once.D40.00011.40028.600
iobs/PS_FSM_FFd1.Q to iobs/IORW0.D40.00011.40028.600
iobs/PS_FSM_FFd2.Q to iobs/IORW0.D40.00011.40028.600
nADoutLE1.Q to fsb/Ready1r.D40.00011.40028.600
nADoutLE1.Q to fsb/VPA.D40.00011.40028.600
nADoutLE1.Q to iobs/IORW0.D40.00011.40028.600
nDTACK_FSB.Q to nDTACK_FSB.D40.00011.40028.600
ram/Once.Q to ram/RASEL.D40.00011.40028.600
ram/RAMReady.Q to nDTACK_FSB.D40.00011.40028.600
ram/RS_FSM_FFd1.Q to ram/RAMDIS1.D40.00011.40028.600
ram/RS_FSM_FFd1.Q to ram/RASEL.D40.00011.40028.600
ram/RS_FSM_FFd2.Q to ram/RASEL.D40.00011.40028.600
ram/RS_FSM_FFd3.Q to ram/RAMDIS1.D40.00011.40028.600
cnt/RefCnt<5>.Q to ram/RAMDIS2.D40.00011.00029.000
cnt/RefCnt<5>.Q to ram/RS_FSM_FFd1.D40.00011.00029.000
cnt/RefCnt<5>.Q to ram/RS_FSM_FFd2.D40.00011.00029.000
cnt/RefCnt<5>.Q to ram/RS_FSM_FFd3.D40.00011.00029.000
cnt/RefCnt<6>.Q to ram/RAMDIS2.D40.00011.00029.000
cnt/RefCnt<6>.Q to ram/RS_FSM_FFd1.D40.00011.00029.000
cnt/RefCnt<6>.Q to ram/RS_FSM_FFd2.D40.00011.00029.000
cnt/RefCnt<6>.Q to ram/RS_FSM_FFd3.D40.00011.00029.000
cnt/RefCnt<7>.Q to ram/RAMDIS2.D40.00011.00029.000
cnt/RefCnt<7>.Q to ram/RS_FSM_FFd1.D40.00011.00029.000
cnt/RefCnt<7>.Q to ram/RS_FSM_FFd2.D40.00011.00029.000
cnt/RefCnt<7>.Q to ram/RS_FSM_FFd3.D40.00011.00029.000
cnt/RefDone.Q to ram/RAMDIS2.D40.00011.00029.000
cnt/RefDone.Q to ram/RAMReady.D40.00011.00029.000
cnt/RefDone.Q to ram/RS_FSM_FFd1.D40.00011.00029.000
cnt/RefDone.Q to ram/RS_FSM_FFd3.D40.00011.00029.000
cnt/TimeoutA.Q to fsb/Ready2r.D40.00011.00029.000
cnt/TimeoutA.Q to nDTACK_FSB.D40.00011.00029.000
cs/nOverlay1.Q to fsb/Ready2r.D40.00011.00029.000
cs/nOverlay1.Q to iobs/IOREQ.D40.00011.00029.000
cs/nOverlay1.Q to iobs/Load1.D40.00011.00029.000
cs/nOverlay1.Q to iobs/PS_FSM_FFd2.D40.00011.00029.000
cs/nOverlay1.Q to ram/RAMDIS2.D40.00011.00029.000
cs/nOverlay1.Q to ram/RAMReady.D40.00011.00029.000
cs/nOverlay1.Q to ram/RS_FSM_FFd1.D40.00011.00029.000
cs/nOverlay1.Q to ram/RS_FSM_FFd2.D40.00011.00029.000
cs/nOverlay1.Q to ram/RS_FSM_FFd3.D40.00011.00029.000
fsb/ASrf.Q to fsb/Ready0r.D20.00011.0009.000
fsb/ASrf.Q to fsb/Ready2r.D20.00011.0009.000
fsb/ASrf.Q to iobs/IORW0.D20.00011.0009.000
fsb/ASrf.Q to iobs/IORW1.D20.00011.0009.000
fsb/ASrf.Q to ram/RAMDIS1.D20.00011.0009.000
fsb/ASrf.Q to ram/RAMDIS2.D20.00011.0009.000
fsb/ASrf.Q to ram/RAMReady.D20.00011.0009.000
fsb/ASrf.Q to ram/RS_FSM_FFd1.D20.00011.0009.000
fsb/ASrf.Q to ram/RS_FSM_FFd2.D20.00011.0009.000
fsb/ASrf.Q to ram/RS_FSM_FFd3.D20.00011.0009.000
fsb/Ready0r.Q to fsb/VPA.D40.00011.00029.000
fsb/Ready2r.Q to fsb/Ready2r.D40.00011.00029.000
fsb/Ready2r.Q to nDTACK_FSB.D40.00011.00029.000
iobs/IORW1.Q to iobs/IORW0.D40.00011.00029.000
iobs/PS_FSM_FFd1.Q to iobs/IORW1.D40.00011.00029.000
iobs/PS_FSM_FFd1.Q to iobs/Once.D40.00011.00029.000
iobs/PS_FSM_FFd1.Q to iobs/PS_FSM_FFd2.D40.00011.00029.000
iobs/PS_FSM_FFd2.Q to iobs/IOREQ.D40.00011.00029.000
iobs/PS_FSM_FFd2.Q to iobs/IORW1.D40.00011.00029.000
iobs/PS_FSM_FFd2.Q to iobs/PS_FSM_FFd2.D40.00011.00029.000
nADoutLE1.Q to iobs/IOREQ.D40.00011.00029.000
nADoutLE1.Q to iobs/Once.D40.00011.00029.000
nADoutLE1.Q to iobs/PS_FSM_FFd2.D40.00011.00029.000
nADoutLE1.Q to nDTACK_FSB.D40.00011.00029.000
ram/BACTr.Q to ram/RAMDIS1.D40.00011.00029.000
ram/BACTr.Q to ram/RAMReady.D40.00011.00029.000
ram/BACTr.Q to ram/RASEL.D40.00011.00029.000
ram/BACTr.Q to ram/RS_FSM_FFd2.D40.00011.00029.000
ram/Once.Q to ram/RAMDIS1.D40.00011.00029.000
ram/Once.Q to ram/RAMDIS2.D40.00011.00029.000
ram/Once.Q to ram/RAMReady.D40.00011.00029.000
ram/Once.Q to ram/RS_FSM_FFd1.D40.00011.00029.000
ram/RAMDIS2.Q to ram/RAMDIS2.D40.00011.00029.000
ram/RAMReady.Q to fsb/VPA.D40.00011.00029.000
ram/RS_FSM_FFd1.Q to ram/RAMReady.D40.00011.00029.000
ram/RS_FSM_FFd1.Q to ram/RS_FSM_FFd1.D40.00011.00029.000
ram/RS_FSM_FFd1.Q to ram/RS_FSM_FFd3.D40.00011.00029.000
ram/RS_FSM_FFd2.Q to ram/RAMDIS2.D40.00011.00029.000
ram/RS_FSM_FFd2.Q to ram/RS_FSM_FFd1.D40.00011.00029.000
ram/RS_FSM_FFd2.Q to ram/RS_FSM_FFd2.D40.00011.00029.000
ram/RS_FSM_FFd2.Q to ram/RS_FSM_FFd3.D40.00011.00029.000
ram/RS_FSM_FFd3.Q to ram/RAMDIS2.D40.00011.00029.000
ram/RS_FSM_FFd3.Q to ram/RS_FSM_FFd2.D40.00011.00029.000
ram/RS_FSM_FFd3.Q to ram/RS_FSM_FFd3.D40.00011.00029.000
IPL2r0.Q to IPL2r1.D40.00010.00030.000
IPL2r0.Q to nBR_IOB.CE40.00010.00030.000
IPL2r1.Q to nBR_IOB.CE40.00010.00030.000
RESDone.Q to nBR_IOB.CE40.00010.00030.000
RESr0.Q to RESDone.CE40.00010.00030.000
RESr0.Q to RESr1.D40.00010.00030.000
RESr0.Q to nBR_IOB.CE40.00010.00030.000
RESr1.Q to RESDone.CE40.00010.00030.000
RESr1.Q to RESr2.D40.00010.00030.000
RESr1.Q to nBR_IOB.CE40.00010.00030.000
RESr2.Q to RESDone.CE40.00010.00030.000
RESr2.Q to nBR_IOB.CE40.00010.00030.000
cnt/RefCnt<0>.Q to cnt/RefCnt<1>.D40.00010.00030.000
cnt/RefCnt<0>.Q to cnt/RefCnt<2>.D40.00010.00030.000
cnt/RefCnt<0>.Q to cnt/RefCnt<3>.D40.00010.00030.000
cnt/RefCnt<0>.Q to cnt/RefCnt<4>.D40.00010.00030.000
cnt/RefCnt<0>.Q to cnt/RefCnt<5>.D40.00010.00030.000
cnt/RefCnt<0>.Q to cnt/RefCnt<6>.D40.00010.00030.000
cnt/RefCnt<0>.Q to cnt/RefCnt<7>.D40.00010.00030.000
cnt/RefCnt<0>.Q to cnt/RefDone.D40.00010.00030.000
cnt/RefCnt<0>.Q to cnt/TimeoutA.D40.00010.00030.000
cnt/RefCnt<0>.Q to cnt/TimeoutB.D40.00010.00030.000
cnt/RefCnt<0>.Q to cnt/TimeoutBPre.D40.00010.00030.000
cnt/RefCnt<1>.Q to cnt/RefCnt<2>.D40.00010.00030.000
cnt/RefCnt<1>.Q to cnt/RefCnt<3>.D40.00010.00030.000
cnt/RefCnt<1>.Q to cnt/RefCnt<4>.D40.00010.00030.000
cnt/RefCnt<1>.Q to cnt/RefCnt<5>.D40.00010.00030.000
cnt/RefCnt<1>.Q to cnt/RefCnt<6>.D40.00010.00030.000
cnt/RefCnt<1>.Q to cnt/RefCnt<7>.D40.00010.00030.000
cnt/RefCnt<1>.Q to cnt/RefDone.D40.00010.00030.000
cnt/RefCnt<1>.Q to cnt/TimeoutA.D40.00010.00030.000
cnt/RefCnt<1>.Q to cnt/TimeoutB.D40.00010.00030.000
cnt/RefCnt<1>.Q to cnt/TimeoutBPre.D40.00010.00030.000
cnt/RefCnt<2>.Q to cnt/RefCnt<3>.D40.00010.00030.000
cnt/RefCnt<2>.Q to cnt/RefCnt<4>.D40.00010.00030.000
cnt/RefCnt<2>.Q to cnt/RefCnt<5>.D40.00010.00030.000
cnt/RefCnt<2>.Q to cnt/RefCnt<6>.D40.00010.00030.000
cnt/RefCnt<2>.Q to cnt/RefCnt<7>.D40.00010.00030.000
cnt/RefCnt<2>.Q to cnt/RefDone.D40.00010.00030.000
cnt/RefCnt<2>.Q to cnt/TimeoutA.D40.00010.00030.000
cnt/RefCnt<2>.Q to cnt/TimeoutB.D40.00010.00030.000
cnt/RefCnt<2>.Q to cnt/TimeoutBPre.D40.00010.00030.000
cnt/RefCnt<3>.Q to cnt/RefCnt<4>.D40.00010.00030.000
cnt/RefCnt<3>.Q to cnt/RefCnt<5>.D40.00010.00030.000
cnt/RefCnt<3>.Q to cnt/RefCnt<6>.D40.00010.00030.000
cnt/RefCnt<3>.Q to cnt/RefCnt<7>.D40.00010.00030.000
cnt/RefCnt<3>.Q to cnt/RefDone.D40.00010.00030.000
cnt/RefCnt<3>.Q to cnt/TimeoutA.D40.00010.00030.000
cnt/RefCnt<3>.Q to cnt/TimeoutB.D40.00010.00030.000
cnt/RefCnt<3>.Q to cnt/TimeoutBPre.D40.00010.00030.000
cnt/RefCnt<4>.Q to cnt/RefCnt<5>.D40.00010.00030.000
cnt/RefCnt<4>.Q to cnt/RefCnt<6>.D40.00010.00030.000
cnt/RefCnt<4>.Q to cnt/RefCnt<7>.D40.00010.00030.000
cnt/RefCnt<4>.Q to cnt/RefDone.D40.00010.00030.000
cnt/RefCnt<4>.Q to cnt/TimeoutA.D40.00010.00030.000
cnt/RefCnt<4>.Q to cnt/TimeoutB.D40.00010.00030.000
cnt/RefCnt<4>.Q to cnt/TimeoutBPre.D40.00010.00030.000
cnt/RefCnt<5>.Q to cnt/RefCnt<6>.D40.00010.00030.000
cnt/RefCnt<5>.Q to cnt/RefCnt<7>.D40.00010.00030.000
cnt/RefCnt<5>.Q to cnt/RefDone.D40.00010.00030.000
cnt/RefCnt<5>.Q to cnt/TimeoutA.D40.00010.00030.000
cnt/RefCnt<5>.Q to cnt/TimeoutB.D40.00010.00030.000
cnt/RefCnt<5>.Q to cnt/TimeoutBPre.D40.00010.00030.000
cnt/RefCnt<5>.Q to ram/RAMReady.D40.00010.00030.000
cnt/RefCnt<6>.Q to cnt/RefCnt<7>.D40.00010.00030.000
cnt/RefCnt<6>.Q to cnt/RefDone.D40.00010.00030.000
cnt/RefCnt<6>.Q to cnt/TimeoutA.D40.00010.00030.000
cnt/RefCnt<6>.Q to cnt/TimeoutB.D40.00010.00030.000
cnt/RefCnt<6>.Q to cnt/TimeoutBPre.D40.00010.00030.000
cnt/RefCnt<6>.Q to ram/RAMReady.D40.00010.00030.000
cnt/RefCnt<7>.Q to cnt/RefDone.D40.00010.00030.000
cnt/RefCnt<7>.Q to cnt/TimeoutB.D40.00010.00030.000
cnt/RefCnt<7>.Q to cnt/TimeoutBPre.D40.00010.00030.000
cnt/RefCnt<7>.Q to ram/RAMReady.D40.00010.00030.000
cnt/RefDone.Q to cnt/RefDone.D40.00010.00030.000
cnt/RefDone.Q to ram/RS_FSM_FFd2.D40.00010.00030.000
cnt/TimeoutA.Q to cnt/TimeoutA.D40.00010.00030.000
cnt/TimeoutB.Q to cnt/TimeoutB.D40.00010.00030.000
cnt/TimeoutB.Q to fsb/BERR0r.D40.00010.00030.000
cnt/TimeoutBPre.Q to cnt/TimeoutB.D40.00010.00030.000
cnt/TimeoutBPre.Q to cnt/TimeoutBPre.D40.00010.00030.000
cs/nOverlay0.Q to cs/nOverlay0.D40.00010.00030.000
cs/nOverlay0.Q to cs/nOverlay1.D40.00010.00030.000
cs/nOverlay1.Q to fsb/Ready0r.D40.00010.00030.000
cs/nOverlay1.Q to iobs/IORW0.D40.00010.00030.000
cs/nOverlay1.Q to ram/Once.D40.00010.00030.000
fsb/ASrf.Q to cnt/TimeoutA.D20.00010.00010.000
fsb/ASrf.Q to cnt/TimeoutB.D20.00010.00010.000
fsb/ASrf.Q to cnt/TimeoutBPre.D20.00010.00010.000
fsb/ASrf.Q to cs/nOverlay0.D20.00010.00010.000
fsb/ASrf.Q to cs/nOverlay1.CE40.00010.00030.000
fsb/ASrf.Q to fsb/BERR0r.D20.00010.00010.000
fsb/ASrf.Q to fsb/BERR1r.D20.00010.00010.000
fsb/ASrf.Q to fsb/Ready1r.D20.00010.00010.000
fsb/ASrf.Q to iobs/BERR.D20.00010.00010.000
fsb/ASrf.Q to iobs/IOREQ.D20.00010.00010.000
fsb/ASrf.Q to iobs/IOReady.D20.00010.00010.000
fsb/ASrf.Q to iobs/Load1.D20.00010.00010.000
fsb/ASrf.Q to iobs/Once.D20.00010.00010.000
fsb/ASrf.Q to iobs/PS_FSM_FFd2.D20.00010.00010.000
fsb/ASrf.Q to ram/BACTr.D20.00010.00010.000
fsb/ASrf.Q to ram/Once.D20.00010.00010.000
fsb/BERR0r.Q to fsb/BERR0r.D40.00010.00030.000
fsb/BERR1r.Q to fsb/BERR1r.D40.00010.00030.000
fsb/Ready0r.Q to fsb/Ready0r.D40.00010.00030.000
iobs/BERR.Q to fsb/BERR1r.D40.00010.00030.000
iobs/BERR.Q to iobs/BERR.D40.00010.00030.000
iobs/Clear1.Q to nADoutLE1.D40.00010.00030.000
iobs/IOACTr.Q to iobs/BERR.D40.00010.00030.000
iobs/IOACTr.Q to iobs/IOREQ.D40.00010.00030.000
iobs/IOACTr.Q to iobs/IOReady.D40.00010.00030.000
iobs/IOACTr.Q to iobs/PS_FSM_FFd1.D40.00010.00030.000
iobs/IOACTr.Q to iobs/PS_FSM_FFd2.D40.00010.00030.000
iobs/IOL1.Q to iobs/IOL0.D40.00010.00030.000
iobs/IORW1.Q to iobs/IORW1.D40.00010.00030.000
iobs/IOReady.Q to iobs/IOReady.D40.00010.00030.000
iobs/IOU1.Q to iobs/IOU0.D40.00010.00030.000
iobs/Load1.Q to iobs/IOL1.CE40.00010.00030.000
iobs/Load1.Q to iobs/IOU1.CE40.00010.00030.000
iobs/Load1.Q to nADoutLE1.D40.00010.00030.000
iobs/Once.Q to iobs/BERR.D40.00010.00030.000
iobs/Once.Q to iobs/IOREQ.D40.00010.00030.000
iobs/Once.Q to iobs/IORW1.D40.00010.00030.000
iobs/Once.Q to iobs/IOReady.D40.00010.00030.000
iobs/Once.Q to iobs/Load1.D40.00010.00030.000
iobs/Once.Q to iobs/PS_FSM_FFd2.D40.00010.00030.000
iobs/PS_FSM_FFd1.Q to iobs/ALE0.D40.00010.00030.000
iobs/PS_FSM_FFd1.Q to iobs/Clear1.D40.00010.00030.000
iobs/PS_FSM_FFd1.Q to iobs/IOL0.CE40.00010.00030.000
iobs/PS_FSM_FFd1.Q to iobs/IOREQ.D40.00010.00030.000
iobs/PS_FSM_FFd1.Q to iobs/IOU0.CE40.00010.00030.000
iobs/PS_FSM_FFd1.Q to iobs/Load1.D40.00010.00030.000
iobs/PS_FSM_FFd1.Q to iobs/PS_FSM_FFd1.D40.00010.00030.000
iobs/PS_FSM_FFd2.Q to iobs/ALE0.D40.00010.00030.000
iobs/PS_FSM_FFd2.Q to iobs/BERR.D40.00010.00030.000
iobs/PS_FSM_FFd2.Q to iobs/Clear1.D40.00010.00030.000
iobs/PS_FSM_FFd2.Q to iobs/IOL0.CE40.00010.00030.000
iobs/PS_FSM_FFd2.Q to iobs/IOReady.D40.00010.00030.000
iobs/PS_FSM_FFd2.Q to iobs/IOU0.CE40.00010.00030.000
iobs/PS_FSM_FFd2.Q to iobs/Load1.D40.00010.00030.000
iobs/PS_FSM_FFd2.Q to iobs/Once.D40.00010.00030.000
iobs/PS_FSM_FFd2.Q to iobs/PS_FSM_FFd1.D40.00010.00030.000
nADoutLE1.Q to iobs/BERR.D40.00010.00030.000
nADoutLE1.Q to iobs/Clear1.D40.00010.00030.000
nADoutLE1.Q to iobs/IOL0.D40.00010.00030.000
nADoutLE1.Q to iobs/IORW1.D40.00010.00030.000
nADoutLE1.Q to iobs/IOReady.D40.00010.00030.000
nADoutLE1.Q to iobs/IOU0.D40.00010.00030.000
nADoutLE1.Q to iobs/Load1.D40.00010.00030.000
nADoutLE1.Q to nADoutLE1.D40.00010.00030.000
ram/Once.Q to ram/Once.D40.00010.00030.000
ram/Once.Q to ram/RS_FSM_FFd3.D40.00010.00030.000
ram/RAMReady.Q to fsb/Ready0r.D40.00010.00030.000
ram/RASEL.Q to nCAS.D20.00010.00010.000
ram/RS_FSM_FFd1.Q to ram/Once.D40.00010.00030.000
ram/RS_FSM_FFd1.Q to ram/RAMDIS2.D40.00010.00030.000
ram/RS_FSM_FFd1.Q to ram/RS_FSM_FFd2.D40.00010.00030.000
ram/RS_FSM_FFd1.Q to ram/RefRAS.D40.00010.00030.000
ram/RS_FSM_FFd2.Q to ram/Once.D40.00010.00030.000
ram/RS_FSM_FFd2.Q to ram/RAMDIS1.D40.00010.00030.000
ram/RS_FSM_FFd2.Q to ram/RAMReady.D40.00010.00030.000
ram/RS_FSM_FFd2.Q to ram/RefRAS.D40.00010.00030.000
ram/RS_FSM_FFd3.Q to ram/Once.D40.00010.00030.000
ram/RS_FSM_FFd3.Q to ram/RAMReady.D40.00010.00030.000
ram/RS_FSM_FFd3.Q to ram/RASEL.D40.00010.00030.000
ram/RS_FSM_FFd3.Q to ram/RS_FSM_FFd1.D40.00010.00030.000
ram/RefRAS.Q to cnt/RefDone.D40.00010.00030.000
+
+
+ +

Constraint: TS_CLK2X_IOB

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Description: PERIOD:CLK2X_IOB:66.666nS:HIGH:33.333nS
PathRequirement (ns)Delay (ns)Slack (ns)
iobm/BERRrf.Q to iobm/IOBERR.D33.30011.00022.300
iobm/BERRrr.Q to iobm/IOBERR.D66.60011.00055.600
iobm/DTACKrf.Q to iobm/IOACT.D33.30011.00022.300
iobm/DTACKrf.Q to iobm/IOBERR.D33.30011.00022.300
iobm/DTACKrr.Q to iobm/IOACT.D66.60011.00055.600
iobm/DTACKrr.Q to iobm/IOBERR.D66.60011.00055.600
iobm/IOBERR.Q to iobm/IOBERR.D66.60011.00055.600
iobm/IOS_FSM_FFd1.Q to iobm/IOACT.D66.60011.00055.600
iobm/IOS_FSM_FFd1.Q to iobm/IOBERR.D66.60011.00055.600
iobm/IOS_FSM_FFd2.Q to iobm/IOBERR.D66.60011.00055.600
iobm/IOS_FSM_FFd3.Q to iobm/IOACT.D66.60011.00055.600
iobm/IOS_FSM_FFd3.Q to iobm/IOBERR.D66.60011.00055.600
iobm/RESrf.Q to iobm/IOACT.D33.30011.00022.300
iobm/RESrf.Q to iobm/IOBERR.D33.30011.00022.300
iobm/RESrr.Q to iobm/IOACT.D66.60011.00055.600
iobm/RESrr.Q to iobm/IOBERR.D66.60011.00055.600
iobm/BERRrf.Q to iobm/IOACT.D33.30010.00023.300
iobm/BERRrf.Q to iobm/IOS_FSM_FFd2.D33.30010.00023.300
iobm/BERRrr.Q to iobm/IOACT.D66.60010.00056.600
iobm/BERRrr.Q to iobm/IOS_FSM_FFd2.D66.60010.00056.600
iobm/BGr0.Q to iobm/BGr1.D66.60010.00056.600
iobm/BGr0.Q to nAoutOE.D66.60010.00056.600
iobm/BGr1.Q to nAoutOE.D66.60010.00056.600
iobm/DTACKrf.Q to iobm/IOS_FSM_FFd2.D33.30010.00023.300
iobm/DTACKrr.Q to iobm/IOS_FSM_FFd2.D66.60010.00056.600
iobm/ES<0>.Q to iobm/ES<0>.D66.60010.00056.600
iobm/ES<0>.Q to iobm/ES<1>.D66.60010.00056.600
iobm/ES<0>.Q to iobm/ES<2>.D66.60010.00056.600
iobm/ES<0>.Q to iobm/ES<3>.D66.60010.00056.600
iobm/ES<0>.Q to iobm/ES<4>.D66.60010.00056.600
iobm/ES<0>.Q to iobm/ETACK.D66.60010.00056.600
iobm/ES<0>.Q to nVMA_IOB.D66.60010.00056.600
iobm/ES<1>.Q to iobm/ES<0>.D66.60010.00056.600
iobm/ES<1>.Q to iobm/ES<1>.D66.60010.00056.600
iobm/ES<1>.Q to iobm/ES<2>.D66.60010.00056.600
iobm/ES<1>.Q to iobm/ES<3>.D66.60010.00056.600
iobm/ES<1>.Q to iobm/ES<4>.D66.60010.00056.600
iobm/ES<1>.Q to iobm/ETACK.D66.60010.00056.600
iobm/ES<1>.Q to nVMA_IOB.D66.60010.00056.600
iobm/ES<2>.Q to iobm/ES<0>.D66.60010.00056.600
iobm/ES<2>.Q to iobm/ES<2>.D66.60010.00056.600
iobm/ES<2>.Q to iobm/ES<3>.D66.60010.00056.600
iobm/ES<2>.Q to iobm/ES<4>.D66.60010.00056.600
iobm/ES<2>.Q to iobm/ETACK.D66.60010.00056.600
iobm/ES<2>.Q to nVMA_IOB.D66.60010.00056.600
iobm/ES<3>.Q to iobm/ES<0>.D66.60010.00056.600
iobm/ES<3>.Q to iobm/ES<2>.D66.60010.00056.600
iobm/ES<3>.Q to iobm/ES<3>.D66.60010.00056.600
iobm/ES<3>.Q to iobm/ES<4>.D66.60010.00056.600
iobm/ES<3>.Q to iobm/ETACK.D66.60010.00056.600
iobm/ES<3>.Q to nVMA_IOB.D66.60010.00056.600
iobm/ES<4>.Q to iobm/ES<0>.D66.60010.00056.600
iobm/ES<4>.Q to iobm/ES<2>.D66.60010.00056.600
iobm/ES<4>.Q to iobm/ES<4>.D66.60010.00056.600
iobm/ES<4>.Q to iobm/ETACK.D66.60010.00056.600
iobm/ES<4>.Q to nVMA_IOB.D66.60010.00056.600
iobm/ETACK.Q to iobm/IOACT.D66.60010.00056.600
iobm/ETACK.Q to iobm/IOBERR.D66.60010.00056.600
iobm/ETACK.Q to iobm/IOS_FSM_FFd2.D66.60010.00056.600
iobm/Er2.Q to iobm/ES<0>.D66.60010.00056.600
iobm/Er2.Q to iobm/ES<1>.D66.60010.00056.600
iobm/Er2.Q to iobm/ES<2>.D66.60010.00056.600
iobm/Er2.Q to iobm/ES<3>.D66.60010.00056.600
iobm/Er2.Q to iobm/ES<4>.D66.60010.00056.600
iobm/IOACT.Q to nVMA_IOB.D66.60010.00056.600
iobm/IOREQr.Q to iobm/ALE0.D33.30010.00023.300
iobm/IOREQr.Q to iobm/IOACT.D33.30010.00023.300
iobm/IOREQr.Q to iobm/IOS_FSM_FFd3.D33.30010.00023.300
iobm/IOS_FSM_FFd1.Q to iobm/ALE0.D66.60010.00056.600
iobm/IOS_FSM_FFd1.Q to iobm/IOS_FSM_FFd1.D66.60010.00056.600
iobm/IOS_FSM_FFd1.Q to iobm/IOS_FSM_FFd2.D66.60010.00056.600
iobm/IOS_FSM_FFd1.Q to iobm/IOS_FSM_FFd3.D66.60010.00056.600
iobm/IOS_FSM_FFd1.Q to nAS_IOB.D33.30010.00023.300
iobm/IOS_FSM_FFd1.Q to nDinLE.D33.30010.00023.300
iobm/IOS_FSM_FFd1.Q to nLDS_IOB.D33.30010.00023.300
iobm/IOS_FSM_FFd1.Q to nUDS_IOB.D33.30010.00023.300
iobm/IOS_FSM_FFd2.Q to iobm/ALE0.D66.60010.00056.600
iobm/IOS_FSM_FFd2.Q to iobm/IOACT.D66.60010.00056.600
iobm/IOS_FSM_FFd2.Q to iobm/IOS_FSM_FFd1.D66.60010.00056.600
iobm/IOS_FSM_FFd2.Q to iobm/IOS_FSM_FFd2.D66.60010.00056.600
iobm/IOS_FSM_FFd2.Q to iobm/IOS_FSM_FFd3.D66.60010.00056.600
iobm/IOS_FSM_FFd2.Q to nAS_IOB.D33.30010.00023.300
iobm/IOS_FSM_FFd2.Q to nDinLE.D33.30010.00023.300
iobm/IOS_FSM_FFd2.Q to nDoutOE.D66.60010.00056.600
iobm/IOS_FSM_FFd2.Q to nLDS_IOB.D33.30010.00023.300
iobm/IOS_FSM_FFd2.Q to nUDS_IOB.D33.30010.00023.300
iobm/IOS_FSM_FFd3.Q to iobm/ALE0.D66.60010.00056.600
iobm/IOS_FSM_FFd3.Q to iobm/IOS_FSM_FFd1.D66.60010.00056.600
iobm/IOS_FSM_FFd3.Q to iobm/IOS_FSM_FFd2.D66.60010.00056.600
iobm/IOS_FSM_FFd3.Q to iobm/IOS_FSM_FFd3.D66.60010.00056.600
iobm/IOS_FSM_FFd3.Q to nAS_IOB.D33.30010.00023.300
iobm/IOS_FSM_FFd3.Q to nDoutOE.D66.60010.00056.600
iobm/IOS_FSM_FFd3.Q to nLDS_IOB.D33.30010.00023.300
iobm/IOS_FSM_FFd3.Q to nUDS_IOB.D33.30010.00023.300
iobm/RESrf.Q to iobm/IOS_FSM_FFd2.D33.30010.00023.300
iobm/RESrr.Q to iobm/IOS_FSM_FFd2.D66.60010.00056.600
iobm/VPArf.Q to nVMA_IOB.D33.30010.00023.300
iobm/VPArr.Q to nVMA_IOB.D66.60010.00056.600
nAS_IOB.Q to nAoutOE.D33.30010.00023.300
nAoutOE.Q to iobm/ALE0.D66.60010.00056.600
nAoutOE.Q to iobm/IOACT.D66.60010.00056.600
nAoutOE.Q to iobm/IOS_FSM_FFd3.D66.60010.00056.600
nAoutOE.Q to nAoutOE.D66.60010.00056.600
nVMA_IOB.Q to iobm/ETACK.D66.60010.00056.600
nVMA_IOB.Q to nVMA_IOB.D66.60010.00056.600
+
+
+
Number of constraints not met: 0
+
+ +

Data Sheet Report

+ +

Maximum External Clock Speeds

+ + + + + + + + + + + + + + + + + + + + + +
ClockfEXT (MHz)Reason
CLK_IOB111.111Limited by Clock Pulse Width for CLK_IOB
CLK_FSB51.282Limited by Cycle Time for CLK_FSB
CLK2X_IOB90.909Limited by Cycle Time for CLK2X_IOB
+
+ +

Setup/Hold Times for Clocks

+ + + + + + + + + + + + +
Setup/Hold Times for Clock CLK_IOB
Source PadSetup to clk (edge) Hold to clk (edge)
E_IOB6.5000.000

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Setup/Hold Times for Clock CLK_FSB
Source PadSetup to clk (edge) Hold to clk (edge)
A_FSB<10>8.3000.000
A_FSB<11>8.3000.000
A_FSB<12>8.3000.000
A_FSB<13>8.3000.000
A_FSB<14>8.3000.000
A_FSB<15>8.3000.000
A_FSB<16>8.3000.000
A_FSB<17>8.3000.000
A_FSB<18>8.3000.000
A_FSB<19>8.3000.000
A_FSB<20>8.3000.000
A_FSB<21>8.3000.000
A_FSB<22>8.3000.000
A_FSB<23>8.3000.000
A_FSB<8>8.3000.000
A_FSB<9>8.3000.000
SW<1>7.9000.000
nAS_FSB16.0000.000
nIPL26.5000.000
nLDS_FSB6.5000.000
nRES6.5000.000
nUDS_FSB6.5000.000
nWE_FSB8.3000.000

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Setup/Hold Times for Clock CLK2X_IOB
Source PadSetup to clk (edge) Hold to clk (edge)
CLK_IOB7.5000.000
nBERR_IOB7.5000.000
nBG_IOB6.5000.000
nDTACK_IOB6.5000.000
nRES6.5000.000
nVPA_IOB6.5000.000

+
+ +

Clock to Pad Timing

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Clock CLK_FSB to Pad
Destination PadClock (edge) to Pad
RA<2>14.500
RA<5>14.500
RA<8>14.500
RA<9>14.500
nBERR_FSB14.500
nRAMUWE14.500
nRAS14.500
RA<0>13.500
RA<1>13.500
RA<3>13.500
RA<4>13.500
RA<6>13.500
RA<7>13.500
nADoutLE013.500
nRAMLWE13.500
nROMCS13.500
nVPA_FSB13.500
nADoutLE15.800
nBR_IOB5.800
nCAS5.800
nDTACK_FSB5.800

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Clock CLK2X_IOB to Pad
Destination PadClock (edge) to Pad
nAS_IOB14.500
nLDS_IOB14.500
nUDS_IOB14.500
nVMA_IOB14.500
nADoutLE013.500
nAoutOE5.800
nDinLE5.800
nDoutOE5.800

+
+ +

Clock to Setup Times for Clocks

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Clock to Setup for clock CLK_FSB
SourceDestinationDelay
fsb/ASrf.Qfsb/VPA.D19.500
cnt/TimeoutA.Qfsb/VPA.D11.800
cnt/TimeoutB.Qfsb/VPA.D11.800
cnt/TimeoutB.QnDTACK_FSB.D11.800
cs/nOverlay1.Qfsb/VPA.D11.800
fsb/ASrf.QnDTACK_FSB.D11.800
fsb/BERR0r.Qfsb/VPA.D11.800
fsb/BERR0r.QnDTACK_FSB.D11.800
fsb/BERR1r.Qfsb/VPA.D11.800
fsb/BERR1r.QnDTACK_FSB.D11.800
fsb/Ready1r.Qfsb/VPA.D11.800
fsb/Ready1r.QnDTACK_FSB.D11.800
fsb/Ready2r.Qfsb/VPA.D11.800
fsb/VPA.Qfsb/VPA.D11.800
iobs/BERR.Qfsb/VPA.D11.800
iobs/BERR.QnDTACK_FSB.D11.800
iobs/IOReady.Qfsb/VPA.D11.800
iobs/IOReady.QnDTACK_FSB.D11.800
nBR_IOB.Qfsb/VPA.D11.800
nBR_IOB.QnDTACK_FSB.D11.800
cnt/RefCnt<5>.Qram/RAMDIS1.D11.400
cnt/RefCnt<5>.Qram/RASEL.D11.400
cnt/RefCnt<6>.Qram/RAMDIS1.D11.400
cnt/RefCnt<6>.Qram/RASEL.D11.400
cnt/RefCnt<7>.Qram/RAMDIS1.D11.400
cnt/RefCnt<7>.Qram/RASEL.D11.400
cnt/RefDone.Qram/RAMDIS1.D11.400
cnt/RefDone.Qram/RASEL.D11.400
cs/nOverlay1.Qfsb/Ready1r.D11.400
cs/nOverlay1.Qiobs/IORW1.D11.400
cs/nOverlay1.Qiobs/Once.D11.400
cs/nOverlay1.QnDTACK_FSB.D11.400
cs/nOverlay1.Qram/RAMDIS1.D11.400
cs/nOverlay1.Qram/RASEL.D11.400
fsb/ASrf.Qram/RASEL.D11.400
fsb/Ready0r.QnDTACK_FSB.D11.400
fsb/Ready1r.Qfsb/Ready1r.D11.400
iobs/IORW0.Qiobs/IORW0.D11.400
iobs/IOReady.Qfsb/Ready1r.D11.400
iobs/Once.Qiobs/IORW0.D11.400
iobs/Once.Qiobs/Once.D11.400
iobs/PS_FSM_FFd1.Qiobs/IORW0.D11.400
iobs/PS_FSM_FFd2.Qiobs/IORW0.D11.400
nADoutLE1.Qfsb/Ready1r.D11.400
nADoutLE1.Qfsb/VPA.D11.400
nADoutLE1.Qiobs/IORW0.D11.400
nDTACK_FSB.QnDTACK_FSB.D11.400
ram/Once.Qram/RASEL.D11.400
ram/RAMReady.QnDTACK_FSB.D11.400
ram/RS_FSM_FFd1.Qram/RAMDIS1.D11.400
ram/RS_FSM_FFd1.Qram/RASEL.D11.400
ram/RS_FSM_FFd2.Qram/RASEL.D11.400
ram/RS_FSM_FFd3.Qram/RAMDIS1.D11.400
cnt/RefCnt<5>.Qram/RAMDIS2.D11.000
cnt/RefCnt<5>.Qram/RS_FSM_FFd1.D11.000
cnt/RefCnt<5>.Qram/RS_FSM_FFd2.D11.000
cnt/RefCnt<5>.Qram/RS_FSM_FFd3.D11.000
cnt/RefCnt<6>.Qram/RAMDIS2.D11.000
cnt/RefCnt<6>.Qram/RS_FSM_FFd1.D11.000
cnt/RefCnt<6>.Qram/RS_FSM_FFd2.D11.000
cnt/RefCnt<6>.Qram/RS_FSM_FFd3.D11.000
cnt/RefCnt<7>.Qram/RAMDIS2.D11.000
cnt/RefCnt<7>.Qram/RS_FSM_FFd1.D11.000
cnt/RefCnt<7>.Qram/RS_FSM_FFd2.D11.000
cnt/RefCnt<7>.Qram/RS_FSM_FFd3.D11.000
cnt/RefDone.Qram/RAMDIS2.D11.000
cnt/RefDone.Qram/RAMReady.D11.000
cnt/RefDone.Qram/RS_FSM_FFd1.D11.000
cnt/RefDone.Qram/RS_FSM_FFd3.D11.000
cnt/TimeoutA.Qfsb/Ready2r.D11.000
cnt/TimeoutA.QnDTACK_FSB.D11.000
cs/nOverlay1.Qfsb/Ready2r.D11.000
cs/nOverlay1.Qiobs/IOREQ.D11.000
cs/nOverlay1.Qiobs/Load1.D11.000
cs/nOverlay1.Qiobs/PS_FSM_FFd2.D11.000
cs/nOverlay1.Qram/RAMDIS2.D11.000
cs/nOverlay1.Qram/RAMReady.D11.000
cs/nOverlay1.Qram/RS_FSM_FFd1.D11.000
cs/nOverlay1.Qram/RS_FSM_FFd2.D11.000
cs/nOverlay1.Qram/RS_FSM_FFd3.D11.000
fsb/ASrf.Qfsb/Ready0r.D11.000
fsb/ASrf.Qfsb/Ready2r.D11.000
fsb/ASrf.Qiobs/IORW0.D11.000
fsb/ASrf.Qiobs/IORW1.D11.000
fsb/ASrf.Qram/RAMDIS1.D11.000
fsb/ASrf.Qram/RAMDIS2.D11.000
fsb/ASrf.Qram/RAMReady.D11.000
fsb/ASrf.Qram/RS_FSM_FFd1.D11.000
fsb/ASrf.Qram/RS_FSM_FFd2.D11.000
fsb/ASrf.Qram/RS_FSM_FFd3.D11.000
fsb/Ready0r.Qfsb/VPA.D11.000
fsb/Ready2r.Qfsb/Ready2r.D11.000
fsb/Ready2r.QnDTACK_FSB.D11.000
iobs/IORW1.Qiobs/IORW0.D11.000
iobs/PS_FSM_FFd1.Qiobs/IORW1.D11.000
iobs/PS_FSM_FFd1.Qiobs/Once.D11.000
iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd2.D11.000
iobs/PS_FSM_FFd2.Qiobs/IOREQ.D11.000
iobs/PS_FSM_FFd2.Qiobs/IORW1.D11.000
iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd2.D11.000
nADoutLE1.Qiobs/IOREQ.D11.000
nADoutLE1.Qiobs/Once.D11.000
nADoutLE1.Qiobs/PS_FSM_FFd2.D11.000
nADoutLE1.QnDTACK_FSB.D11.000
ram/BACTr.Qram/RAMDIS1.D11.000
ram/BACTr.Qram/RAMReady.D11.000
ram/BACTr.Qram/RASEL.D11.000
ram/BACTr.Qram/RS_FSM_FFd2.D11.000
ram/Once.Qram/RAMDIS1.D11.000
ram/Once.Qram/RAMDIS2.D11.000
ram/Once.Qram/RAMReady.D11.000
ram/Once.Qram/RS_FSM_FFd1.D11.000
ram/RAMDIS2.Qram/RAMDIS2.D11.000
ram/RAMReady.Qfsb/VPA.D11.000
ram/RS_FSM_FFd1.Qram/RAMReady.D11.000
ram/RS_FSM_FFd1.Qram/RS_FSM_FFd1.D11.000
ram/RS_FSM_FFd1.Qram/RS_FSM_FFd3.D11.000
ram/RS_FSM_FFd2.Qram/RAMDIS2.D11.000
ram/RS_FSM_FFd2.Qram/RS_FSM_FFd1.D11.000
ram/RS_FSM_FFd2.Qram/RS_FSM_FFd2.D11.000
ram/RS_FSM_FFd2.Qram/RS_FSM_FFd3.D11.000
ram/RS_FSM_FFd3.Qram/RAMDIS2.D11.000
ram/RS_FSM_FFd3.Qram/RS_FSM_FFd2.D11.000
ram/RS_FSM_FFd3.Qram/RS_FSM_FFd3.D11.000
IPL2r0.QIPL2r1.D10.000
IPL2r0.QnBR_IOB.CE10.000
IPL2r1.QnBR_IOB.CE10.000
RESDone.QnBR_IOB.CE10.000
RESr0.QRESDone.CE10.000
RESr0.QRESr1.D10.000
RESr0.QnBR_IOB.CE10.000
RESr1.QRESDone.CE10.000
RESr1.QRESr2.D10.000
RESr1.QnBR_IOB.CE10.000
RESr2.QRESDone.CE10.000
RESr2.QnBR_IOB.CE10.000
cnt/RefCnt<0>.Qcnt/RefCnt<1>.D10.000
cnt/RefCnt<0>.Qcnt/RefCnt<2>.D10.000
cnt/RefCnt<0>.Qcnt/RefCnt<3>.D10.000
cnt/RefCnt<0>.Qcnt/RefCnt<4>.D10.000
cnt/RefCnt<0>.Qcnt/RefCnt<5>.D10.000
cnt/RefCnt<0>.Qcnt/RefCnt<6>.D10.000
cnt/RefCnt<0>.Qcnt/RefCnt<7>.D10.000
cnt/RefCnt<0>.Qcnt/RefDone.D10.000
cnt/RefCnt<0>.Qcnt/TimeoutA.D10.000
cnt/RefCnt<0>.Qcnt/TimeoutB.D10.000
cnt/RefCnt<0>.Qcnt/TimeoutBPre.D10.000
cnt/RefCnt<1>.Qcnt/RefCnt<2>.D10.000
cnt/RefCnt<1>.Qcnt/RefCnt<3>.D10.000
cnt/RefCnt<1>.Qcnt/RefCnt<4>.D10.000
cnt/RefCnt<1>.Qcnt/RefCnt<5>.D10.000
cnt/RefCnt<1>.Qcnt/RefCnt<6>.D10.000
cnt/RefCnt<1>.Qcnt/RefCnt<7>.D10.000
cnt/RefCnt<1>.Qcnt/RefDone.D10.000
cnt/RefCnt<1>.Qcnt/TimeoutA.D10.000
cnt/RefCnt<1>.Qcnt/TimeoutB.D10.000
cnt/RefCnt<1>.Qcnt/TimeoutBPre.D10.000
cnt/RefCnt<2>.Qcnt/RefCnt<3>.D10.000
cnt/RefCnt<2>.Qcnt/RefCnt<4>.D10.000
cnt/RefCnt<2>.Qcnt/RefCnt<5>.D10.000
cnt/RefCnt<2>.Qcnt/RefCnt<6>.D10.000
cnt/RefCnt<2>.Qcnt/RefCnt<7>.D10.000
cnt/RefCnt<2>.Qcnt/RefDone.D10.000
cnt/RefCnt<2>.Qcnt/TimeoutA.D10.000
cnt/RefCnt<2>.Qcnt/TimeoutB.D10.000
cnt/RefCnt<2>.Qcnt/TimeoutBPre.D10.000
cnt/RefCnt<3>.Qcnt/RefCnt<4>.D10.000
cnt/RefCnt<3>.Qcnt/RefCnt<5>.D10.000
cnt/RefCnt<3>.Qcnt/RefCnt<6>.D10.000
cnt/RefCnt<3>.Qcnt/RefCnt<7>.D10.000
cnt/RefCnt<3>.Qcnt/RefDone.D10.000
cnt/RefCnt<3>.Qcnt/TimeoutA.D10.000
cnt/RefCnt<3>.Qcnt/TimeoutB.D10.000
cnt/RefCnt<3>.Qcnt/TimeoutBPre.D10.000
cnt/RefCnt<4>.Qcnt/RefCnt<5>.D10.000
cnt/RefCnt<4>.Qcnt/RefCnt<6>.D10.000
cnt/RefCnt<4>.Qcnt/RefCnt<7>.D10.000
cnt/RefCnt<4>.Qcnt/RefDone.D10.000
cnt/RefCnt<4>.Qcnt/TimeoutA.D10.000
cnt/RefCnt<4>.Qcnt/TimeoutB.D10.000
cnt/RefCnt<4>.Qcnt/TimeoutBPre.D10.000
cnt/RefCnt<5>.Qcnt/RefCnt<6>.D10.000
cnt/RefCnt<5>.Qcnt/RefCnt<7>.D10.000
cnt/RefCnt<5>.Qcnt/RefDone.D10.000
cnt/RefCnt<5>.Qcnt/TimeoutA.D10.000
cnt/RefCnt<5>.Qcnt/TimeoutB.D10.000
cnt/RefCnt<5>.Qcnt/TimeoutBPre.D10.000
cnt/RefCnt<5>.Qram/RAMReady.D10.000
cnt/RefCnt<6>.Qcnt/RefCnt<7>.D10.000
cnt/RefCnt<6>.Qcnt/RefDone.D10.000
cnt/RefCnt<6>.Qcnt/TimeoutA.D10.000
cnt/RefCnt<6>.Qcnt/TimeoutB.D10.000
cnt/RefCnt<6>.Qcnt/TimeoutBPre.D10.000
cnt/RefCnt<6>.Qram/RAMReady.D10.000
cnt/RefCnt<7>.Qcnt/RefDone.D10.000
cnt/RefCnt<7>.Qcnt/TimeoutB.D10.000
cnt/RefCnt<7>.Qcnt/TimeoutBPre.D10.000
cnt/RefCnt<7>.Qram/RAMReady.D10.000
cnt/RefDone.Qcnt/RefDone.D10.000
cnt/RefDone.Qram/RS_FSM_FFd2.D10.000
cnt/TimeoutA.Qcnt/TimeoutA.D10.000
cnt/TimeoutB.Qcnt/TimeoutB.D10.000
cnt/TimeoutB.Qfsb/BERR0r.D10.000
cnt/TimeoutBPre.Qcnt/TimeoutB.D10.000
cnt/TimeoutBPre.Qcnt/TimeoutBPre.D10.000
cs/nOverlay0.Qcs/nOverlay0.D10.000
cs/nOverlay0.Qcs/nOverlay1.D10.000
cs/nOverlay1.Qfsb/Ready0r.D10.000
cs/nOverlay1.Qiobs/IORW0.D10.000
cs/nOverlay1.Qram/Once.D10.000
fsb/ASrf.Qcnt/TimeoutA.D10.000
fsb/ASrf.Qcnt/TimeoutB.D10.000
fsb/ASrf.Qcnt/TimeoutBPre.D10.000
fsb/ASrf.Qcs/nOverlay0.D10.000
fsb/ASrf.Qcs/nOverlay1.CE10.000
fsb/ASrf.Qfsb/BERR0r.D10.000
fsb/ASrf.Qfsb/BERR1r.D10.000
fsb/ASrf.Qfsb/Ready1r.D10.000
fsb/ASrf.Qiobs/BERR.D10.000
fsb/ASrf.Qiobs/IOREQ.D10.000
fsb/ASrf.Qiobs/IOReady.D10.000
fsb/ASrf.Qiobs/Load1.D10.000
fsb/ASrf.Qiobs/Once.D10.000
fsb/ASrf.Qiobs/PS_FSM_FFd2.D10.000
fsb/ASrf.Qram/BACTr.D10.000
fsb/ASrf.Qram/Once.D10.000
fsb/BERR0r.Qfsb/BERR0r.D10.000
fsb/BERR1r.Qfsb/BERR1r.D10.000
fsb/Ready0r.Qfsb/Ready0r.D10.000
iobs/BERR.Qfsb/BERR1r.D10.000
iobs/BERR.Qiobs/BERR.D10.000
iobs/Clear1.QnADoutLE1.D10.000
iobs/IOACTr.Qiobs/BERR.D10.000
iobs/IOACTr.Qiobs/IOREQ.D10.000
iobs/IOACTr.Qiobs/IOReady.D10.000
iobs/IOACTr.Qiobs/PS_FSM_FFd1.D10.000
iobs/IOACTr.Qiobs/PS_FSM_FFd2.D10.000
iobs/IOL1.Qiobs/IOL0.D10.000
iobs/IORW1.Qiobs/IORW1.D10.000
iobs/IOReady.Qiobs/IOReady.D10.000
iobs/IOU1.Qiobs/IOU0.D10.000
iobs/Load1.Qiobs/IOL1.CE10.000
iobs/Load1.Qiobs/IOU1.CE10.000
iobs/Load1.QnADoutLE1.D10.000
iobs/Once.Qiobs/BERR.D10.000
iobs/Once.Qiobs/IOREQ.D10.000
iobs/Once.Qiobs/IORW1.D10.000
iobs/Once.Qiobs/IOReady.D10.000
iobs/Once.Qiobs/Load1.D10.000
iobs/Once.Qiobs/PS_FSM_FFd2.D10.000
iobs/PS_FSM_FFd1.Qiobs/ALE0.D10.000
iobs/PS_FSM_FFd1.Qiobs/Clear1.D10.000
iobs/PS_FSM_FFd1.Qiobs/IOL0.CE10.000
iobs/PS_FSM_FFd1.Qiobs/IOREQ.D10.000
iobs/PS_FSM_FFd1.Qiobs/IOU0.CE10.000
iobs/PS_FSM_FFd1.Qiobs/Load1.D10.000
iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd1.D10.000
iobs/PS_FSM_FFd2.Qiobs/ALE0.D10.000
iobs/PS_FSM_FFd2.Qiobs/BERR.D10.000
iobs/PS_FSM_FFd2.Qiobs/Clear1.D10.000
iobs/PS_FSM_FFd2.Qiobs/IOL0.CE10.000
iobs/PS_FSM_FFd2.Qiobs/IOReady.D10.000
iobs/PS_FSM_FFd2.Qiobs/IOU0.CE10.000
iobs/PS_FSM_FFd2.Qiobs/Load1.D10.000
iobs/PS_FSM_FFd2.Qiobs/Once.D10.000
iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd1.D10.000
nADoutLE1.Qiobs/BERR.D10.000
nADoutLE1.Qiobs/Clear1.D10.000
nADoutLE1.Qiobs/IOL0.D10.000
nADoutLE1.Qiobs/IORW1.D10.000
nADoutLE1.Qiobs/IOReady.D10.000
nADoutLE1.Qiobs/IOU0.D10.000
nADoutLE1.Qiobs/Load1.D10.000
nADoutLE1.QnADoutLE1.D10.000
ram/Once.Qram/Once.D10.000
ram/Once.Qram/RS_FSM_FFd3.D10.000
ram/RAMReady.Qfsb/Ready0r.D10.000
ram/RASEL.QnCAS.D10.000
ram/RS_FSM_FFd1.Qram/Once.D10.000
ram/RS_FSM_FFd1.Qram/RAMDIS2.D10.000
ram/RS_FSM_FFd1.Qram/RS_FSM_FFd2.D10.000
ram/RS_FSM_FFd1.Qram/RefRAS.D10.000
ram/RS_FSM_FFd2.Qram/Once.D10.000
ram/RS_FSM_FFd2.Qram/RAMDIS1.D10.000
ram/RS_FSM_FFd2.Qram/RAMReady.D10.000
ram/RS_FSM_FFd2.Qram/RefRAS.D10.000
ram/RS_FSM_FFd3.Qram/Once.D10.000
ram/RS_FSM_FFd3.Qram/RAMReady.D10.000
ram/RS_FSM_FFd3.Qram/RASEL.D10.000
ram/RS_FSM_FFd3.Qram/RS_FSM_FFd1.D10.000
ram/RefRAS.Qcnt/RefDone.D10.000

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Clock to Setup for clock CLK2X_IOB
SourceDestinationDelay
iobm/BERRrf.Qiobm/IOBERR.D11.000
iobm/BERRrr.Qiobm/IOBERR.D11.000
iobm/DTACKrf.Qiobm/IOACT.D11.000
iobm/DTACKrf.Qiobm/IOBERR.D11.000
iobm/DTACKrr.Qiobm/IOACT.D11.000
iobm/DTACKrr.Qiobm/IOBERR.D11.000
iobm/IOBERR.Qiobm/IOBERR.D11.000
iobm/IOS_FSM_FFd1.Qiobm/IOACT.D11.000
iobm/IOS_FSM_FFd1.Qiobm/IOBERR.D11.000
iobm/IOS_FSM_FFd2.Qiobm/IOBERR.D11.000
iobm/IOS_FSM_FFd3.Qiobm/IOACT.D11.000
iobm/IOS_FSM_FFd3.Qiobm/IOBERR.D11.000
iobm/RESrf.Qiobm/IOACT.D11.000
iobm/RESrf.Qiobm/IOBERR.D11.000
iobm/RESrr.Qiobm/IOACT.D11.000
iobm/RESrr.Qiobm/IOBERR.D11.000
iobm/BERRrf.Qiobm/IOACT.D10.000
iobm/BERRrf.Qiobm/IOS_FSM_FFd2.D10.000
iobm/BERRrr.Qiobm/IOACT.D10.000
iobm/BERRrr.Qiobm/IOS_FSM_FFd2.D10.000
iobm/BGr0.Qiobm/BGr1.D10.000
iobm/BGr0.QnAoutOE.D10.000
iobm/BGr1.QnAoutOE.D10.000
iobm/DTACKrf.Qiobm/IOS_FSM_FFd2.D10.000
iobm/DTACKrr.Qiobm/IOS_FSM_FFd2.D10.000
iobm/ES<0>.Qiobm/ES<0>.D10.000
iobm/ES<0>.Qiobm/ES<1>.D10.000
iobm/ES<0>.Qiobm/ES<2>.D10.000
iobm/ES<0>.Qiobm/ES<3>.D10.000
iobm/ES<0>.Qiobm/ES<4>.D10.000
iobm/ES<0>.Qiobm/ETACK.D10.000
iobm/ES<0>.QnVMA_IOB.D10.000
iobm/ES<1>.Qiobm/ES<0>.D10.000
iobm/ES<1>.Qiobm/ES<1>.D10.000
iobm/ES<1>.Qiobm/ES<2>.D10.000
iobm/ES<1>.Qiobm/ES<3>.D10.000
iobm/ES<1>.Qiobm/ES<4>.D10.000
iobm/ES<1>.Qiobm/ETACK.D10.000
iobm/ES<1>.QnVMA_IOB.D10.000
iobm/ES<2>.Qiobm/ES<0>.D10.000
iobm/ES<2>.Qiobm/ES<2>.D10.000
iobm/ES<2>.Qiobm/ES<3>.D10.000
iobm/ES<2>.Qiobm/ES<4>.D10.000
iobm/ES<2>.Qiobm/ETACK.D10.000
iobm/ES<2>.QnVMA_IOB.D10.000
iobm/ES<3>.Qiobm/ES<0>.D10.000
iobm/ES<3>.Qiobm/ES<2>.D10.000
iobm/ES<3>.Qiobm/ES<3>.D10.000
iobm/ES<3>.Qiobm/ES<4>.D10.000
iobm/ES<3>.Qiobm/ETACK.D10.000
iobm/ES<3>.QnVMA_IOB.D10.000
iobm/ES<4>.Qiobm/ES<0>.D10.000
iobm/ES<4>.Qiobm/ES<2>.D10.000
iobm/ES<4>.Qiobm/ES<4>.D10.000
iobm/ES<4>.Qiobm/ETACK.D10.000
iobm/ES<4>.QnVMA_IOB.D10.000
iobm/ETACK.Qiobm/IOACT.D10.000
iobm/ETACK.Qiobm/IOBERR.D10.000
iobm/ETACK.Qiobm/IOS_FSM_FFd2.D10.000
iobm/Er2.Qiobm/ES<0>.D10.000
iobm/Er2.Qiobm/ES<1>.D10.000
iobm/Er2.Qiobm/ES<2>.D10.000
iobm/Er2.Qiobm/ES<3>.D10.000
iobm/Er2.Qiobm/ES<4>.D10.000
iobm/IOACT.QnVMA_IOB.D10.000
iobm/IOREQr.Qiobm/ALE0.D10.000
iobm/IOREQr.Qiobm/IOACT.D10.000
iobm/IOREQr.Qiobm/IOS_FSM_FFd3.D10.000
iobm/IOS_FSM_FFd1.Qiobm/ALE0.D10.000
iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd1.D10.000
iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd2.D10.000
iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd3.D10.000
iobm/IOS_FSM_FFd1.QnAS_IOB.D10.000
iobm/IOS_FSM_FFd1.QnDinLE.D10.000
iobm/IOS_FSM_FFd1.QnLDS_IOB.D10.000
iobm/IOS_FSM_FFd1.QnUDS_IOB.D10.000
iobm/IOS_FSM_FFd2.Qiobm/ALE0.D10.000
iobm/IOS_FSM_FFd2.Qiobm/IOACT.D10.000
iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd1.D10.000
iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd2.D10.000
iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd3.D10.000
iobm/IOS_FSM_FFd2.QnAS_IOB.D10.000
iobm/IOS_FSM_FFd2.QnDinLE.D10.000
iobm/IOS_FSM_FFd2.QnDoutOE.D10.000
iobm/IOS_FSM_FFd2.QnLDS_IOB.D10.000
iobm/IOS_FSM_FFd2.QnUDS_IOB.D10.000
iobm/IOS_FSM_FFd3.Qiobm/ALE0.D10.000
iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd1.D10.000
iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd2.D10.000
iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd3.D10.000
iobm/IOS_FSM_FFd3.QnAS_IOB.D10.000
iobm/IOS_FSM_FFd3.QnDoutOE.D10.000
iobm/IOS_FSM_FFd3.QnLDS_IOB.D10.000
iobm/IOS_FSM_FFd3.QnUDS_IOB.D10.000
iobm/RESrf.Qiobm/IOS_FSM_FFd2.D10.000
iobm/RESrr.Qiobm/IOS_FSM_FFd2.D10.000
iobm/VPArf.QnVMA_IOB.D10.000
iobm/VPArr.QnVMA_IOB.D10.000
nAS_IOB.QnAoutOE.D10.000
nAoutOE.Qiobm/ALE0.D10.000
nAoutOE.Qiobm/IOACT.D10.000
nAoutOE.Qiobm/IOS_FSM_FFd3.D10.000
nAoutOE.QnAoutOE.D10.000
nVMA_IOB.Qiobm/ETACK.D10.000
nVMA_IOB.QnVMA_IOB.D10.000

+
+ +

Pad to Pad List

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Source PadDestination PadDelay
A_FSB<12>RA<2>11.000
A_FSB<15>RA<5>11.000
A_FSB<19>RA<9>11.000
A_FSB<20>RA<9>11.000
A_FSB<20>nBERR_FSB11.000
A_FSB<21>RA<8>11.000
A_FSB<21>nBERR_FSB11.000
A_FSB<21>nRAS11.000
A_FSB<22>RA<8>11.000
A_FSB<22>nBERR_FSB11.000
A_FSB<22>nRAS11.000
A_FSB<23>RA<8>11.000
A_FSB<23>nBERR_FSB11.000
A_FSB<23>nRAS11.000
A_FSB<3>RA<2>11.000
A_FSB<6>RA<5>11.000
A_FSB<9>RA<8>11.000
SW<0>CLK20EN11.000
nAS_FSBnOE11.000
nAS_FSBnRAMUWE11.000
nAS_FSBnRAS11.000
nUDS_FSBnRAMUWE11.000
nWE_FSBnOE11.000
nWE_FSBnRAMUWE11.000
A_FSB<10>RA<0>10.000
A_FSB<11>RA<1>10.000
A_FSB<13>RA<3>10.000
A_FSB<14>RA<4>10.000
A_FSB<16>RA<6>10.000
A_FSB<17>RA<7>10.000
A_FSB<18>RA<8>10.000
A_FSB<19>RA<11>10.000
A_FSB<1>RA<0>10.000
A_FSB<20>nDinOE10.000
A_FSB<20>nROMCS10.000
A_FSB<21>RA<10>10.000
A_FSB<21>nDinOE10.000
A_FSB<21>nROMCS10.000
A_FSB<22>nDinOE10.000
A_FSB<22>nROMCS10.000
A_FSB<23>nDinOE10.000
A_FSB<23>nROMCS10.000
A_FSB<2>RA<1>10.000
A_FSB<4>RA<3>10.000
A_FSB<5>RA<4>10.000
A_FSB<7>RA<6>10.000
A_FSB<8>RA<7>10.000
SW<0>CLK25EN10.000
SW<1>nDinOE10.000
SW<1>nROMCS10.000
nAS_FSBnBERR_FSB10.000
nAS_FSBnDinOE10.000
nAS_FSBnRAMLWE10.000
nAS_FSBnROMWE10.000
nAS_FSBnVPA_FSB10.000
nLDS_FSBnRAMLWE10.000
nWE_FSBnDinOE10.000
nWE_FSBnRAMLWE10.000
nWE_FSBnROMWE10.000
+
+
+
Number of paths analyzed: +396 +
Number of Timing errors: +0 +
Analysis Completed: Mon Mar 28 09:47:21 2022 + +
+ diff --git a/cpld/XC95144XL/WarpSE_html/tim/toc.css b/cpld/XC95144XL/WarpSE_html/tim/toc.css new file mode 100644 index 0000000..29af0e1 --- /dev/null +++ b/cpld/XC95144XL/WarpSE_html/tim/toc.css @@ -0,0 +1,36 @@ +.HEADING { + font-size: 15px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #000000; + text-align: normal; + margin-left: 0px; } +.Fitting { + font-size: 11px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #000000; + text-align: normal; + margin-left: 0px; } +.SECONDARY-NAV { + font-size: 11px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #FFFFFF; + margin-left: 0px; + text-decoration: none; ; + list-style-type: disc ; + list-style-position: inside } +.Timing { + font-size: 11px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #333333; + margin-left: 0px; + text-decoration: none; ; + list-style-type: disc ; + list-style-position: inside } +.Timing-Error { + font-size: 11px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #990000; + margin-left: 0px; + text-decoration: none; ; + list-style-type: disc ; + list-style-position: inside } diff --git a/cpld/XC95144XL/WarpSE_html/tim/topnav.htm b/cpld/XC95144XL/WarpSE_html/tim/topnav.htm new file mode 100644 index 0000000..00e11b8 --- /dev/null +++ b/cpld/XC95144XL/WarpSE_html/tim/topnav.htm @@ -0,0 +1,31 @@ + + +CPLD Reports Banner + + + + + + + + + + + + + + +
 
+ + + + +   
+ + + + + + + + diff --git a/cpld/XC95144XL/MXSE_ngdbuild.xrpt b/cpld/XC95144XL/WarpSE_ngdbuild.xrpt similarity index 95% rename from cpld/XC95144XL/MXSE_ngdbuild.xrpt rename to cpld/XC95144XL/WarpSE_ngdbuild.xrpt index 833922b..513d216 100644 --- a/cpld/XC95144XL/MXSE_ngdbuild.xrpt +++ b/cpld/XC95144XL/WarpSE_ngdbuild.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -45,7 +45,7 @@ - + @@ -58,7 +58,7 @@ - + @@ -70,38 +70,38 @@
- - - - + + + + - + - + - - + + - +
- - - - + + + + - + - + - - + + - +
diff --git a/cpld/XC95144XL/MXSE_pad.csv b/cpld/XC95144XL/WarpSE_pad.csv similarity index 95% rename from cpld/XC95144XL/MXSE_pad.csv rename to cpld/XC95144XL/WarpSE_pad.csv index 659a708..aff7a6c 100644 --- a/cpld/XC95144XL/MXSE_pad.csv +++ b/cpld/XC95144XL/WarpSE_pad.csv @@ -1,15 +1,15 @@ Release 8.1i - Fit P.20131013 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved - 3-27-2022 10:08AM + 3-28-2022 9:46AM NOTE: This file is designed to be imported into a spreadsheet program such as Microsoft Excel for viewing, printing and sorting. The comma ',' character is used as the data field separator. This file is also designed to support parsing. -Input file: MXSE.ngd -output file: MXSE_pad.csv +Input file: WarpSE.ngd +output file: WarpSE_pad.csv Part type: xc95144xl Speed grade: -10 Package: tq100 diff --git a/cpld/XC95144XL/WarpSE_summary.html b/cpld/XC95144XL/WarpSE_summary.html new file mode 100644 index 0000000..65742f3 --- /dev/null +++ b/cpld/XC95144XL/WarpSE_summary.html @@ -0,0 +1,81 @@ +Xilinx Design Summary + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
WarpSE Project Status (03/28/2022 - 09:47:24)
Project File:WarpSE.xiseParser Errors: No Errors
Module Name:WarpSEImplementation State:Fitted
Target Device:xc95144xl-10TQ100
  • Errors:
+No Errors
Product Version:ISE 14.7
  • Warnings:
5 Warnings (0 new)
Design Goal:Balanced
  • Routing Results:
Design Strategy:Xilinx Default (unlocked)
  • Timing Constraints:
 
Environment: + +System Settings +
  • Final Timing Score:
  
+ + + + + + + + + + + + 
+ + + + + + + +
Detailed Reports [-]
Report NameStatusGeneratedErrorsWarningsInfos
Synthesis ReportCurrentMon Mar 28 09:45:15 202205 Warnings (0 new)0
Translation ReportCurrentMon Mar 28 09:45:45 2022000
CPLD Fitter Report (Text)CurrentMon Mar 28 09:46:19 202202 Warnings (1 new)3 Infos (3 new)
Power Report     

+ + + +
Secondary Reports [-]
Report NameStatusGenerated
Post-Fit Simulation Model Report  
+ + +
Date Generated: 03/28/2022 - 09:47:24
+ \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE_tim.html b/cpld/XC95144XL/WarpSE_tim.html new file mode 100644 index 0000000..660759c --- /dev/null +++ b/cpld/XC95144XL/WarpSE_tim.html @@ -0,0 +1 @@ +CPLD Timing Report (Text)
CPLD Timing Report (Text)

Mon Mar 28 09:30:32 2022



                           Performance Summary Report
                           --------------------------

Design:     WarpSE
Device:     XC95144XL-10-TQ100
Speed File: Version 3.0
Program:    Timing Report Generator:  version P.20131013
Date:       Mon Mar 28 09:28:06 2022

Timing Constraint Summary:

TS_CLK_IOB=PERIOD:CLK_IOB:142.857nS:HIGH:71.428nS                            N/A
TS_CLK_FSB=PERIOD:CLK_FSB:40.000nS:HIGH:20.000nS                             Met
TS_CLK2X_IOB=PERIOD:CLK2X_IOB:66.666nS:HIGH:33.333nS                         Met

Performance Summary:

Pad to Pad (tPD)                          :         11.0ns (1 macrocell levels)
Pad 'A_FSB<11>' to Pad 'RA<1>'                                    

Clock net 'CLK_IOB' path delays:

Setup to Clock at the Pad (tSU)           :          6.5ns (0 macrocell levels)
Data signal 'E_IOB' to DFF D input Pin at 'iobm/Er.D'
Clock pad 'CLK_IOB'                                                       (GCK)

                          Minimum Clock Period: 9.0ns
                     Maximum Internal Clock Speed: 111.1Mhz
                         (Limited by Clock Pulse Width)

Clock net 'CLK_FSB' path delays:

Clock Pad to Output Pad (tCO)             :         14.5ns (2 macrocell levels)
Clock Pad 'CLK_FSB' to Output Pad 'RA<1>'                                 (GCK)

Clock to Setup (tCYC)                     :         20.1ns (2 macrocell levels)
Clock to Q, net 'cs/nOverlay1.Q' to DFF Setup(D) at 'fsb/VPA.D'           (GCK)
Target FF drives output net 'fsb/VPA'

Setup to Clock at the Pad (tSU)           :         16.6ns (1 macrocell levels)
Data signal 'A_FSB<23>' to DFF D input Pin at 'fsb/VPA.D'
Clock pad 'CLK_FSB'                                                       (GCK)

                          Minimum Clock Period: 20.1ns
                     Maximum Internal Clock Speed: 49.7Mhz
                            (Limited by Cycle Time)

Clock net 'CLK2X_IOB' path delays:

Clock Pad to Output Pad (tCO)             :         14.5ns (2 macrocell levels)
Clock Pad 'CLK2X_IOB' to Output Pad 'nVMA_IOB'                            (GCK)

Clock to Setup (tCYC)                     :         11.0ns (1 macrocell levels)
Clock to Q, net 'iobm/IOS_FSM_FFd3.Q' to DFF Setup(D) at 'IOACT.D'        (GCK)
Target FF drives output net 'IOACT'

Setup to Clock at the Pad (tSU)           :          7.5ns (0 macrocell levels)
Data signal 'CLK_IOB' to DFF D input Pin at 'IOACT.D'
Clock pad 'CLK2X_IOB'                                                     (GCK)

                          Minimum Clock Period: 11.0ns
                     Maximum Internal Clock Speed: 90.9Mhz
                            (Limited by Cycle Time)

--------------------------------------------------------------------------------
                            Pad to Pad (tPD) (nsec)

\ From        A     A     A     A     A     A     A     A     A     A     A
 \            _     _     _     _     _     _     _     _     _     _     _
  \           F     F     F     F     F     F     F     F     F     F     F
   \          S     S     S     S     S     S     S     S     S     S     S
    \         B     B     B     B     B     B     B     B     B     B     B
     \        <     <     <     <     <     <     <     <     <     <     <
      \       1     1     1     1     1     1     1     1     1     1     1
       \      0     1     2     3     4     5     6     7     8     9     >
        \     >     >     >     >     >     >     >     >     >     >      
  To     \------------------------------------------------------------------

CLK20EN                                                                    
CLK25EN                                                                    
RA<0>      10.0                                                        10.0
RA<10>                                                                     
RA<11>                                                           10.0      
RA<1>            11.0                                                      
RA<2>                  11.0                                                
RA<3>                        10.0                                          
RA<4>                              11.0                                    
RA<5>                                    11.0                              
RA<6>                                          10.0                        
RA<7>                                                10.0                  
RA<8>                                                      10.0            
RA<9>                                                            10.0      
nBERR_FSB                                                                  
nDinOE                                                                     
nOE                                                                        
nRAMLWE                                                                    
nRAMUWE                                                                    
nRAS                                                                       
nROMCS                                                                     
nROMWE                                                                     
nVPA_FSB                                                                   

--------------------------------------------------------------------------------
                            Pad to Pad (tPD) (nsec)

\ From        A     A     A     A     A     A     A     A     A     A     A
 \            _     _     _     _     _     _     _     _     _     _     _
  \           F     F     F     F     F     F     F     F     F     F     F
   \          S     S     S     S     S     S     S     S     S     S     S
    \         B     B     B     B     B     B     B     B     B     B     B
     \        <     <     <     <     <     <     <     <     <     <     <
      \       2     2     2     2     2     3     4     5     6     7     8
       \      0     1     2     3     >     >     >     >     >     >     >
        \     >     >     >     >                                          
  To     \------------------------------------------------------------------

CLK20EN                                                                    
CLK25EN                                                                    
RA<0>                                                                      
RA<10>           10.0                                                      
RA<11>                                                                     
RA<1>                              11.0                                    
RA<2>                                    11.0                              
RA<3>                                          10.0                        
RA<4>                                                11.0                  
RA<5>                                                      11.0            
RA<6>                                                            10.0      
RA<7>                                                                  10.0
RA<8>            11.0  11.0  11.0                                          
RA<9>      10.0                                                            
nBERR_FSB  11.0  11.0  11.0  11.0                                          
nDinOE     10.0  10.0  10.0  10.0                                          
nOE                                                                        
nRAMLWE                                                                    
nRAMUWE                                                                    
nRAS             11.0  11.0  11.0                                          
nROMCS     11.0  11.0  11.0  11.0                                          
nROMWE                                                                     
nVPA_FSB                                                                   

--------------------------------------------------------------------------------
                            Pad to Pad (tPD) (nsec)

\ From        A     S     S     n     n     n     n
 \            _     W     W     A     L     U     W
  \           F     <     <     S     D     D     E
   \          S     0     1     _     S     S     _
    \         B     >     >     F     _     _     F
     \        <                 S     F     F     S
      \       9                 B     S     S     B
       \      >                       B     B      
        \                                          
  To     \------------------------------------------

CLK20EN          10.0                              
CLK25EN          10.0                              
RA<0>                                              
RA<10>                                             
RA<11>                                             
RA<1>                                              
RA<2>                                              
RA<3>                                              
RA<4>                                              
RA<5>                                              
RA<6>                                              
RA<7>                                              
RA<8>      11.0                                    
RA<9>                                              
nBERR_FSB                    10.0                  
nDinOE                 10.0  10.0              10.0
nOE                          10.0              10.0
nRAMLWE                      10.0  10.0        10.0
nRAMUWE                      11.0        11.0  11.0
nRAS                         11.0                  
nROMCS                 11.0                        
nROMWE                       10.0              10.0
nVPA_FSB                     10.0                  

--------------------------------------------------------------------------------
                      Clock Pad to Output Pad (tCO) (nsec)

\ From         C     C
 \             L     L
  \            K     K
   \           2     _
    \          X     F
     \         _     S
      \        I     B
       \       O      
        \      B      
         \            
  To      \------------

RA<0>             13.5
RA<1>             14.5
RA<2>             14.5
RA<3>             13.5
RA<4>             14.5
RA<5>             14.5
RA<6>             13.5
RA<7>             13.5
RA<8>             14.5
RA<9>             13.5
nADoutLE0   13.5  13.5
nADoutLE1          5.8
nAS_IOB     14.5      
nAoutOE      5.8      
nBERR_FSB         14.5
nBR_IOB            5.8
nCAS               5.8
nDTACK_FSB         5.8
nDinLE       5.8      
nDoutOE      5.8      
nLDS_IOB    14.5      
nRAMLWE           13.5
nRAMUWE           14.5
nRAS              14.5
nROMCS            14.5
nUDS_IOB    14.5      
nVMA_IOB    14.5      
nVPA_FSB          13.5

--------------------------------------------------------------------------------
                   Setup to Clock at Pad (tSU or tSUF) (nsec)

\ From         C     C     C
 \             L     L     L
  \            K     K     K
   \           2     _     _
    \          X     F     I
     \         _     S     O
      \        I     B     B
       \       O            
        \      B            
         \                  
  To      \------------------

A_FSB<10>          7.9      
A_FSB<11>          7.9      
A_FSB<12>          7.9      
A_FSB<13>          7.9      
A_FSB<14>          7.9      
A_FSB<15>          7.9      
A_FSB<16>          7.9      
A_FSB<17>          7.9      
A_FSB<18>          7.9      
A_FSB<19>          7.9      
A_FSB<20>         15.6      
A_FSB<21>         16.6      
A_FSB<22>         16.6      
A_FSB<23>         16.6      
A_FSB<8>           7.9      
A_FSB<9>           7.9      
CLK_IOB      7.5            
E_IOB                    6.5
SW<1>              7.9      
nAS_FSB           15.6      
nBERR_IOB    7.5            
nBG_IOB      6.5            
nDTACK_IOB   6.5            
nIPL2              6.5      
nLDS_FSB           6.5      
nRES         6.5   6.5      
nUDS_FSB           6.5      
nVPA_IOB     6.5            
nWE_FSB            7.9      

--------------------------------------------------------------------------------
                          Clock to Setup (tCYC) (nsec)
                                (Clock: CLK_FSB)

\ From                 B     I     I     I     R     R     R     R     R     T
 \                     E     O     P     P     E     E     E     E     e     i
  \                    R     R     L     L     S     S     S     S     f     m
   \                   R     W     2     2     D     r     r     r     A     e
    \                  _     0     r     r     o     0     1     2     c     o
     \                 I     .     0     1     n     .     .     .     k     u
      \                O     Q     .     .     e     Q     Q     Q     .     t
       \               B           Q     Q     .                       Q     A
        \              S                       Q                             .
         \             .                                                     Q
          \            Q                                                      
           \                                                                  
            \                                                                 
             \                                                                
              \                                                               
               \                                                              
                \                                                             
                 \                                                            
  To              \------------------------------------------------------------

ALE0S.D                                                                       
BERR_IOBS.D         10.0                                                      
IOL0.CE                                                                       
IOL0.D                                                                        
IOREQ.D                                                                       
IORW0.D                   11.4                                                
IOU0.CE                                                                       
IOU0.D                                                                        
IPL2r1.D                        10.0                                          
RESDone.CE                                        10.0  10.0  10.0            
RESr1.D                                           10.0                        
RESr2.D                                                 10.0                  
RefAck.D                                                                      
TimeoutA.D                                                                10.0
TimeoutB.D                                                                    
cnt/RefCnt<1>.D                                                               
cnt/RefCnt<2>.D                                                               
cnt/RefCnt<3>.D                                                               
cnt/RefCnt<4>.D                                                               
cnt/RefCnt<5>.D                                                               
cnt/RefCnt<6>.D                                                               
cnt/RefCnt<7>.D                                                               
cnt/RefDone.D                                                       10.0      
cnt/TimeoutBPre.D                                                             
cs/nOverlay0.D                                                                
cs/nOverlay1.CE                                                               
cs/nOverlay1.D                                                                
fsb/BERR0r.D                                                                  
fsb/BERR1r.D        10.0                                                      
fsb/Ready0r.D                                                                 
fsb/Ready1r.D                                                                 
fsb/Ready2r.D                                                             11.0
fsb/VPA.D           11.4                                                  11.4
iobs/Clear1.D                                                                 
iobs/IOL1.CE                                                                  
iobs/IORW1.D                                                                  
iobs/IOReady.D                                                                
iobs/IOU1.CE                                                                  
iobs/Load1.D                                                                  
iobs/Once.D                                                                   
iobs/PS_FSM_FFd1.D                                                            
iobs/PS_FSM_FFd2.D                                                            
nADoutLE1.D                                                                   
nBR_IOB.CE                      10.0  10.0  10.0  10.0  10.0  10.0            
nCAS.D                                                                        
nDTACK_FSB.D        11.4                                                  11.4
ram/BACTr.D                                                                   
ram/Once.D                                                                    
ram/RAMDIS1.D                                                                 
ram/RAMDIS2.D                                                                 
ram/RAMReady.D                                                                
ram/RASEL.D                                                                   
ram/RS_FSM_FFd1.D                                                             
ram/RS_FSM_FFd2.D                                                             
ram/RS_FSM_FFd3.D                                                             

--------------------------------------------------------------------------------
                          Clock to Setup (tCYC) (nsec)
                                (Clock: CLK_FSB)

\ From                 T     c     c     c     c     c     c     c     c     c
 \                     i     n     n     n     n     n     n     n     n     n
  \                    m     t     t     t     t     t     t     t     t     t
   \                   e     /     /     /     /     /     /     /     /     /
    \                  o     R     R     R     R     R     R     R     R     R
     \                 u     e     e     e     e     e     e     e     e     e
      \                t     f     f     f     f     f     f     f     f     f
       \               B     C     C     C     C     C     C     C     C     D
        \              .     n     n     n     n     n     n     n     n     o
         \             Q     t     t     t     t     t     t     t     t     n
          \                  <     <     <     <     <     <     <     <     e
           \                 0     1     2     3     4     5     6     7     .
            \                >     >     >     >     >     >     >     >     Q
             \               .     .     .     .     .     .     .     .      
              \              Q     Q     Q     Q     Q     Q     Q     Q      
               \                                                              
                \                                                             
                 \                                                            
  To              \------------------------------------------------------------

ALE0S.D                                                                       
BERR_IOBS.D                                                                   
IOL0.CE                                                                       
IOL0.D                                                                        
IOREQ.D                                                                       
IORW0.D                                                                       
IOU0.CE                                                                       
IOU0.D                                                                        
IPL2r1.D                                                                      
RESDone.CE                                                                    
RESr1.D                                                                       
RESr2.D                                                                       
RefAck.D                                                                      
TimeoutA.D                10.0  10.0  10.0  10.0  10.0  10.0  10.0            
TimeoutB.D          10.0  10.0  10.0  10.0  10.0  10.0  10.0  10.0  10.0      
cnt/RefCnt<1>.D           10.0                                                
cnt/RefCnt<2>.D           10.0  10.0                                          
cnt/RefCnt<3>.D           10.0  10.0  10.0                                    
cnt/RefCnt<4>.D           10.0  10.0  10.0  10.0                              
cnt/RefCnt<5>.D           10.0  10.0  10.0  10.0  10.0                        
cnt/RefCnt<6>.D           10.0  10.0  10.0  10.0  10.0  10.0                  
cnt/RefCnt<7>.D           10.0  10.0  10.0  10.0  10.0  10.0  10.0            
cnt/RefDone.D             10.0  10.0  10.0  10.0  10.0  10.0  10.0  10.0  10.0
cnt/TimeoutBPre.D         10.0  10.0  10.0  10.0  10.0  10.0  10.0  10.0      
cs/nOverlay0.D                                                                
cs/nOverlay1.CE                                                               
cs/nOverlay1.D                                                                
fsb/BERR0r.D        10.0                                                      
fsb/BERR1r.D                                                                  
fsb/Ready0r.D                                                                 
fsb/Ready1r.D                                                                 
fsb/Ready2r.D                                                                 
fsb/VPA.D           19.1                                                      
iobs/Clear1.D                                                                 
iobs/IOL1.CE                                                                  
iobs/IORW1.D                                                                  
iobs/IOReady.D                                                                
iobs/IOU1.CE                                                                  
iobs/Load1.D                                                                  
iobs/Once.D                                                                   
iobs/PS_FSM_FFd1.D                                                            
iobs/PS_FSM_FFd2.D                                                            
nADoutLE1.D                                                                   
nBR_IOB.CE                                                                    
nCAS.D                                                                        
nDTACK_FSB.D        19.1                                                      
ram/BACTr.D                                                                   
ram/Once.D                                                                    
ram/RAMDIS1.D                                           11.4  11.4  11.4  11.4
ram/RAMDIS2.D                                           11.0  11.0  11.0  11.0
ram/RAMReady.D                                          11.4  11.4  11.4  11.4
ram/RASEL.D                                             11.4  11.4  11.4  11.4
ram/RS_FSM_FFd1.D                                                             
ram/RS_FSM_FFd2.D                                       11.4  11.4  11.4  11.4
ram/RS_FSM_FFd3.D                                       11.0  11.0  11.0  11.0

--------------------------------------------------------------------------------
                          Clock to Setup (tCYC) (nsec)
                                (Clock: CLK_FSB)

\ From                 c     c     c     f     f     f     f     f     f     f
 \                     n     s     s     s     s     s     s     s     s     s
  \                    t     /     /     b     b     b     b     b     b     b
   \                   /     n     n     /     /     /     /     /     /     /
    \                  T     O     O     A     B     B     R     R     R     V
     \                 i     v     v     S     E     E     e     e     e     P
      \                m     e     e     r     R     R     a     a     a     A
       \               e     r     r     f     R     R     d     d     d     .
        \              o     l     l     .     0     1     y     y     y     Q
         \             u     a     a     Q     r     r     0     1     2      
          \            t     y     y           .     .     r     r     r      
           \           B     0     1           Q     Q     .     .     .      
            \          P     .     .                       Q     Q     Q      
             \         r     Q     Q                                          
              \        e                                                      
               \       .                                                      
                \      Q                                                      
                 \                                                            
  To              \------------------------------------------------------------

ALE0S.D                                                                       
BERR_IOBS.D                           10.0                                    
IOL0.CE                                                                       
IOL0.D                                                                        
IOREQ.D                         11.0  10.0                                    
IORW0.D                         10.0  11.0                                    
IOU0.CE                                                                       
IOU0.D                                                                        
IPL2r1.D                                                                      
RESDone.CE                                                                    
RESr1.D                                                                       
RESr2.D                                                                       
RefAck.D                                                                      
TimeoutA.D                            10.0                                    
TimeoutB.D          10.0              10.0                                    
cnt/RefCnt<1>.D                                                               
cnt/RefCnt<2>.D                                                               
cnt/RefCnt<3>.D                                                               
cnt/RefCnt<4>.D                                                               
cnt/RefCnt<5>.D                                                               
cnt/RefCnt<6>.D                                                               
cnt/RefCnt<7>.D                                                               
cnt/RefDone.D                                                                 
cnt/TimeoutBPre.D   10.0              10.0                                    
cs/nOverlay0.D            10.0        10.0                                    
cs/nOverlay1.CE                       10.0                                    
cs/nOverlay1.D            10.0                                                
fsb/BERR0r.D                          10.0  10.0                              
fsb/BERR1r.D                          10.0        10.0                        
fsb/Ready0r.D                   10.0  10.0              10.0                  
fsb/Ready1r.D                   11.0  10.0                    11.0            
fsb/Ready2r.D                   11.0  11.0                          11.0      
fsb/VPA.D                       20.1  19.1  11.4  11.4  20.1  11.4  11.4  11.4
iobs/Clear1.D                                                                 
iobs/IOL1.CE                                                                  
iobs/IORW1.D                    11.4  11.0                                    
iobs/IOReady.D                        10.0                                    
iobs/IOU1.CE                                                                  
iobs/Load1.D                    11.0  10.0                                    
iobs/Once.D                     11.4  10.0                                    
iobs/PS_FSM_FFd1.D                                                            
iobs/PS_FSM_FFd2.D              11.0  10.0                                    
nADoutLE1.D                                                                   
nBR_IOB.CE                                                                    
nCAS.D                                                                        
nDTACK_FSB.D                    20.1  11.0  11.4  11.4  20.1  11.4  11.4      
ram/BACTr.D                           10.0                                    
ram/Once.D                      10.0  10.0                                    
ram/RAMDIS1.D                   11.4  11.0                                    
ram/RAMDIS2.D                   11.0  11.0                                    
ram/RAMReady.D                  11.0  11.0                                    
ram/RASEL.D                     11.4  11.4                                    
ram/RS_FSM_FFd1.D               10.0  10.0                                    
ram/RS_FSM_FFd2.D               11.4  11.4                                    
ram/RS_FSM_FFd3.D               11.0  11.0                                    

--------------------------------------------------------------------------------
                          Clock to Setup (tCYC) (nsec)
                                (Clock: CLK_FSB)

\ From                 i     i     i     i     i     i     i     i     i     i
 \                     o     o     o     o     o     o     o     o     o     o
  \                    b     b     b     b     b     b     b     b     b     b
   \                   s     s     s     s     s     s     s     s     s     s
    \                  /     /     /     /     /     /     /     /     /     /
     \                 C     I     I     I     I     I     L     O     P     P
      \                l     O     O     O     O     O     o     n     S     S
       \               e     A     L     R     R     U     a     c     _     _
        \              a     C     1     W     e     1     d     e     F     F
         \             r     T     .     1     a     .     1     .     S     S
          \            1     r     Q     .     d     Q     .     Q     M     M
           \           .     .           Q     y           Q           _     _
            \          Q     Q                 .                       F     F
             \                                 Q                       F     F
              \                                                        d     d
               \                                                       1     2
                \                                                      .     .
                 \                                                     Q     Q
  To              \------------------------------------------------------------

ALE0S.D                                                             10.0  10.0
BERR_IOBS.D               10.0                                10.0        10.0
IOL0.CE                                                             10.0  10.0
IOL0.D                          10.0                                          
IOREQ.D                   10.0                                10.0  10.0  11.0
IORW0.D                               11.0                    11.4  11.4  11.4
IOU0.CE                                                             10.0  10.0
IOU0.D                                            10.0                        
IPL2r1.D                                                                      
RESDone.CE                                                                    
RESr1.D                                                                       
RESr2.D                                                                       
RefAck.D                                                                      
TimeoutA.D                                                                    
TimeoutB.D                                                                    
cnt/RefCnt<1>.D                                                               
cnt/RefCnt<2>.D                                                               
cnt/RefCnt<3>.D                                                               
cnt/RefCnt<4>.D                                                               
cnt/RefCnt<5>.D                                                               
cnt/RefCnt<6>.D                                                               
cnt/RefCnt<7>.D                                                               
cnt/RefDone.D                                                                 
cnt/TimeoutBPre.D                                                             
cs/nOverlay0.D                                                                
cs/nOverlay1.CE                                                               
cs/nOverlay1.D                                                                
fsb/BERR0r.D                                                                  
fsb/BERR1r.D                                                                  
fsb/Ready0r.D                                                                 
fsb/Ready1r.D                               11.0                              
fsb/Ready2r.D                                                                 
fsb/VPA.D                                   11.4                              
iobs/Clear1.D                                                       10.0  10.0
iobs/IOL1.CE                                            10.0                  
iobs/IORW1.D                          10.0                    10.0  11.0  11.0
iobs/IOReady.D            10.0              10.0              10.0        10.0
iobs/IOU1.CE                                            10.0                  
iobs/Load1.D                                                  10.0  10.0  10.0
iobs/Once.D                                                   11.4  11.0  10.0
iobs/PS_FSM_FFd1.D        10.0                                      10.0  10.0
iobs/PS_FSM_FFd2.D        10.0                                10.0  11.0  11.0
nADoutLE1.D         10.0                                10.0                  
nBR_IOB.CE                                                                    
nCAS.D                                                                        
nDTACK_FSB.D                                11.4                              
ram/BACTr.D                                                                   
ram/Once.D                                                                    
ram/RAMDIS1.D                                                                 
ram/RAMDIS2.D                                                                 
ram/RAMReady.D                                                                
ram/RASEL.D                                                                   
ram/RS_FSM_FFd1.D                                                             
ram/RS_FSM_FFd2.D                                                             
ram/RS_FSM_FFd3.D                                                             

--------------------------------------------------------------------------------
                          Clock to Setup (tCYC) (nsec)
                                (Clock: CLK_FSB)

\ From                 n     n     n     r     r     r     r     r     r     r
 \                     A     B     D     a     a     a     a     a     a     a
  \                    D     R     T     m     m     m     m     m     m     m
   \                   o     _     A     /     /     /     /     /     /     /
    \                  u     I     C     B     O     R     R     R     R     R
     \                 t     O     K     A     n     A     A     A     S     S
      \                L     B     _     C     c     M     M     S     _     _
       \               E     .     F     T     e     D     R     E     F     F
        \              1     Q     S     r     .     I     e     L     S     S
         \             .           B     .     Q     S     a     .     M     M
          \            Q           .     Q           2     d     Q     _     _
           \                       Q                 .     y           F     F
            \                                        Q     .           F     F
             \                                             Q           d     d
              \                                                        1     2
               \                                                       .     .
                \                                                      Q     Q
                 \                                                            
  To              \------------------------------------------------------------

ALE0S.D                                                                       
BERR_IOBS.D         10.0                                                      
IOL0.CE                                                                       
IOL0.D              10.0                                                      
IOREQ.D             11.0                                                      
IORW0.D             11.4                                                      
IOU0.CE                                                                       
IOU0.D              10.0                                                      
IPL2r1.D                                                                      
RESDone.CE                                                                    
RESr1.D                                                                       
RESr2.D                                                                       
RefAck.D                                                            10.0  10.0
TimeoutA.D                                                                    
TimeoutB.D                                                                    
cnt/RefCnt<1>.D                                                               
cnt/RefCnt<2>.D                                                               
cnt/RefCnt<3>.D                                                               
cnt/RefCnt<4>.D                                                               
cnt/RefCnt<5>.D                                                               
cnt/RefCnt<6>.D                                                               
cnt/RefCnt<7>.D                                                               
cnt/RefDone.D                                                                 
cnt/TimeoutBPre.D                                                             
cs/nOverlay0.D                                                                
cs/nOverlay1.CE                                                               
cs/nOverlay1.D                                                                
fsb/BERR0r.D                                                                  
fsb/BERR1r.D                                                                  
fsb/Ready0r.D                                           10.0                  
fsb/Ready1r.D       11.0                                                      
fsb/Ready2r.D                                                                 
fsb/VPA.D           11.0  11.4                          20.1                  
iobs/Clear1.D       10.0                                                      
iobs/IOL1.CE                                                                  
iobs/IORW1.D        10.0                                                      
iobs/IOReady.D      10.0                                                      
iobs/IOU1.CE                                                                  
iobs/Load1.D        10.0                                                      
iobs/Once.D         11.0                                                      
iobs/PS_FSM_FFd1.D                                                            
iobs/PS_FSM_FFd2.D  11.0                                                      
nADoutLE1.D         10.0                                                      
nBR_IOB.CE                                                                    
nCAS.D                                                        10.0            
nDTACK_FSB.D        11.0  11.4  11.4                    20.1                  
ram/BACTr.D                                                                   
ram/Once.D                                  10.0                    10.0  10.0
ram/RAMDIS1.D                         11.0  11.0                    11.4  11.0
ram/RAMDIS2.D                               11.0  11.0              11.0  11.0
ram/RAMReady.D                        11.0  11.0                    11.0  11.0
ram/RASEL.D                           11.0  11.4                    11.4  11.4
ram/RS_FSM_FFd1.D                           10.0                    10.0  10.0
ram/RS_FSM_FFd2.D                     11.0                          11.4  11.4
ram/RS_FSM_FFd3.D                           10.0                    11.0  11.0

--------------------------------------------------------------------------------
                          Clock to Setup (tCYC) (nsec)
                                (Clock: CLK_FSB)

\ From                 r
 \                     a
  \                    m
   \                   /
    \                  R
     \                 S
      \                _
       \               F
        \              S
         \             M
          \            _
           \           F
            \          F
             \         d
              \        3
               \       .
                \      Q
                 \      
  To              \------

ALE0S.D                 
BERR_IOBS.D             
IOL0.CE                 
IOL0.D                  
IOREQ.D                 
IORW0.D                 
IOU0.CE                 
IOU0.D                  
IPL2r1.D                
RESDone.CE              
RESr1.D                 
RESr2.D                 
RefAck.D                
TimeoutA.D              
TimeoutB.D              
cnt/RefCnt<1>.D         
cnt/RefCnt<2>.D         
cnt/RefCnt<3>.D         
cnt/RefCnt<4>.D         
cnt/RefCnt<5>.D         
cnt/RefCnt<6>.D         
cnt/RefCnt<7>.D         
cnt/RefDone.D           
cnt/TimeoutBPre.D       
cs/nOverlay0.D          
cs/nOverlay1.CE         
cs/nOverlay1.D          
fsb/BERR0r.D            
fsb/BERR1r.D            
fsb/Ready0r.D           
fsb/Ready1r.D           
fsb/Ready2r.D           
fsb/VPA.D               
iobs/Clear1.D           
iobs/IOL1.CE            
iobs/IORW1.D            
iobs/IOReady.D          
iobs/IOU1.CE            
iobs/Load1.D            
iobs/Once.D             
iobs/PS_FSM_FFd1.D      
iobs/PS_FSM_FFd2.D      
nADoutLE1.D             
nBR_IOB.CE              
nCAS.D                  
nDTACK_FSB.D            
ram/BACTr.D             
ram/Once.D          10.0
ram/RAMDIS1.D       11.0
ram/RAMDIS2.D       11.0
ram/RAMReady.D      11.0
ram/RASEL.D         11.0
ram/RS_FSM_FFd1.D   10.0
ram/RS_FSM_FFd2.D   11.4
ram/RS_FSM_FFd3.D   11.0

--------------------------------------------------------------------------------
                          Clock to Setup (tCYC) (nsec)
                               (Clock: CLK2X_IOB)

\ From                  I     I     i     i     i     i     i     i     i     i
 \                      O     O     o     o     o     o     o     o     o     o
  \                     A     B     b     b     b     b     b     b     b     b
   \                    C     E     m     m     m     m     m     m     m     m
    \                   T     R     /     /     /     /     /     /     /     /
     \                  .     R     B     B     B     B     D     D     E     E
      \                 Q     .     E     E     G     G     T     T     S     S
       \                      Q     R     R     r     r     A     A     <     <
        \                           R     R     0     1     C     C     0     1
         \                          r     r     .     .     K     K     >     >
          \                         f     r     Q     Q     r     r     .     .
           \                        .     .                 f     r     Q     Q
            \                       Q     Q                 .     .            
             \                                              Q     Q            
              \                                                                
               \                                                               
                \                                                              
                 \                                                             
                  \                                                            
  To               \------------------------------------------------------------

ALE0M.D                                                                        
IOACT.D                          10.0  10.0              11.0  11.0            
IOBERR.D                   11.0  11.0  11.0              11.0  11.0            
iobm/BGr1.D                                  10.0                              
iobm/ES<0>.D                                                         10.0  10.0
iobm/ES<1>.D                                                         10.0  10.0
iobm/ES<2>.D                                                         10.0  10.0
iobm/ES<3>.D                                                         10.0  10.0
iobm/ES<4>.D                                                         10.0  10.0
iobm/ETACK.D                                                         10.0  10.0
iobm/IOS_FSM_FFd1.D                                                            
iobm/IOS_FSM_FFd2.D              10.0  10.0              10.0  10.0            
iobm/IOS_FSM_FFd3.D                                                            
nAS_IOB.D                                                                      
nAoutOE.D                                    10.0  10.0                        
nDinLE.D                                                                       
nDoutOE.D                                                                      
nLDS_IOB.D                                                                     
nUDS_IOB.D                                                                     
nVMA_IOB.D           10.0                                            10.0  10.0

--------------------------------------------------------------------------------
                          Clock to Setup (tCYC) (nsec)
                               (Clock: CLK2X_IOB)

\ From                  i     i     i     i     i     i     i     i     i     i
 \                      o     o     o     o     o     o     o     o     o     o
  \                     b     b     b     b     b     b     b     b     b     b
   \                    m     m     m     m     m     m     m     m     m     m
    \                   /     /     /     /     /     /     /     /     /     /
     \                  E     E     E     E     E     I     I     I     I     R
      \                 S     S     S     T     r     O     O     O     O     E
       \                <     <     <     A     2     R     S     S     S     S
        \               2     3     4     C     .     E     _     _     _     r
         \              >     >     >     K     Q     Q     F     F     F     f
          \             .     .     .     .           r     S     S     S     .
           \            Q     Q     Q     Q           .     M     M     M     Q
            \                                         Q     _     _     _      
             \                                              F     F     F      
              \                                             F     F     F      
               \                                            d     d     d      
                \                                           1     2     3      
                 \                                          .     .     .      
                  \                                         Q     Q     Q      
  To               \------------------------------------------------------------

ALE0M.D                                            10.0  10.0  10.0  10.0      
IOACT.D                                10.0        10.0  11.0  10.0  11.0  11.0
IOBERR.D                               10.0              11.0  11.0  11.0  11.0
iobm/BGr1.D                                                                    
iobm/ES<0>.D         10.0  10.0  10.0        10.0                              
iobm/ES<1>.D                                 10.0                              
iobm/ES<2>.D         10.0  10.0  10.0        10.0                              
iobm/ES<3>.D         10.0  10.0              10.0                              
iobm/ES<4>.D         10.0  10.0  10.0        10.0                              
iobm/ETACK.D         10.0  10.0  10.0                                          
iobm/IOS_FSM_FFd1.D                                      10.0  10.0  10.0      
iobm/IOS_FSM_FFd2.D                    10.0              10.0  10.0  10.0  10.0
iobm/IOS_FSM_FFd3.D                                10.0  10.0  10.0  10.0      
nAS_IOB.D                                                10.0  10.0  10.0      
nAoutOE.D                                                                      
nDinLE.D                                                 10.0  10.0            
nDoutOE.D                                                      10.0  10.0      
nLDS_IOB.D                                               10.0  10.0  10.0      
nUDS_IOB.D                                               10.0  10.0  10.0      
nVMA_IOB.D           10.0  10.0  10.0                                          

--------------------------------------------------------------------------------
                          Clock to Setup (tCYC) (nsec)
                               (Clock: CLK2X_IOB)

\ From                  i     i     i     n     n     n
 \                      o     o     o     A     A     V
  \                     b     b     b     S     o     M
   \                    m     m     m     _     u     A
    \                   /     /     /     I     t     _
     \                  R     V     V     O     O     I
      \                 E     P     P     B     E     O
       \                S     A     A     .     .     B
        \               r     r     r     Q     Q     .
         \              r     f     r                 Q
          \             .     .     .                  
           \            Q     Q     Q                  
            \                                          
             \                                         
              \                                        
               \                                       
                \                                      
                 \                                     
                  \                                    
  To               \------------------------------------

ALE0M.D                                      10.0      
IOACT.D              11.0                    10.0      
IOBERR.D             11.0                              
iobm/BGr1.D                                            
iobm/ES<0>.D                                           
iobm/ES<1>.D                                           
iobm/ES<2>.D                                           
iobm/ES<3>.D                                           
iobm/ES<4>.D                                           
iobm/ETACK.D                                       10.0
iobm/IOS_FSM_FFd1.D                                    
iobm/IOS_FSM_FFd2.D  10.0                              
iobm/IOS_FSM_FFd3.D                          10.0      
nAS_IOB.D                                              
nAoutOE.D                              10.0  10.0      
nDinLE.D                                               
nDoutOE.D                                              
nLDS_IOB.D                                             
nUDS_IOB.D                                             
nVMA_IOB.D                 10.0  10.0              10.0

Path Type Definition: 

Pad to Pad (tPD) -                        Reports pad to pad paths that start 
                                          at input pads and end at output pads. 
                                          Paths are not traced through 
                                          registers. 

Clock Pad to Output Pad (tCO) -           Reports paths that start at input 
                                          pads trace through clock inputs of 
                                          registers and end at output pads. 
                                          Paths are not traced through PRE/CLR 
                                          inputs of registers. 

Setup to Clock at Pad (tSU or tSUF) -     Reports external setup time of data 
                                          to clock at pad. Data path starts at 
                                          an input pad and ends at register 
                                          (Fast Input Register for tSUF) D/T 
                                          input. Clock path starts at input pad 
                                          and ends at the register clock input. 
                                          Paths are not traced through 
                                          registers. Pin-to-pin setup 
                                          requirement is not reported or 
                                          guaranteed for product-term clocks 
                                          derived from macrocell feedback 
                                          signals. 

Clock to Setup (tCYC) -                   Register to register cycle time. 
                                          Include source register tCO and 
                                          destination register tSU. Note that 
                                          when the computed Maximum Clock Speed 
                                          is limited by tCYC it is computed 
                                          assuming that all registers are 
                                          rising-edge sensitive. 

\ No newline at end of file diff --git a/cpld/XC95144XL/MXSE_xst.xrpt b/cpld/XC95144XL/WarpSE_xst.xrpt similarity index 87% rename from cpld/XC95144XL/MXSE_xst.xrpt rename to cpld/XC95144XL/WarpSE_xst.xrpt index d261941..7a00b13 100644 --- a/cpld/XC95144XL/MXSE_xst.xrpt +++ b/cpld/XC95144XL/WarpSE_xst.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -45,7 +45,7 @@ - + @@ -54,16 +54,16 @@
- + - + - + - + - + @@ -101,24 +101,24 @@
- - + + - +
- - - - - - - - + + + + + + + + diff --git a/cpld/XC95144XL/_impact.cmd b/cpld/XC95144XL/_impact.cmd deleted file mode 100644 index 3e5d201..0000000 --- a/cpld/XC95144XL/_impact.cmd +++ /dev/null @@ -1,41 +0,0 @@ -setMode -bs -setMode -bs -setMode -bs -setMode -bs -setCable -port svf -file "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.svf" -addDevice -p 1 -file "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.jed" -Erase -p 1 -Program -p 1 -e -v -setMode -bs -setMode -bs -setMode -ss -setMode -sm -setMode -hw140 -setMode -spi -setMode -acecf -setMode -acempm -setMode -pff -setMode -bs -saveProjectFile -file "\\192.168.64.1\Repos\Warp-SE\cpld\\auto_project.ipf" -setMode -bs -setMode -bs -setMode -ss -setMode -sm -setMode -hw140 -setMode -spi -setMode -acecf -setMode -acempm -setMode -pff -setMode -bs -saveProjectFile -file "\\192.168.64.1\Repos\Warp-SE\cpld\\auto_project.ipf" -setMode -bs -setMode -bs -deleteDevice -position 1 -setMode -bs -setMode -ss -setMode -sm -setMode -hw140 -setMode -spi -setMode -acecf -setMode -acempm -setMode -pff diff --git a/cpld/XC95144XL/_impact.log b/cpld/XC95144XL/_impact.log deleted file mode 100644 index 8480969..0000000 --- a/cpld/XC95144XL/_impact.log +++ /dev/null @@ -1,77 +0,0 @@ -iMPACT Version: 14.7 - -iMPACT log file Started on Sun Mar 27 10:19:44 2022 - -Welcome to iMPACT -iMPACT Version: 14.7 -Project: \\192.168.64.1\Repos\Warp-SE\cpld\\auto_project.ipf created. -// *** BATCH CMD : setMode -bs -// *** BATCH CMD : setCable -port svf -file"//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.svf" -// *** BATCH CMD : addDevice -p 1 -file"//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.jed" -'1': Loading file '//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.jed' ... -done. -INFO:iMPACT:1777 - - Reading C:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.bsd... -INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. ----------------------------------------------------------------------- -INFO:iMPACT - Current time: 3/27/2022 10:20:16 AM -WARNING:iMPACT - Warning: SVF Programming of 9500 series CPLDs is not supported by every third-party boundary-scan tool provider. Please check for support before using this SVF file to program a 9500 series device. -// *** BATCH CMD : Erase -p 1 - -'1': Erasing device... -'1': Erasure completed successfully. -PROGRESS_START - Starting Operation. -PROGRESS_END - End Operation. -Elapsed time = 0 sec. -INFO:iMPACT - Current time: 3/27/2022 10:20:29 AM -WARNING:iMPACT - Warning: SVF Programming of 9500 series CPLDs is not supported by every third-party boundary-scan tool provider. Please check for support before using this SVF file to program a 9500 series device. -// *** BATCH CMD : Program -p 1 -e -v - -'1': Erasing device... -'1': Erasure completed successfully. -'1': Programming device... -PROGRESS_START - Starting Operation. -done. -'1': Putting device in ISP mode...done. -'1': Putting device in ISP mode...done. -'1': Verifying device...done. -'1': Verification completed successfully. -'1': Putting device in ISP mode...done. -'1': Programming completed successfully. -PROGRESS_END - End Operation. -Elapsed time = 3 sec. -// *** BATCH CMD : setMode -bs -// *** BATCH CMD : setMode -ss -// *** BATCH CMD : setMode -sm -// *** BATCH CMD : setMode -hw140 -// *** BATCH CMD : setMode -spi -// *** BATCH CMD : setMode -acecf -// *** BATCH CMD : setMode -acempm -// *** BATCH CMD : setMode -pff -// *** BATCH CMD : setMode -bs -// *** BATCH CMD : saveProjectFile -file"\\192.168.64.1\Repos\Warp-SE\cpld\\auto_project.ipf" -Backup of auto project '\\192.168.64.1\Repos\Warp-SE\cpld\\auto_project_1.ipf'created. -Project: '\\192.168.64.1\Repos\Warp-SE\cpld\\auto_project.ipf' created. -// *** BATCH CMD : setMode -bs -// *** BATCH CMD : setMode -ss -// *** BATCH CMD : setMode -sm -// *** BATCH CMD : setMode -hw140 -// *** BATCH CMD : setMode -spi -// *** BATCH CMD : setMode -acecf -// *** BATCH CMD : setMode -acempm -// *** BATCH CMD : setMode -pff -// *** BATCH CMD : setMode -bs -// *** BATCH CMD : saveProjectFile -file"\\192.168.64.1\Repos\Warp-SE\cpld\\auto_project.ipf" -// *** BATCH CMD : setMode -bs -INFO:iMPACT - Launching WebTalk, please refer to the webtalk log at \\192.168.64.1\Repos\Warp-SE\cpld\webtalk.log for details. -INFO:iMPACT - Running wbtc successfully. -// *** BATCH CMD : setMode -bs -// *** BATCH CMD : deleteDevice -position 1 -// *** BATCH CMD : setMode -bs -// *** BATCH CMD : setMode -ss -// *** BATCH CMD : setMode -sm -// *** BATCH CMD : setMode -hw140 -// *** BATCH CMD : setMode -spi -// *** BATCH CMD : setMode -acecf -// *** BATCH CMD : setMode -acempm -// *** BATCH CMD : setMode -pff diff --git a/cpld/XC95144XL/_ngo/netlist.lst b/cpld/XC95144XL/_ngo/netlist.lst index 0a665c5..1fee18a 100644 --- a/cpld/XC95144XL/_ngo/netlist.lst +++ b/cpld/XC95144XL/_ngo/netlist.lst @@ -1,2 +1,2 @@ -\\192.168.64.1\Repos\Warp-SE\cpld\XC95144XL\MXSE.ngc 1648390021 +Z:\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1648475108 OK diff --git a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs index 7efb20c..4b77844 100644 --- a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs +++ b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs @@ -5,7 +5,7 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> -Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'MXSE.ise'. +Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'. Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. diff --git a/cpld/XC95144XL/_xmsgs/hprep6.xmsgs b/cpld/XC95144XL/_xmsgs/hprep6.xmsgs deleted file mode 100644 index c42b14a..0000000 --- a/cpld/XC95144XL/_xmsgs/hprep6.xmsgs +++ /dev/null @@ -1,9 +0,0 @@ - - - - - diff --git a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs b/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs index 2b18975..d77c5ee 100644 --- a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs +++ b/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs @@ -8,7 +8,25 @@ -Analyzing Verilog file "//192.168.64.1/Repos/Warp-SE/cpld/MXSE.v" into library work +Analyzing Verilog file "Z:/Warp-SE/cpld/CNT.v" into library work + + +Analyzing Verilog file "Z:/Warp-SE/cpld/CS.v" into library work + + +Analyzing Verilog file "Z:/Warp-SE/cpld/FSB.v" into library work + + +Analyzing Verilog file "Z:/Warp-SE/cpld/IOBM.v" into library work + + +Analyzing Verilog file "Z:/Warp-SE/cpld/IOBS.v" into library work + + +Analyzing Verilog file "Z:/Warp-SE/cpld/RAM.v" into library work + + +Analyzing Verilog file "Z:/Warp-SE/cpld/WarpSE.v" into library work diff --git a/cpld/XC95144XL/_xmsgs/xst.xmsgs b/cpld/XC95144XL/_xmsgs/xst.xmsgs index 78dca83..0a93d02 100644 --- a/cpld/XC95144XL/_xmsgs/xst.xmsgs +++ b/cpld/XC95144XL/_xmsgs/xst.xmsgs @@ -16,11 +16,11 @@ You should achieve better results by setting this init to 1. -The value init of the FF/Latch RESDone hinder the constant cleaning in the block MXSE. +The value init of the FF/Latch RESDone hinder the constant cleaning in the block WarpSE. You should achieve better results by setting this init to 1. -The value init of the FF/Latch Disable hinder the constant cleaning in the block MXSE. +The value init of the FF/Latch Disable hinder the constant cleaning in the block WarpSE. You should achieve better results by setting this init to 1. diff --git a/cpld/XC95144XL/iseconfig/MXSE.xreport b/cpld/XC95144XL/iseconfig/MXSE.xreport index 45d4fe8..5de4388 100644 --- a/cpld/XC95144XL/iseconfig/MXSE.xreport +++ b/cpld/XC95144XL/iseconfig/MXSE.xreport @@ -1,33 +1,33 @@
- 2022-03-27T09:50:01 + 2022-03-28T09:27:54 MXSE - 2022-03-27T09:48:59 - //192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/iseconfig/MXSE.xreport - //192.168.64.1/Repos/Warp-SE/cpld/XC95144XL\ - 2022-02-07T03:25:12 + 2022-03-28T09:27:01 + Z:/Warp-SE/cpld/XC95144XL/iseconfig/MXSE.xreport + Z:/Warp-SE/cpld/XC95144XL\ + 2022-03-28T09:18:55 false
- + - @@ -54,7 +54,7 @@ - diff --git a/cpld/XC95144XL/iseconfig/WarpSE.projectmgr b/cpld/XC95144XL/iseconfig/WarpSE.projectmgr new file mode 100644 index 0000000..9af9f47 --- /dev/null +++ b/cpld/XC95144XL/iseconfig/WarpSE.projectmgr @@ -0,0 +1,88 @@ + + + + + + + + + 2 + /MXSE Z:|Warp-SE|cpld|WarpSE.v + + + WarpSE (Z:/Warp-SE/cpld/WarpSE.v) + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000d2000000020000000000000000000000000200000064ffffffff000000810000000300000002000000d20000000100000003000000000000000100000003 + true + WarpSE (Z:/Warp-SE/cpld/WarpSE.v) + + + + 1 + Implement Design/Synthesize - XST + User Constraints + + + Generate Timing + + 7 + 0 + 000000ff0000000000000001000000010000000000000000000000000000000000000000000000012b000000010000000100000000000000000000000064ffffffff0000008100000000000000010000012b0000000100000000 + false + Generate Timing + + + + 1 + + + 0 + 0 + 000000ff000000000000000100000000000000000100000000000000000000000000000000000002b3000000040101000100000000000000000000000064ffffffff0000008100000000000000040000004900000001000000000000009d0000000100000000000000660000000100000000000001670000000100000000 + false + CNT.v + + + + 1 + work + + + 0 + 0 + 000000ff00000000000000010000000000000000010000000000000000000000000000000000000109000000010001000100000000000000000000000064ffffffff000000810000000000000001000001090000000100000000 + false + work + + + + 1 + User Constraints + + + + + 0 + 0 + 000000ff0000000000000001000000010000000000000000000000000000000000000000000000010c000000010000000100000000000000000000000064ffffffff0000008100000000000000010000010c0000000100000000 + false + + + + + 1 + + + Design Utilities + + 0 + 0 + 000000ff0000000000000001000000010000000000000000000000000000000000000000000000010c000000010000000100000000000000000000000064ffffffff0000008100000000000000010000010c0000000100000000 + false + Design Utilities + + 000000ff00000000000000020000011b0000011b01000000050100000002 + Implementation + diff --git a/cpld/XC95144XL/iseconfig/MXSE 2.xreport b/cpld/XC95144XL/iseconfig/WarpSE.xreport similarity index 78% rename from cpld/XC95144XL/iseconfig/MXSE 2.xreport rename to cpld/XC95144XL/iseconfig/WarpSE.xreport index 6bba386..0803afd 100644 --- a/cpld/XC95144XL/iseconfig/MXSE 2.xreport +++ b/cpld/XC95144XL/iseconfig/WarpSE.xreport @@ -1,33 +1,33 @@
- 2021-12-11T06:56:18 - MXSE - 2021-10-23T06:51:21 - C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/MXSE.xreport - C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL\ - 2021-10-07T05:05:00 + 2022-03-28T09:36:17 + WarpSE + Unknown + Z:/Warp-SE/cpld/XC95144XL/iseconfig/WarpSE.xreport + Z:/Warp-SE/cpld/XC95144XL\ + 2022-03-28T09:29:43 false
- + - @@ -54,7 +54,7 @@ - diff --git a/cpld/XC95144XL/webtalk_pn.xml b/cpld/XC95144XL/webtalk_pn.xml index 120e65b..461191f 100644 --- a/cpld/XC95144XL/webtalk_pn.xml +++ b/cpld/XC95144XL/webtalk_pn.xml @@ -3,12 +3,12 @@ - +
- + - - + +
@@ -18,14 +18,19 @@ This means code written to parse this file will need to be revisited each subseq + - - + + + + + + diff --git a/cpld/XC95144XL/xlnx_auto_0_xdb/cst 2.xbcd b/cpld/XC95144XL/xlnx_auto_0_xdb/cst 2.xbcd deleted file mode 100644 index c3e3d2e88b9d1968f39de81c3b8b305475f60ba9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4466 zcmW+(c{r3`8-5MLWRN|(23fL{eGnxfB1`tQq3jyQGWLeXh!CO0E+J$HW$e?aEZH;J zMrI;e!u*sBD&O>-Kkjp1_xn80egARZ>s-erB*sZo*Yx5^=F(O7)%&-rt7{`-<~ECu zB}ky7`u)3mwi(eX?gI0uFYsUAN4@MNr0W-Qt6cUhjZnv2Kc5Ox85A9S!($GyC`6UP z#`Ep*sAU;!jsVFTV?X4Gx3|1zsRX{LRF$6+d-No>a-n3u_o&E+L8n#zNzf|X9-iMz zIxIWtwsCtN=!UQ#o0px={%o7g>M8lL*x8KIJ|$ADGN1Q8t8eM+M&_5d1GO)A7*o%} zuSeGXnNnY(HzlI{2Q|#DmcnmEN-DW_Ud?jQA&Pe@RR5f;&CT6ezVUS`UBLh6XgY1_ z>(ar*PX1kWmjHKAZ`@&gT*KewN|S|swO}O=cz|oS$)=>!?VjH8q)1T@J^Sxs>w(>r z(_C<~4eF%2!UKfl+6ekY!_zCWOV@&FrOTf@2#()ZInF?-FBXGZ4jQ&nPN_YLV?Ts` zihHs+k?!leA(#E1*Q&U3UjL00s<069!ChUYEdS&82fxI&kv@c?vR=%{J6n9#lEyEA z?OZbpXlhRIsNm-}?sIYH+t_fwvYzqn8~u^yW`Db7TFu$a28xFI_ZfT4AMb4w{JujM zoGSM9|4DkwSk5;*$0=mnmVN)K^~`~3jk%k~VC2Ei^pb1S3IgdRgKwh~Yi`L8Kg+ce zNv9a`t+YkF^mAMLIiJ>%)?d7$F}^?sdi6W5upX@Zwso)FZC?I7qVMr(ZnXnbUg~ z(^x#^e3hnrf=*W1CBLAPCFo?CUGh^pxf$)7SY=YG~RSRh;5E>yr6ZPDKOY{*k% zbu_JfLxhrxxf4qGT= z^au()avD8ij8;XVRZpYeI3b4IQ)`2S{F)pe?>Hgq+)_nDgsQt7AJ;h{*xgf=kV4gU zj+i&+&{`7fuh}Ej!C3DiXbH!=(Ef%9-}_shbE?DL5uW;B_4o0&6z3IiV{#%ogJ%0| z1FK_bAp=W4R>Y*Hk^Se(6y(SW_K=e732!N*BtO}}Yt8!Pi+M$gc_=W&R{CaF&Y94P zbNS_zPTbsFwnD6*{Fq9WK!kH;Wtxgqe>Hi1TY>v|&9ca{foh<27#F9)P~YoTjHJLz z4~x2|aym*zFUZrE-S2X~%GD9IQsmMv(BP@};F5P#e=sC6*pP3!9hvAm_oQgKUct8u zo^wVbL{6nR<6%>Qyv3{Zz-#uG60H?gAN*n`eX&LMBoj)`%nEt-5VYCN`iBH+s(0L{ zbQ+q5gXD(G{gtj}J`$a9i<+}$`E>ah&iVnuS0lupt4OO)zOQLeBLr0>dZ%!rzsXW8 z@x*fuol0b$Pk`ounB$$Ii8jYjpH?Agcadmc`2-sFVJLj&xtL~PXleSArKg+ivw65# zwt=S}zj&fGmw5lI{JZkdpP_bc@a)2v%FYS2`t;cp_q>fynaeuwqKfRNNb9pWisTf zXqd?E_6xQsnP@9)unBnTRoZumTGh#(63uncu5Rl%wtd}QKlNQ?NV8;7hM0ySI#2gu z-K=<@;-I=lXsof#7;Q>NX2vW|NoZHOCEKn6tR8O16&S|8qByIi0< z$61rK^QQNc<-T2b=>Y2SvVoQ>k9AL<;0Hv;_Sq5C;}wJ9CJ8?VPm4;LYfqoV2gGQE z$PT%ntx~RMcH`B7L0pFGOJ(xJ&4Rdw6eC+Pl4^}%M|^M4{nI7m{R+FkvROCdmE|>in$x=IO|#f~SJOI}b+JvJ<`=I@(+ z*}64vE%x~I__A0v0T+lz;DR5265)@RL@~O0Vh# zKNi$R-_-CtMaDl^PsJ}z52cN|DZkSBiVDkYm-yKchSFP|&pDKxng8HF_E9*G$wg z;X0ueNe{Pp>tY@Tysh^aGBd1i+Hg(1Hg=j4=Y*JQ;p{DSblJ_^MJ&v+v{&JNu$rcq z=XP9DLHj;UM=ZfRa||}m9;nfZ%ut~_o<)3~H4OYUChp@u@_G6*@S05A$G7l#eq-Px z_&jxgc^>Y+LduhoWZ)-=wY2gGv&7ue5V{T0!k zfO?+T8giU@A(rkZdWBn}eXN$Ff02ht{~a2_K~Hz!xs8Ujn}3t&382$*Bd??hm?(&$8QHw4*A4= zpIWF~3`Rr-JUql5IA_}}+p1w0>xM=4g@_}G^nw=)!dJlB72 z(lWkY&of`aqfu9jF)Gs1i8hy})DxIOx%ZoGTZ> zYEQwVHz5=YDCHd=K`=$60(9ad=$ks5d_b~AHt{C!TP`62Rv2CeJ8KHHieOVK+x+{- z%a&^IMg`%BDynDu`C_El0(pc8nZkq|SW~KSjFLH(6VhzpKt;JxWpKm~0m<=jkn_cy z?h4RYC0NZ5LNSL@#`qY@guLTIgcM-{7S>cC>_Ub7)}|=oI6W+&9z(nV6QFrGXpB_C zS^;vN06kJ?d;Jl_3~ie8!32!22CMl)&RvFnUxo12L~iGGe>^}F|GWKb3QYb zz+`0+&|yIGABp5}h&`teAzPU602YQ3h7a(;#Zx4hN~tg*14ciqN{5(JB_Jp*2kL$+ zk<69sJPvAHh7?1M@yw!RVFC_D4|1bwOCvb#~;a76zJP?{d-#DyH+3Xtw3 zXj+{udmMB>f-U2G?U^)A$|b1B7_UnO*r-T0jE}8d$KkrHD*bmv3xT|*C|;L=aNysx z>1y-l^%C?6MR^WLJy6Uz0oD-ikVSR4!5+Mx1YyBSwwHj`;@D z0?tVzWQkB-9Tp09974ayCQ0?fLVX-hb%;`hv8q6uObD33U2%+R!}pqnk{t#kmccyO z=wFDxiZLgEE;gDx=8;SnFZCuwm$gfRW(qW3Ab_a_kTy^kCrt@0)Wsa_CbPKr2Z2ZAXX+FvcwD{HGunv4Q$k7y$B#-90>)a zck0g*^OCYS7-vb*nENNc0}MsdRm^>u2q0~K8I%Bmf$0`lE@BNY3b28-0tsRWU7|nl zFCak}Ax&I7xy)jeW(zRf*`U-P5DwrkPX#L#3gKYsSw+_Y-a7`4m@~2oV5&028_bBu zHnJuN(TV_X6NWHxny-hO&W+s$57$=x|!t}rTb*8@kuYPg8C;G1AaY{t@5&!O=4i>WHiduQv6s``oyLifE z{dkHdwAVYW?C80e{SvGNnsCQ!k0lANxjf3%u4%G0oMZ5RdRxK-e|UP!n_3czKNxc9 zN^;tea zaJeWg6i|h10|Y@@3DC*+nLA9Bv;f5OZh>d+g`FoFVL5#>(f0?{oTi4(%`pm_!BkL|ZJMOAxd96g9W3(xKgQxwG(YIWdh_){5 z^D27VRFW;Or|p?nT|NE2`!SO*dF1&OPrqLeZ#{|6Dk@(x8}0t|tbO`bcwQuBK#5$a zRn?(`w_r|DYOv6(3SO#SCFBZ}YX^cNLn&I!POldEkzi(L1#`Ibr)O(JufkiHovs6g zTF`c#TiMK9?JjxpC#K(rRx^i-etM?Y_bS|-IptcicHrr-P|B4xLat_lb|4NJN?BmG zrgs)~SW z%Lg{jCA{uMdiga>ABo9<;fjdALP5%^Wrsqi?Lx)^5R0sWOxs?L@qulY(S4j_?!&Q# hCYg*)+tptgru|u6-A{Apm3#BRy)l2-?fyc9{s$c*fB*mh diff --git a/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd b/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd index dd50c7d3d2fa69b3a3ea9266f0596dd2ad886ad6..47266a61495df291c4f6e845c2dbd285dedea2e8 100644 GIT binary patch literal 8004 zcmX9=1z1zx`}nh)|5#Xzw=FyUWMIL*7L|N2Qu#8*#eYLIEItgt>S7t= zr?mAMm-58^|NqqC>0VEFW~N`|M#~YS(zMb+mDrU-r}e3S$B(C)_8#v}-oCA_u0Cro zX|_6k)%R^H|DcNrcdrxbIHQ6T7~n>jj>NXKxJ^$@#){9Gt=f0zmppXW{ML2yjII({ z=JHU|baZ-TLxwtz`M+(P6BM}E!6UTl(ZsfHE$bb^ z9asLIqKm(T_nxR(nf=q+UDUQXtt(qyRsODauWE;NN1x;R*znlE>uCu(FRmjP1Dk2v zJtsT`oU83{6tJw>c9wK5jPcueQ5xeHWTDK5-@J}?ce#CVAz|p~2)AEdRDWr@&WrW< zH)6w|1vi-_!!_G*O84yj@1nG6*DY4@Rss)t&I_k8Xa@MKt-aCQ&>Z;kr6pGe}atb>q)(fwy3z1j2{0V-`oz8gT zqnyHJHHNlS0aukD_a?>z#G!q2mrE_d1FKt^)eSZ8Q6qKL=AHI;$DF<}OU_xQz>}Q! zT&@0{5*e&n_|;+c05$8&IB20^<&(iV)OH;mYy^s=>2d{liPbo-m9Q2VMd_L&r?6~^l-G}WW$;T3wZ@PAk+N-V> z9QL9$SY%S$wIbrTcD5=Gdk+rz_+0o>UJdz3Q+m6WuP5_&e_Ot;|Dd;fzwv#D9)CtA z;k=Z0p7le)J3g@;EL>C7(SH2Tg0WYx9rBC!3@H-uWuISgZe2S>GT1rqWPTe>=oK~W zOsDjfUgcWMQw~=WE!nX8n96m9UsjKK<$Cg#TIRF){F?nDsn3J*D%CD2=ui5Ymv3dx z73lHj>rsshSd0q}iUuNzt{xBa=`GL5S$i`D+#_sX=C5a|gu{G>) z=BeiLPl{^~;FF3!>~5WzUn}=0{xo_|rZ=y3aC_sI)|xi+MAr{7DTs*m$NHV34XbYE zfpeiVF&uW_XRS4+OOtmA()EAeVbM+9~8Ua;~4tN-DD!upFmaF~t22mGe^(^n`MMyE;^Ah{#j(iK3?msod z88;>FExxGCku**0iPU+Y^U}+|<3b(G`Mb;@L!04{O58cTGF))8Vfny6BB%7bXcm@h z=M;V~O8ptSPDzqy2i99ZAj88~TG&|h5p;BrSmcZFIIU=>F2#QtG1PIii8}6fhp8JAtUwm z$bsxDiwoK=kB!Df970p&9=w$MdaJA6mep%wJ2RTAE0u6$-R#|g03V)X99~<9|GFl| zDmpg)-RSMEUWbtXV!b;uy1t-Swf(2DOuS#iL`aNB!{wLtt-V@be%_Ob|LNYG@M^&Q z#NaU#^$NIhX9PpUgl>h|efZ4XWNUhU6Xu6VmeJJDTXxW&e)+8x%E>M0uU6~tx7 zX1a;t>-R_fVf&>%AKy-M4S2V7$sZX8UalEJy@Am*a;96*VGP|!W_1GxmlB7af z>5z{_1oiiYn(P-R_*)zi7Ze|)7S%QTxMhxLx~((^EdPj6o{F?AN?P*lV4a>8TATiq z?Am!U6x4U!ci}I>?6_imYAgDv?5W`q-MF-m>CQ5#g(3OZd=&#>pZK#lsw%gE|c%LbJJ`R zQe*)!f8Kf-5pp;KoALY6e`%PGmu{Q%M(X zr@Rn75f|3Lz96SB%~u|^^lYRD>1;hx@-%kMVA?@Dv!G|`hRcVouu^F^;;JBROaI%z9|eJZ)iOJyO%^!J z=&Iv=UwMNpc$u9AG^aN@-*x3iWuo2ij$}28@P^}i?P*D4y|~56m!Qia_O1-hiw;$z zR4ShYSITdTg=0Dsr)1R?^M6zfZopiB>YR=Ac-K9`tF+;MT|PiBFSzsQW%%Y#y^Mpo1s-ds zwI-o!0u6qm+NYNl6>yoQZ!4v)TMF7mdDd7h^eYMVDhZvZe|B}$zS(6<%S`N{zn{Kc z`br9uB2S*_>-V~`z4BvyOooa)#~mr})nb3i58gkGc#z)9j!ldmeaWw*VD_0(k&jEI z7O4L<-F%8SIM{k&iCDO0<<2_rxc`COD9I-R*~XW8^_^az@8=6Y6@Cdl@)7V$oQ&Vp z{5km>eStQXz28XJy|ypbI?Oh>FKg+#{O>cLB31;=4Tmntcjq_VKl=J8=00)UW}x!f z*#*A9_x0$T_@vmz7ZPS(=kt?x+~w~qT@e)8R8iN>fNe;+Vs z;$(MhCNA@H&f^QdjxK`NGo=Pcm*^7_5LoxCJ`p%gRZ5*G?IgrL7bsFX*O8bx`%7a_ z=f!jDced&9ddI9Aw$WolDx{x$El!0e7c!_uc+nmli?Tt1A?8N5_6*n?^`fL<4){kn5_aj-;P0$_{;&lwD-k0Ugu16n- z|02oFCaA9K!zDFuxSe4{eQUh<)A5RLi*x3yQqRqEMHkd=WiJ$IUz$n$p(L89H)T7R z$L)DWS?xAMoQ=^!;vKYKoZhSK+_V0!X;pN37c-4@mgb(NysjeZWf#2r>iO|vsfW&` z%qF()FP9+Kd=3&{68n@ML5`k3DgulbzuHUiJ#qY@bWI%6=`{KZ>G;`8_-=nd7nJmW zJ-RGug7yzz`}J35t=8R+d47&Q(aXK2?pYYb!AFZ4{OX;@heW+mfp#d|P2o%?#h+*~ z%cZ#;_N8dw6f0xn0t?TCOS^jvBTkZcO6uZgUl($Cb7}6ftn&*pGsu{VNS$pgNmNv6 zvTRIySo9{1Q>sODt@O|AjW6f;IG8n?@InPo3R@KwKUk7+e|ZnokZLn#70r+G*d#lH z1pckHp44uR1bZq~#mKEACN!;|%$^Q2Hnn}>#x84OqH3Fjg!F&S|b zK_I|zl~y(k+Z`Wa$P&zpseqAhaxH|^oocrM)VV$*H4InjWFvAI@hWFz&8`PiPJzsZ zg7gHS{Q>aYz>0-(IZ_v66-IFf&gS~kfw~4aSSUtZalv&g?YT&4O{yYz$)JgwoFqQQ zN|E5&peJ05^dZ(UvwubngjtmN}`_}s5K7GKuphqFT zN&h{np-0_#>j%}Qj*TYF#O&S((q_e{54pY*@CYd1D%Sw^PHXrG+HG{Q`{j%XsUUCD z<>MlLU*QZ{{)n5wwBu&7XR30y@)yTCxm}ZAHQ1~bZ_1K{6wGQxT$Aj4o9bn z(&+NpSI)9u6DMmONVgtw-WCeyy*NF)7fwN|qI$cJj$;k2xB}x~DnhELh)S{Jb+*8p zAeO~W2#eBq&vx`IyUyDHWM9n{Co}HKa^Wu@D4vl`c}g43d+X*4EXxJ`9Dw+>zySO- zcd_+*_#6GBH9oyTyW?FJN@ZXG168S2nYiE7>V|BYiK8N07TH#iS-YCcB|;y39iBjPt<6b12?QxqjGed4}UWI1sk5$P7XuN-NodQ8*qqk7EV zzNdQ3)Xt`Q%-0^LdVIRQ68Sfhau1oMiE4QD4`XOACLzAZ5LQ0 z|IX_-sy5B|h;!1$VdK(gyc~L~m~q^ZuT<~krkugkMlK;^3kN-Wa-34cm5scQ8qO~U z?l5*JPvq&WDNme_4ya>#wEnB(Xp$P}(=?6P@cEa1I4Pxn+u|r~-G4sO*Im>@=SISM zgV>dPvZiT++nc;Bj*&aB-*D((9DfwyuT7npE!1(*%xMuBIy;q&5sWEnJwr+PM_%Im zo)DX)_dMXng}%-}4%^X;93gq?sPX6DFqP~3oRpI=L4UDbItT^2 zEKBq~C7ya;n0u!HK$8=wl$boObO4pmAiYRtF%f9(eucy{66D|n7CQV*xM49-3)_Dh z&1mN>h8aYWW#z~fETFMHsE!7?M664QK#-L%Mp7NLbpS82fRE(J?g$+J%d#IB#@Qqe zoHF)SHY(OumF&Q%3rh&et6{~aTo3_8u!5Rug5QI+`Tz$6j!vX!5lPl3(kG~cvko99 zFHmd`4xvFw5$jwckUFdbtN;{xehQ9(TqH;KN0247(grZ#Cm$kx9|(x`17fnsG<&d# z6?@0abr1t0Mny2r8sIB?@D(4>BS&^XgV*9cqgb)sk`^MMX_#VaL`qk%eJ*Ou3vfa? zJZHu7dg}nzjIv1)DILM$KLATFU4c%BFI0`QLsTA_;3fQJL<#{x##gHc|9 z0BT7T%_v)0cPF%t1@*fRkYymx%aOU!;O+Qro&TI!iDIl`09k%K)Oh^-6bl6Tv6g@4 zf2S`?M!Kqk2XZ(mI*JBA|K8T&$49c}s7v1I$0S;+ zZaan*=A!f-s7~Bg8(d5?E;iTL!Ds1C6M=#sKol=f{tmT1gsFPrWew$vy8VoUe8N}( zMwoX8`vyn&8o&rVBG0s7vYf*AWH3!MD4qd8mltr$L!BGOWWcqj^N1b+0=gN_7(V&giVI3=;2G^n<>U#+*`40737CEJ%)?^Lqqtl+wCW4r`>!P3!ihPA1 zuS7?glp_at0t>4Bd&@{i)Fwm%x&tM6MJNrGhM&TOAWLfJ6U|1!4ZEz0x? z@+Ma8=^a=fOj{+NXilFXl#IL?0$g$c{Taw&4xpG9(1=M9 zpW=iDF!2TqM_HJaN`M@B z&kI;k>ld=$5JPT0=OD)c!rE$iynsqRDr*Rn!K@vWLo}yJP)R}tMgSN2g?obcmEcrn z1o@oSp)P`~10E;QQ=P)J1^_+=vYS1);0XkvmfXAmZSXjgo*EGLDR0mq zdj;MYmJt5sY}Zq@NV$cA#{J~SvSqO1Y+GQHp>%qIYMc`S3LJRd zqySs4r#~6{aB6dW029A(5mAvv+ZYWFOZaf`K79lT>#I3GRQ)f#2(o&-XA)~pb62K6 z{XHiDe;!`(f~Mkign0qc{>=>Qm+f$=!vH4X=RmqW*uw(C<#4&IIdm`O9b}x{N*G(@ zg~^Zkb5dz4UPO$R5EnCMcHeG?uk9Fo8sQ1E&qwLms#g5~O3|RS))`5jdKcJXOuF%x{ZhJkOJP_;bQ(Dq9TP!&dbJE-avjJ!pd<%WF00!cY>=k3_&p#y$^Yeci}zJCG}SW7iK8^yP`BdV?Z(r~Mgs^roERNGEMl~P zsEBxJ^Ogv3|5j(hbD{pD%0T9YSKNaU7(|qzjU?(>9RS9j6BQwT8OhoQJof_Zu>Db< z0HrZs`ZZD-1Jc4PlBklqM*Ng32(q4*e?2Sqspc>@2RVtcA}M0=v8t82npG*Wj`yWa zF7f@$8z)h41;|N{7`0)SVr_2e>IqPLvM@Q_#r=HBe4gisL%P7MP}jhXQa@p3GIlro zTWZ)hsT;|b#S=0wqZ$&YG~ehPo37lCx#pLD920jZvE}1K9rY#Vi%t@{++(jU=}s%} zJW-!XhtZziAE$#g+;RxV901VSJ0qmEsH3TkX?PRElh z^K6zqLCcM>-fW+LqKE4tHe>Vu4c1jrR3A26`bW7T1%~au;f`rupgJp;v^9O(Qefmj zv((9C=-{NPn&1^U=$}B!4-Br6FDr_(kTEl9d!uV9VAiHtDrItVcy4U0E>PJq)6^uB zt$(<~WWjJ{h-5+CvUi%bpcd}XQd?4762I!@m05In?(7gK>pjKEvCyzZ<{~}za5;uapVV~$^GTVFFCm;6WzlMFLiOf`!cuvv1LH_~YmN{dJt@bNFjB)RKZF}39Zw8RZjV+& zv2L3W9%`G2j(9;>)NJsul5|+S;@~uUSX{U>Kq3_hK!+q174D4Osn%0~a#F2lxMFvQ zTSoy(A-9g<%0}^Wq-%a@%t~a=@OKg^#1%?&aY)W^tHIEp2_5KG{@_aA7@JH@Xh3&6 zg)9A6NJ_5Hgoq};uuv%{x%=@!MCUoG{}zzs=UE|g@}iE`??~c)O3=C?M|D;niLU+? zq)+$4La3B9<$D@hZ)UH)X=CC+I16D9_UbGWDbZKdrMac;y^*k^vaZSW9|_1)3(65; zY$Do}23hNX79&NDOzRL+C#IZXT@&t8<^L7Lshr^*lGo85R0J!#xx?B+jz=TVDT~)~ zhwl#Y9|S;|xVCAy;zdeYE6**BF{{xm6);)p+ko&$u4bu1v1!7zzNJ99aGXUgX*f_t z6~ZU67CuF$&MI0E9*wndGb^nOgl^l$4l2YV3&e^4*qE=E=U{_bb^Zaos+yYiyKpDi zQFK!P3YPT6aAnJ6<+%_2{=^W|4w3%;SyqOGlU6|4B5gMdlK2VEcLY&HTEsVSZ*YV?7OlyWX%%7*t3W1 z8A*0ScItoo{b!!%-1naM^M1B-&VBARf9Pi_eO*Hh3H|Qd%R2tMUb`xb@ta)Ffcf#X zPjnK`%64$V9xezUKW?E}YnXYUa6Krozvkg?ytT7h;_VvM_VoMrM$(oW_fubmy*kH= zv{lpAMxoxmQVaP1|6-t%?_A@|MB0AFt@KU*CZ&22r{B#fX@CFt6s%8wN~7HCcu~at z@_zJV$~bg@*M$JLVPi<_rLt7S?psWTK)yBx#+_T*ZSyn(O0r>DfdRhv9Ees z%}>wXz2Cq4!>0Rif^jFAnVGFyk2f>_{5g&5$l2fx=Z$jZiR->Ym`HVELCBI;tvc z*LY`36_DDwClU0`_U~-V163E7F1=BC)MjK-;O19BzPa$-^CVj>==j&R2G4&cg{48| z1tobO9=&}qV}Q?|s{dnfvv^*GJR-9-=kMLFwohjqyM8R_jU%)Pwr@1G#La8FYt3~T zNL4u7-WGPb#Cm*`^fLWFlEclIZ{)6FkW0GHnek7OvLWYbpoZQR-UrL8HhnYH;pJr2 zw6ywEPu^<=56TCpKHg3brLY(-d-BHBdB>#Hk1dY($H>h+e;*~sPXvVIpWZf0|Gj73 z#F@tm7adB^(SGRchKZKCHkw?dP2A+(n4PsvF4`mVBgEAcs?iGHDn1&lZO-zKblv-6 zI6m(jSX0!5dekpaQN_5&<_i99zUI7WcDz32{g*<7i~T&}hIIe$70o zZy-;%3#Z|_V)@ZMGAF6;YcEGEFmt;qCtT@FxmCpywAgw45Dj?T|$ zEYjChfGnNgtsN@4zFAN4C`1+-$ap38bH?Yy-FR`unk9pF$^HXhN06x2gQ6yvpVCex zu+P8jo@mv$kMIaYnhZH;$VRs~NkxCn0gn*(JUQD_)L-@yCoI%GWNp`C3YSENUN(ia z4ra!!DJc(Fa(e#+CbFGSiuZ5pu+%3ja8lhv_%p^R+TOwi2yt>>EX zvPR?Ak6-mi^`^yi1c}x`bnDybs~G~0aXX>TQ{{RJwqOfe=vA5D;)0W=xB=;0H%}Qy zgk>Fw+xsG$>sFa^s9EL4bbSG=>07EaUvet#n7%YwV<47f@}0+B0LPye-!2-ZV)a-z zcBK10`$hW#t)Utkg#Y_iM``II=P4!GNRz>{jhD@;+@8C40PU&u?Ws9YF{>QhPOdq= z44m(rG#$F`R}98n??iakg ze^gv`GC~(e8!#6rVFs8cjBRbV2#9Vu5!B=Dck6dD#@i zom7Jo?r0}nVqEuN_kE60k$Oz|&mFo-M1Tj8F9IJx+?c;9-__rz$ZU2U<04$Dd^597 zPKuY3pt;m~SR1glzvN(u-!3)D&aurdGRZEowX-hyTpJuhPdt0!h(@@YL2u<^^04UD zceZwxC7-K|>{5j6oo4R5PyYCGlG}1+#KEE}=W^1czEKT@Z5#cFu3|7_!-8HWJ}w`= zq%MnjKL_Y^aJ(<9Ff%5Gn-m_eMmMxadEhD=)l}{VttDQct1NYOUw3tmGc#RhHsf(D zBTl*sm)r5%G&Du%@=Z@C2uc6gwvm#+h4unV*OIMi1=a3+#l;T4PQxvRT+opG3V$qi zpL&nSp2NRTBVHUkozKy|z@}v({yO~g8AI{cA|wP)w8qh}@7U5ZW6ZAqwgIftUvASY z@u;b#gC|fo;LvEeH}mz~80hkqCE0LzNm(SSD96fLs^h<_9D|XDsrQy0G2YT0Dfa}I z(tILY?z7m?`g1?Cs4c3QsoT;J!bC^yXtc{jUpvgz>&sT+GxN`W&g6_R$NNUQ^Lf;rYk0VOzdnJWB3kmu>19uMTUJmYr=7mCBRRGY zaV`0t=k}INAD2yX>d&9#k_gVIjikL97-fnfJ zGy8vA8uNn{2EJ;nZi-ly2Zgz}PILQSX>{&JcW`~Ks_+fp;~h`^K9imCy_uh+C?{Aa z{zfIG(W<96Q`zJ=(7!oJyr?<6(-!{mxa;@yTJ(#rM6lcRX$9IWHI3}M&_i)m2xqvn5 zmdCV$6Z7*;83byYgS}R}iQ@O&WqvEn!E7&bDo@?@Judt+G6pc=22P!(1oLaGf=0bUGosszgf0zdi|?2@qc2zj-y7zB+59 zz-d?}Sj9HU{b>F53!6J`NmW`-MjkufKk?00QTUrhGk0pNtfBjbJ7)2Y)5ESGtJV`= zO$YJT8XLNDeYC8$BCaRCo({74XngD13oFg_#PS*4YV~PGl1Cq3<=fFL`JLdn{M3EL z?nRL`f%f9K?iT}M%lpN<0YWZiZ->R6-+h-k=_zSk{KxdP3?=^l(Kp_BiwkseX!iRy z0NGq4xPfynB{5c{pM8PvR**19eEj+Hqb{5}3Dh>!kTicDPk3JUTFa&9S~C01nwYxe z{ruJp;ku$?>19Xs$Z3O1qLbU;$W}mVML~-{BCNnZFtK#aZp<=ePa(ftO~FO_O|a?q zR}Xj7sP#8{ev9uc*{{ed=P$OP$Q%R zD9W(jNT0l1V>Z3Oo-H=Yu6S#GwDkA(V~Y^I8Z#T&O=7~B3tRa;0W3ROWVna)_Id1j zeRAqz;-f2)fhD<%$UXUQI>p(S6}@%2E{e63Mh5fS8ugPtDPMVeJG!USr8C8RdGagl zh|%}6*Kopk{@n?uuYpG|^xpmu;=nJqbRwiXt$dP|td>Ff{3Pji^@hJ?Z=SppMIWnO zTd)dN5*3>CE+6BaqHWjr*%ZRyA6DKmzL)mK-0@+h^2f5QW&O7H+fp7{JZ*>U!o@$$ zlJ7bOhwJ#9#vY!&l}@1?Vg;6A%c3g#JdXJd!Au}{?E8{MN%3a583mzjyIj~4x2Q7`+-7@PEwSx;zM z`9sDp>Z#uSkad0jWL>|W0sr0s&Uf3M>W6jPi%V-XV-gpShu?!@c7pqd|S%Kf`wQsP;XWSIxI_olFv;e?~Ys9V*@l;%RP zic2fo&Y_`OmC=l*;tjW|u@RAn?mazELIeLsJ=awcX-vmsBbG6sw;ZJK z+*L)f@qJdt{qfG)x!dHM$0yoNrZToAkpm-=Zr$C4`!0GlBMDE!GptL?J{YZ)OD()K zT%UN;B-DAIrxPuv!F5A|wfBYL*wS9#gP_FDw!hK(!)^mU!;IDE-|Am}a4-Az@X~@+ zuU>njGVSuc!k~1aFUHe>{Xw$b$xG>6U*)CQmcCH5J3jF>Rkwxh*C!`L7HvIFWW1ov zG#6msy5&c@99!GkW+AM{d6#((Vc!~9%9^01Ha{;87Ye3!!`cRck47I(LZRKkrsENG?e1X?Iv>UgCD4iJzRx_@xVw zD!_I)u=HAjmgfBYiBi0HM#%}s)8;oGtEF#pw?DA<`k7}o!x zuao;eX&XA%ed7k#}X@$v$?HhlyVPp(n*-ieY&@{H;UwOgU|bp(hIJn}BeV zO4wz4ruoN9=eJi48ga=8rE?UD)XN#nOdC+P{2$Z3hZAjugBNGiV*IStU$t6yx@GR{w)JG4!=LQ*VkF~EWj7*9cD)4A;u4N?r){7yWM}{%>_KXvYN}SryE`*g| zY*zrB^1hvM;E#$y?&Ue3!!t3Ii>+C+5t044AtrLrM`kK~$W-LB+QD^MRqG#nY)A)% z?!9LzeE-NYh*T1~r7o79qD!L>lDc-PFtH!B_S=4?sK0R%ro3>D#*?&UrJ$wGsERYKSt9DktZE9l+y8cAtmCp=Y5M#yWha%bH5v6 zi%MS#5Mv=f^=hk5;B5UqEM4Q*_w)&r$@UmLn=p;7m&)4WEwy+@8BFbkautil*~S010{3)=t)TiK?srjkzvb%QM}n~&rslOw&y6f*lf>IuCV#GnHJFoQTt zzUcn$4CUrWzGnw-0++ETlZ}(e;Dx((K(lw*WpI3^myYa;Tt9``c1KQ39~`REa|BwH%TAs)0&sD~Zt* zOo(yMbk9?K-86R4@TBg6QnT9X($jKd#pga;w`+L{mMR7<427+k_P<({U$yRc!-sn$ zwVOMQ)><#kn{HH(?zvv`$CjjKs?|*x+fq@ zk78;~K$7Y37&tzRRy{OA+XL9OdqqZ)8nKq4g&JTthNKP$8R6yWgszYl1}3#nX1YKL z;J^UKzojL)BFO4Y4!oT2=aK3<7W58R)b!q=gk4m>4gtG7q=2hkcp&$qwFvgT7I@DY zyzZjBY@Te)v6J| zpUFXx)9W$d@OdQxDJw`~L^xE?k~k0!Vz6?0#u_|CDCmUBaiJWC0V51jKL@41h(xq} z$1tfen%l6Fmg%ITBa(}$hHl{=E+JDtXGanM>M%#P8$1u5<5umf`v>I-@ z2m6qUF!isN842uk2yos7yeEU)c#GN~BWpriW_ZFlKWxMyH#9&E49OY}9y^1_@}QgD z))^S8Mp+q+RSE;5T|j@tsy_1w5c?Pqc}`U13H$c$kb|CV7-p`9`!fE_PYj+jnNL=)p+b-?m>fP#{t1Z)tL>S{`Tpby9m)YiVugcH(gU7=lgU&AN*m

$edspLvtU;g*4;;6AFMuKy{FSCB zFVV&2pr99`=4@1OH#VJ`wwa7n*KR2j0_Tt<4}?Gt6~zic_GThmUp?T3p>p|yC9x+| zDAPee2M($X15e4wep-TxCm>+_Lz0zb%ik?P1{7FLpam^lt7KK@MZbwn}=YmMIX@BjoHSJ3oi z=di9}Kn#Nv9s!Kxz-ZE#ER$N8FRvh04+mCbNV;%v89IebWQVKC-B?h&%7RrLt_D4c zN-#*r5x`Rpy!jc~iUqUl?g@c)bcVNa@5i1cYvH~uAth)CG(2J8rlNkRIS9x@4>kC| zGvL5PzIN3dl8iPl2!R&>2VQu&Cbh$5c=-#0+!jqq=O-K^Qd3vOouAh%1#%>rbhro3`r9X zN+8HyOb&{iUY-DX=*pB3$QS0Ehmv(sUCTx>@TfC${`LUKang!Hpbg!SJ`Urm`g;g) zdJHIj{;mf#OSHf?lv5Q{f)Bl=lG4U8;sKhg-};~+C+R|1HkMpMgfsLOoR89!17}^2 z54A3ZfrGPR*z_161EU^IOHxKSsKClACxdA((4+W@yh_jE`P3ffqb#8ZYynE*JJQEw zY9K@aMas*Tc#V|M1fhD;Xh}jC(mNh?eopHSD3m$xE2M-LNW`c&(303Oq&GYyF1yF< zFnoRTWnEw#Ns>hflu_ZY!125=yfCNr02YK<&mghWARsaX@FE_RUmpUldjh9+kN-99 zi+9O6Jhxi99?r{Eby^=c-Ga3~PwNY{;cxD$1U=b3OfEd)v(M&@gFw-BLi2dw)}HNR zC~ng;Q(mj~A$J}Vw$XNAF+{fkCTOiMY|Z!8)4yg+D>E6S2QH*Gw=dhDJcRA|FU)u?*AH+fP4~E+<5`$M zx~L?-+Jc{Y4G-HcX6mmz&n_iPz!R-3$-4mQjVa|z5$>HrAfp#KK4q(d@)D6x_gx_l+%9BFBD`BA~cF$Ly zQ#lQeY1? zmtoA7<0a(rNaxi-$|`o1*5vDLAN3c9mFM zyJioWSo;hf8Jl2s=^&F-1?NT^cIw7R$U8M})of9e_3TZ^yET*LehE2dARkJG8%7+s zqd~u!N5pB-!-)IE4uSh4V>$N%31dse0v-c7(EJZtqU4?Sq0E2UJh&ylfktzt7KDT0 zmbSzwiyK*x|4Kwv6PDd2fXa=R>%z5RjPsKcQRUxiP)0${gGUl3#!DJi-oFa_vv*I6 z&A&uLS(hhcSG9~;5|W_)2%-{hB2d%gbW$h}}f*n>Q37`9t>ZxJjJ#I8?r1UFcX@F}ch= z8)}g)4e@DqRBC-3Om$4xS9?OMVr{ie3G$_#guIZxg|>tF@w{^H|8rSZ%Q0l>O!w0I3s^&W*b4R9jae zd=|o{)sOzNOe*|tI?dh<7YxI^;=F!TCn+n%=+k-h1yR zF};Z;7-JHRQ4}7dY-v!=AC(GR@rCowfDW}$@A}SG#W#Z zf~+-u{Dj%vGsj=hw5vPl2O}3Fn|VK)emfV%$)`TBh|8Eb^&I~f_870bs`Ybx%%`t8 zMqFQW44-N)Lu9LuF=W5iU`_0u;EzTAzxR19wHC6q9&4g6hp+Wh9?d^wAM|cyIa2rU z?Y>-Bals9L9Sgs5OIMuqu+{g+{dazGb6)9@zrVa|!mE!-d!o9#B3X~M%vjdze)%SO zt{k^-${R8N&c8n#<=42jLdGErki(H!FZIeZ-Lc52`NZ?Yql{gYzGf_MAY{wWQK64Zo5_#)upZB3JJ@V$- zYnp3K#@6{HNAl*Q@qtP4ce^e|4^>xK8tR z6DH`gBZC;h)I(*x0~vT= z_msKkFuvn42K3CBDu)iTgPUO!QQ!2usmI$}k)k;dM+S&bzctd;V?{=x4(gdbYvz#} z=@MD97cc2vbUNC8$bg=CR%HwHYPJ%+Na(DdMT_ZQZKy`^3SP>|c*4FE-l8kglFtDyWH=WARe7-4Lc_LpcI{;OxZHoDWY%h#Cn8^C&RNaKoiVz4ES>g`xtM-5y3N_rooJrs$ds|+J1%uq+ByWi2l+7aY2;hT zJ;;5?qsU*I?<{34`mrqHOOV^a2V!%T6t0GHGT5cai@~jF3=8kN^A8yFeLT7-pMBoj z)ah%aCYutp~g4~Am*?crz zu}p&npa#1xJnTqzw^7-zDP(NV`(&;#)6*ynL809ILc=_YITh2fyyoVe*9_{|RC8c|KZb!G_@vj|vZZE6)N!fi z;QngH1-#O0Zqrh;BkFdY)_lNg?$CM7pzhRZ&4;|^_|9tv^@XYCkp9-z?iK_;>@|5n zuP$}hPKkPysO{c)&7khtY0bx*C3O~CUFyDx7`N(rA4@)za{D6(h(j;CF&T+#I&y_` z3cjfk+@|kM?&QbdsOc!wXdDAT-15Zpe{}|<7{ZhOyQ&t?AIy< z6i3jw+)fT90_{B4hAbav*XC&HPOX#ewA6+yUzNzG*U5J5X+xH;E0NEvlkNP{hAf|6 zB!hESC^2U{h_oTgr$cmbmWI-D7;$o7vD!MQ3`*p4gB-UUJ5@M2uprB)(|T~u45Fe_@?$59>B$`Gz2s`!5c1%LCh;fyJ=(FxQJja4rcY0`2kHhAf{wrrdv7 zootWLHe~sRAu;SLf@}{+NcOC9a$qrR`PNC~E9+!?h_oTgr?;J`e07~{Plq;S`Sdyz zex64p!P>*{2?3AZ83H$0JVsFUq(+J-FOh(x}zPF{htj>WL$TOWxz z-&_y0`&*mJ@@8^l$N)k?6Y7$1aQvQrx!`XN{nduKpce z?d@)Bm0Ntm6J{f>VK$hIDIx8h$h&Iz+uZ(NeD8LBWAr@mjr$vTyqy0AlG^)}L=C;g zSHo?XsRNj(N4#ptO273g8+DDL&ddw#1d1jjkr>y`Feqi~j zVi+MB;3q|(5A5S^e*)}Nu5XH->%`ZDd%>elVEJ)x7$K_jxyYrSmLHM9wMCbc_~7bb z@_FP78ArGE$QUuI^W_>Q{+Ez1_JMyD{3{tp?<+51jjthJ&#~C5_l+9%8Mi-+@0+e~ zhMw1NGvTy+9}X<9pJ86-yCR@R-2LdW_7SJK-i>@O$6{-o->+fccKbW{e&G7%=y{x* z3#Zu&iWK~T<#8H@Pj!A=i_7E8aX+oa<&$2H`+1HdzvlQ8{y*2EIZvP113fIS==XDw*oOU00?8z8Io_j8@lVOCY&V%yP8-|+K$uN&w zi7&_L;M!8d<9?gtz%)m#$wL`O&+9bCeTvn!_;8NJ7OscSBQ;#(|3eMC-|YwR{n7QY z=y{#S3a8~$Kwx>D41=pWkBdMbae19`+!HyDc#U0ko~+@@`BV*i%x$ghUtHf3J&%1$ z$L)ZL&up-K_>34m3{?Mbk<0t_vyGl#%7Xi>bN`WJ!Jc+o$4aSMldX_E#;qJ*JN)Wt zd5j)Yv<{51R0>co@8c|CHdr2Ga0fZp-iw~+meR~ocq=P*D!?}m$zvSnb?mi4e%Z7< z#)z?rMhrQ5g(zzyc3KV`t{sq+BrtmWvL80CJP*%bbi&pe#DOyEGTt&AvExwWps$a) zkDR^#RE-)NkY{}))-`IxwP1Fe@#*BE@!5$fjYH!bg~WX>a@v70;`+|nh-)Lp$Fsd~ zjwtaA37q$y!h1j&O`f!_#n+(lQdP#dZyWWr{Ds0W#zHGw$cYk|<`mbvV})zEY+Z{p zGWhO}Z>yeW|0s|`fMN7p&n5CloE_C`adAyKuWSczd&Q`cC%)#}`$5Jum~5lxaTt#f zej8;+WT#r}$~qrg>o6YKImbHwLhuP0N6+(#dj6YhjvLM-WEb(&$mMZmB6$9q9`oN8 zdev z^oYxE1rZnf<90a!-emFA;M$&`=Dm%53U0*YaYT&v_CRDxEuTJbwD2*t4%3jSwb;Ce zkyo(?BL~%D^EH!W4<+`H9P9O_gTKgeyQa|dT;n<$T#LzbjTp^!CURIUHqSL;HU7hq zS+&?a*Bm>WSo=!OxHCQ%e2(Xc`D$u2ka@M-dH;KJeJ*tynn??2J|{#$8?F z_$2kTd~q74NIB@j)`fT`(o@3~ss|x2X62jiiyL2_dtmy28My18&+7xf2>e3F;|86_ZSI z2Lkkb{lp<54zzBU>)>54o*Fs#Xll*RaT!y=R=d0e?u{NVu08Cq^MNxSfbk%9yW9-- zmc+G(BKBWXop?|N*X?o}+}jg(Z`bo42A20Luzce+jGDTxU#>jpf$3U#De|&D@K=Jr zqK0ee+LPBHuSed5ywzju0gs;dBp&($a}1n2k-LPck<%XTs1K?@#{ShVd<8A9Q;Zt^ z{hM0Lo*0HN*46Fu2B0^3ytoIrp7+Esd@&BU%bVf8#pA`D?0Vjl!1A61mVax8kwfeM zHstNf6*(Q}6J~iw4aa5c|6Y6_Kt7Co%wz0Of}Ynu4l1z@ZkKn%dyjZ($Ts!b!=kk8_@)5WnP27V$x60_6 z{1oz851!?d9S?)#VesPq?{>Kx-uIf}Ha&nYi;@&ue8E)L5&qwI9Dm?iB}r z;3}j2V9m-y$nOyDXXP=EJ3>7z*DwrTmmKtNm;2#8AWRMX$fnk^ABN$Jxw&0_19zpz zi#y--ydQ?)i~VrB@DaN_oVZ81p7+DB#C5x{?&bH1d$j9$KMYG;w+m}h9!*?(;l<8t zWfzAK39_j$HS(U?)LQOWhN)-68Bu!^L`ixH`Xd_{XX_X{Qaj9 zxXSRrsLn8<=wIBmLEOh^7~B0>E3!EG9|~V7)|%hLy+mnVThlx8s~f6 zJRig0RG!D}>L8$lJzitE!1cWMhN+-)-LCj(TG@Hs@e7@s_s=k>@mqAengMsF$E)r| zuIKBnj;=|;Hb8y^YI;n9FHvYm}Ooguc=|m zmt)}YF|@MtmE)HufP{3UWFU_mL|+KCg*kB01mfY7xA6b}IjJ=jJsr3}1}R?P>{J zdk%TdE1jFy#IRJ??dnvx@r+R2tDKwH#IVG5yE+4|-EY0FUnkXE@Oj9Ij{9%5nhG`z ziF@TWUMt^|3`@1#u9m`!dzi-Q_mJuU@X4M>xZnS)oxpcS;yz*PgFOlvjl}PLVRMX? z?puSA_$~XnxBQvqegZsxr}LWeWd-g1Fe{h*LW8uT^q%!%?4+qlV?{CHT4zU!CKyuR>z) zdUJxs^~v|s=F5TeZctBqJk<;=@4aDAV?NiTJJz_dmh;AD%IA1D?43toE9)4x=5ez) a=noBs-F%jh(HQ_z-UtBz delta 18 acmX@NnDN|V#torn+Z$8V%=nMc&zz0MC diff --git a/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e 2.bin b/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e 2.bin deleted file mode 100644 index f942a4670dceaf67306d212a18fb6d5e35e3fce2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 16105 zcmeHOceoYB9lgtYk4NuC>BWX1qGH#`fpvlYz=psk zz~%sRwgYN`9e|yIQ9uJQ9@rh22uuN3kNtqz0Q>6#;CSF<;1|GIzZ1(&EN7Z5T9JgCvGji;B?oI=$hL5VL9fMMNW=)DChAH#s*l*lW+c4aveBh3b z8(Cuo)84E&Y)nn<&J(w9V3m6VRW&2(2BCTp%}wj4O`3Y>M5KXer=zH5#0IP!>S7B^ zu-&*=KWe0_(M;~uPn%vdg$=n3K>h0LP+Y_|XjISp!%;x9hT>F|T-z`nEmHUjXi^+E zy2k3VHulkcI_)!Pu*$~myDb>hZ~EA|Go}>}#LnA5mFz9C)1){SO%b2kn%o~VbJjEz zhzdlvd;2)`=uYf6#gDh~1Z$m*KvWmU*O{LKo{FOT1&+lgPq2R49BeHGDu<79E&Lv~ zd9vdNp~OkpX%@F1D$F)xgF`xkN7>n2XNjw~0e9J1;@!5`t#WOHjW4)UXVuygJJvm7 zX+IEgyT#4I)~&*C;VSeQb}EP0xb07zkS!7m@sr|L8#c2zg7vxtXj-gcH^c9bofCb6 zjd3ao^-G=(uO;`hyW;nBdnnGe(FNFxdtzM6j@ZYkkL9UP!*+EMXE(z27q$~Rzq^EH zaT^^Fc@Zy$f?f99?8vvJbgv&9y6?hzA*UnlRL9-jc%RE_F_N43YI0i&J%i%5O zU$MX#srz8#Qs6S+a^MQ!O5iHsYTz2+THre12H-~EX5bd!HsB86F5n*EKHvf1A>a|< zQ2=LAcoO(4@HFr&@Eq_r;6>nN;8oytpyXopZDi}tseRo{(GqTkBpvq)6+kr&{sN8% z(d6+l?(;a-WeYd|QfRnTy1%Q;<)WH_Di_rp$682i>s5_vYSFA-g}P0tpb8T{@9I`4 zw2C{K#pSZL@iHs3GAm8E&@$TvnYpa);b@hu%F1-D4&j@Y*(u1(WnIHN&&FAqjZOHP zWp)WNb6LB2nbldD`i(!c%pO5zE^99@vq@HF6B918%sxS8F6&xeX49-pb#UGoxU3Uo z=CZEmWj4#oY-U2tN5=GApyC2^U-DWlR*StE|jcCgezo%T_^VF6%a4X6vlX)+T%=jx78v$joIO z;$^nU%G8bdbITkWWahFC^D^6JWwtfpKP+?mATyVBM=!HoR;C-aK+Hh{mz{#lT-Fg@ zX8Wwn_9px?jx6jFWahGt_A)zUW$K~#t!35+nYpZEyv&YSnH^2|on?*-GILocc$uBD zGCP^@G0WU7$joKk!^`ZPmFWgp5I=00dj*-ftb2QzYh-0=K=HL@P7X42S*LoLYi4Dx zX~GXJb9#`O%es%3*(EEpiwQZ<=dy2*najGrm)SKdv#SaJ8Ale1L1r%N0bZsXkD*BS zc*a`$s!BI-bAfsE@HkN5tX$(Wm8F9Rm?3tC>(}YjN zk%g0j%v{z}yv$x%nY~Q-j%A(}WahG-?q&AQ%Is~zZ!Gi7ATyWsY%jAy-tk{b7|hYlEKX+5 z-y_fbE184IwwcAr%!zm-&-`ndgITkg#mUSWHQ$)X^BZjh(_b@-lbKUv_gch`|5j$* zQ`|h(4vLeR$EpCmhxwh%!GzSz;$-GzwXtXZz0ASP(#+yy=B%^YGyg&6V2WsFaWZrI z*aVR0kJYBP(InPcvbp7~*!g8{Oc#mUUUb0^QdQ08Ey zYi4mWa}?a!Ge0JCFl05eIGH(|UBfd!A#*VHG_yFFIWFbL7&X{aGP@BaOm2{8W^pp} z7+xwS=0!3Gqc1aylbIv4uAccBnS)`JnZ?P>AyzlfyjbR*G+=S#A#Om_lWQz8^H_J! z{JhM)V%-Wiu;DgD;$-Hr9-jFHnS&9EnZ?P>(MwOy{F2OlEZGe;%q&i39_!_qUy(T& zGniSN%p6bj_RO!zypA^XbhDbclV8VnK7y0W8#;*Xep$wTCVa)&3WYcG8s9SGTX~Hi znDHIY*gvbP{Z06?8Q;xo{KAay=QaM_j34GTE;8fCd5uq-@zcCUe*5C`d0ykYv9Iu@ zXB^0F@cNkK_CYPB){aTzhE1Tg&nt+x+HZXww%O0W3(O!n(n)g^exD}YTcr{uE>8yKYrNn0)Y& z&~>8{!sLsIgs$6^5GJ1-By`=RgfMyCFQMxeC4|XK?*VqO(Uf^;7%q)!8^E!#xo|MY z6Xghr&oDG!gvO096NoS-k1#rpFiwpyVvI0$i#UpME$Yg(!UwC3OWpehQ-tLcI#Ghg zX8fTxj}HYMOdNl_z)dm6Xy7IiN@+N^81-kd1ShEpaf!L&Q)3lHmRGOo3bCE+>3Kou- z(;S6crb*ti(;S6cr%Cs|jzvR1gljAmZkramm-0NC5^k3ka%`RESYnOHO|6uQxszs5 zi=_hp93~ESySxf9tB5dAjxc16x&u7{45*^Mz}mpNKtG^AumP|kFc{bj*aFxJ*apA{ zw`d43lqEBni>fkI8>MbYLu2iV7hRnSk^e1hm5h&@aeFI>O;?+hSZl)f&A4M;<5ye2T9CHzDLA_gM}6SYTH>hA*H&ozx3B8&aLbxpR`w*38*6r;%_el%= zr>rmBH!bv^vc7Qtw9tRb`of}wx>Wq9tT@*3010(z_#;no6wXZx{Q;#fJTNVEcP6$k z+S(T$loq->Q3>H866z}S=fmPy$HOGlRp)L|R-!lxk4OvMEvba?sI<`Cf=UP%Na!vy z2>c6J9HWm-3tgwT5`E$EX`$=nC4?uYg|1VV5S}dII3C2}U(n)M$5SPAw|4w&l{05g z)`%PbLUgD<=EhsOXa+D7*bkTm%m(HF@I>>0gMmYV!+|4#qk&_9j?w3)$uG+Y&rb{a8aB(;nDGwJIL)^GXT3PK(_N~ONdGx1j>3B+oM9#UQ$}$V-j^1> z#cI)nl`niCP5M(tU-*!OGc9_FSE4vZKav)@7O`kw_^5|n(d_n$XdZbj`bd`h7_ zw^XCtyMcRw`vE*sqKAQnz++Tcos!>pmJxo7i(@5|?-U~aL6bNNlW!6tKT{mm;wVhM zKZsmbMwonSknq_u!o_Nz*>*C1uMo%Rat?u2)BK zOVS+nD`0+=wZOL1VIAQQ`f2o9il_E1X#FU;y<5qJ!oLB~v-P9^ diff --git a/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin b/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin index adcdfa7c3aa5753747ccbe7802ee415eba116b94..dd007cf728759e5fabdea4dec8e0d5bedcf5df39 100644 GIT binary patch delta 18 acmZ3tl5yQi#tm}>m>oBs-8^4_-vt0n{Re~q delta 18 acmZ3tl5yQi#tm}>m>u%HHqRH}cL4xM6$ZWl diff --git a/cpld/XC95144XL/xst/work/vlg22/_c_s 2.bin b/cpld/XC95144XL/xst/work/vlg22/_c_s 2.bin deleted file mode 100644 index 5bbd0fba69b964ad6e7e59e6f578d42f40b2b916..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12744 zcmd^EXP8yh6@7=H7ePRfqM#J%4AP`nU_c!O2N?xBQWe2biK1W|?7hTZV%ONQ7wpE8 zZW7ZiBnk-$(IiHa7)e>{yuIE#Z~NYaH{a)vd^z8@=A6BDz5CvK?!9l`-gMGinM|e) z5P%lLh7GT(9kx6xhBrw80}SL>0G!2bSA^?soOF$qds!U$f0^AD^=drF?UiSfWBpdLtd^rxQl&cHxm0zg~xeSp5ndJfhzOlC~^?rUqx^iTO($ix)m z6|-0@`Z1R5>#^R_ddszCE`i6Qy2){^KGb8K)U?SKw3(H!%x zS+oe;r9jikld2epkN6g*#qtoKb!}Zz-Z(H#t7~H99k6a+7_bi1^+2;oV=+1kH8?sf zSX@Qj?5UW#0ZpqXMS3XK8S6HeTQYbsM*xd~Yk=E;2Y^>`uswl;fMbBK08arg0dGLx z1ULh@2#AAu=dNC}3PLBVf!Dyl^4jbw{^hm6J7rg3cYtlJ0(eAw0o4Fc_;jEK*dHi5 zEK`NeU7g%gGMod@B#|=}AX<<}2RQQ0rK3ze=90n9|5Mm-G>w0onHQsFS_7q0wSlg! zjBVc1RIMyE%d60-FPg{<{|Q5mt+E%2(w5`eq1>FGXH#Z6mY-9uoy*O+(Q#DCs6p{e!}t@+*}WiVS*|^koGlv4sdM~C%ej3)&azy4mz#5w<=nCSoO113ZqCh? zvw!(HqThvpRT9Pj25&eZWCD(5}D zbG$7}I8($otl);8yqlrjDWPmY;@Ubx$&j%{c~3!9^i^r=CA{&>JG15N@?Gkf*(IFmb?PkPQG2Oo|zQ#;74z20%Fz@6kG1MBVM&M@0$K&P| zQc*c#gwyfBzXsgm_-jqR0lHgl-3{yXgm)v4kv=fR#q&%he8k1)N6JCRvhH#m>%9}W z+xYIBF=7w7fKFT*Gjh<;|LefLW*hTE=C}vA&+)gLd9O``=;H1{Cyc+t ziQ}QH7z-`Xk%wMf+PKI;r;{q1^u)_X&m_r@!S7Mv@iabUHa8wc80j>+#~aF`eNkDS zMzE)VXIv~^LQeosI-aND5nzquV^#4s!76YKQE_iX6I_oNfXKj6giT2_oFxj;uAdU5eWF>X7X^=OPTxb(!! zCcbSUj^uA){Y~K8E>^z9J39?q_Z{H7#&2)4vPT(Qnq4-^F8M9Q`9AOi7b}|`!>px#XW9&X0kg!k(=apG&^MDVY3cz|W0W4?$(V2fS^3Z<|$A zl)Pv-8dkL)_>J+sYzCG2HSjBwceGhmMHyULm26a%WPZf)J9MLqm9J{7)37Rj zy?tc7x(+I{0r;))eQZ`$Q3jV*B^y;Gna>k`r+wmL<*SR`q8dk+!>2u@Nbx;|;7;ZAYpUtW&%HYzfWTUDi z^R~l}{~_aI<*SMNxS?GbE6GHr{9UkgBmI2SHP+|5vxvHY=;J|l`KlJWEUcN#IRPdSw&;4fB5kI=ul5gl+mn9!MJu-ln?r#HA0`K{SGiE}|%d zN-rYWs4*so9gx2_pxZ`vUucXJ`tc>oC6ctXf|R5`67&PqEXB$tdAHCZ)0iZKkzf!o z#Kq#7900`5p-W##{*H5KO!5&(PzmTQL`j%@C@|dRKpkNiFv{_{j_7(!1C4}(9$?}b zXf&Wlh`X!);dL-RK~hk z5?wn=G6@Ox04ArtIO=Q?9=^WxRAytc?1?y2fxTQ7wy_GB;`rPle&`g9Nj?n;_64T9 zSWLb*u#e+;(A7YV<8wu=cM1mD57^)F3^W6n>3G`j2JG&5UOWc?wZ`kBVw~~7fyV1r zqkS)6Rv{nkjWpmtePOogaF-NpenZJ)@I6SIU*sTaQ@>vuD1e zfujofaBx-*hd{U3blAKK+8^tLjxVpOPqnKvvPs)78{NhxhdSgs7C27%Y>u7jfE-O1 zVK^lZ?0DdWLOv|X%3&#V%S?wIxZnWdt^gh1OmzU-DRcm`Ne@6ax_(R!CnMK#;1uPv zId}bhV1yn4N)l!0(j>A`5|hJeNN_4}x=X@FtN>QJ9Qo@?`?-GqQ1&Rh?6OgIlfzla zunIWaWnuO+fO=OI8XL|8&NW_f^Hq&A%_y5yRg}S{J&}#7GC5p`3>N?wxh$;e9N>K8 z)l*h=9&m~Aikmx8YfYgqR23Cv=+dHOqoPa>S0KUVz?Ciui@F%N%y_kgMO_M9<#OkW zdfya!zN@GxLzfmM8x>`8xDE-f1+I5VSQLKq4c8d2jW>Gf*w;QjxxuW#0s4Kp!8AciFv?$rAD3in8 zNN^W$k4wU$ZUgQ#Ud6JgJAixBTp)8r=^auRyo!plD@ryh%H;4M5jaS@UQR_@Go-+nNleHDfn86KKDR0z7WK?8!d?JZ8MM#}l)1 z!2gfJlcvMFVZoL>fjq`0@Twzn5Y;f*q-Rk!YM9Ak4RSpTJjbAjA-h}yv?WWygMA)& zq0k{bot48g(7k9nyxbNPKao7fX7DOrT>3u%aT~oIWE2+k;TP?|@uB`I@EU_dHa@(Z MmBTC0z0O$w0shc+N&o-= diff --git a/cpld/XC95144XL/xst/work/vlg22/_c_s.bin b/cpld/XC95144XL/xst/work/vlg22/_c_s.bin index a2d3c45bcef6674f4f6f9fdf3488c760dbdf82cd..2302e6317df5813e15e4b8b499542699df42d80f 100644 GIT binary patch delta 16 YcmX?}c|3E&0ZwMejb}F>=48LJB9K4=mV`9y*e-!6iW-xMy+pxo)F>+0V(-0!z4zXG?~01O zw?FJ1yTtKryfsFbpUMMge1hali^d9l%x!SQ%Iq;5)Mhuokcm&i?=EWW_duETfr7 zZKweYf76=SN}JU{Ynn8^q`1&#t|R`XH42l|NRe8U>16m=*%nVy19W_jeq6Opx`dAn zWYd3?ijl~Ynb$PwP**cIUCmrg{6lNv23c6?(oUG4uBL6;S6Xv;Ld}e%%Vb*fORb3? zC<`mC$W-`+)*PLAO_Nr+nuY21wons))|z7zYGx!|Hq)9vY0c#`uW8a6SFW7%cYh=%-A48 z<12+?xpGp78RHk2iB}25a(AQytolUts=F2!|No<*7bTxeWtu zBk*kj2TJsvo`)@S2snSHtTx}~cAp1-6QF|}8*IznSbhG8^haojB=+^m_n+k`=W9wH zw5+*#kmu)Xc}R~e`EzI2HOzSqPZ`%C;z6KA+Y{sr+HTxxHa9XZS8f=bo-RtMU}f?V~^(V4C7=U8AQHts_#a>m-6-#$6p)9$@cm@)$fD8M|oKl(*ba=jve<+edBlyApAi8kuSdZ7+f1}l zB@#IZ{lP)5yfvQF+(XeHl7#OCe^|gDp#E&c4p$pLBNH}6{*58#zt@cON8A3j@n|1y zW3fk*{i1=GDKtP7OFv z@?t%py!|%zSDSFi<6KYkc@(4ZYx->9JYX(x5pb#IjbM-F51Meuv3Jk>GeMmdOP95b zRNEgi;hfg|bHJVJw4>Da2TVApHUE5YbDXw9ZU4>+=d|Wu0PaG~=l)l!?cZ17oYwq{ z!Cm6CqiHh_jeB}Ea075Fa2IgD#>c3}UueepeHIS7EU$xq1#qQ~!FrZ=Iqa((U!}Ic z_>A+@Uj;~hRUy#Q6=O>)2WByIxZVtx-cO!6%aOcZB1CyA8P0@#EC?_nUG4y%5gTG5;QL_lDzvyBoOA@pdjx2Y&`|8Dr?} z4md^qnurtCsQm*H*&cGSTKN9kp;lx37a(JxGtOUz!Xd^B;xTGmp49OiV!WIl2c8Ic z>Yog_N7R25v8U7?&mPTRhQc9-?HLBq8^?Oj2HbPtUI;i)GPh5ZuVatqFGJyw$GN`9 zAbR6q%Kp8I{&kJjvq$rP(S$>e9T@Xp2KP!VUB+!t+wY-p$g}5R{(MlcIc=lb@+*lp z;>P(eDB%#}dfxPT@VReq0B;36C|T$G=s(g}6MHnjPUGbNHiO6)4*k2pd*s+)Pl#E4 oeyzrNy>Q6?0QgWf@wI__8+ga@_H14PKM(krG4%FsJP$kaF9BEyEdT%j diff --git a/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin b/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin index 56ad26131af62ca410c23a586d8528ca7d73a95f..e2ed2cfc8adddd1835f4840e67d396407b418ff9 100644 GIT binary patch delta 16 YcmdmJvC(3~CRS$0jb}G+Wvvkd06snj`v3p{ delta 16 YcmdmJvC(3~CRSz#$$y)-vet+J06R1WlK=n! diff --git a/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin b/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin new file mode 100644 index 0000000000000000000000000000000000000000..d5fb1cc92f9de6619e9010f8bbc6199c5a6fca9d GIT binary patch literal 21682 zcmeHPd6*qVy}f;BCMzL?JqaNpgiRrY5cVZZW(EjMNSH|oix9FCAUBW&2y55{WD$@> zc2L<=ltmtE0*Hu+VG|H|vZ?TRN>Bk&(Rfbv@7(;lxc6py%=bS3c=dh1bl0hK>Qq%% zcUSctc=3}3OACcUPej7w(4kvToILlK_LiZ?rBLy^ICe#BZb5t@f=6KkDq#H#Q(0&8 z1FatESC;>G{Z^)5nSb8$mHDl#Jg;9KzOHYjYp#0yJm}~(l6F<_Yqe2hm$!{uV$Kah z{Qt3Yf7#+>&FpGm9gd=cu>_0t??eq+QC#3aNxgy-z*^3~2+SG6+M#rudy z@^tQxI%j`bPdh?OGdVq3%x2s({KG$HmvaCF8ZI6@NrXtvaqY!ft z^AN`)PC}fG_!{C8#N~*q5Z5AZM0^YJeZ+l;2M|pAA-a}EwM`m5b`(x0AnHp^jkG+4 zMNO^yTG4jM_ADJRrjhwM3+AKeuai+~Y&XVeWV@iSrL7HxbVqL7#3odhu%Gp%wiZ@A z8PQN0J+76nzUheWC2FjeLjlls_;xL9+(U>S=8?Mol7vz-GGkkCdVR>YHIA7uk=bJr z4Wq|4HjRhtrOd!|?yy3kxQ8;^Aj2^ZC1^u&Ph`54TAS68{gLm|-qb!iG<~a3Y8}Tp zU3!$7$2T_ZJ892$j@m|uhQ`*GVPoLQ5%1nMee$%0lh7ytymXWYQ*oISK7i4ywiYxk;kE6GUNz4tVf2ceB0bgmbckL7 zRBYo@{Csjbn#00q-j8gL_R=)8tf_q>FVhY{x|I$X*Jyp&go5T-^CnL@nw5jXORT~E zCiT|$bjIuk1l^r6VeZW7B~A*?>;~1=3z=@E2^iUQr1~;He%9>is1VI7@&+rmbA;}3 zB^cA;9T>s=Y zWO}3*22JP1;NXDT%F;V<9%g&mP+s&fwbN`LWE;Yufyz6fp~afD6EZzR56id3uK?MU zPSw16=@_SD#tYMc>mJ&;Ei(0^8^byKm=0G;#Pq=oX`WSTZNb@h$Q(C$E++*0+`ZJu zy8!bJ8BX;wz=sTxl(jR!i zo|rsk>H>TM;;V=kVeusdCRy>$w7BZOJ;3jSSRa8KGPwzX5lh}d{14(I#9vSkCtHH! zd8QyTAEg8UZN7CzJenU)(OGN0>@AQmGYLp*_a67dw`X~Z*#XAw&g&m+)-!Y>i8 zAUH4h$oy}_n~1j&?;?JScn^VA7XBCU2gEYO9}#~>e1bsB3x7rY9q|vua&!W{Na_#` zh^~n4h@L2`n5-W~JFXYy^%g5ajCu>=F<*d5p(_PGk%YkIO3RpMIgV8;H$4Z0sXqMM zV5(q+t60^FeOparJ9gBnyIHe&b((UO`c!8`UQnjh(+O&Jxuk12&-z-P^+vouwJfZe z@ysO+s}gH$LoLrR>L9*kp6g~jb4k~C{p?c9vx^aVb1?PGc;=FB;5@t5^3*kcKea4u znDNXd9ppT_)$;6S#MjJoaK9<@As81bj6WdV=s z&Pum*!k)D}dm8aM^BkJ-%q1P>JXfpb86G+y^Wn=hJmZ;5I>LGOs^!_sh~_0`JuDYJ)CfjTAq3^^M%VaD&v_; z+Uz|0)bi|OM83qC_RM(ZlJ4z1*R18arV$sXmW6#Xp1Gv0&U39=o@*JA3mGOX^ExXX z=Y(t5^3+R@3nM0MS~@Eo?}Y2r@?6J=@1&N612Ueuqz5|Bb!&O9Ys8Pu^WcnUF6mdC z=X$j~!vYKBPtEhNjAt(C;m&jYTAo@^aN)}|CF7Y(I?Z|Zt>xL*h!3Qeg&7&oT+$<) zXTMsW{fu~zc^;YZ%q2bAdG@d6+24rR4uw?8c;=EG<2*O0<*CIQH#Rg;uv%)UEaGuC^?5Nc_ z2)jD*&m_)#YB8}eiTN3(8$z0&>mmD^MSy` z!X)O$gVhnb&aXZ86Mri4pp=(x5O@xfFp0T&*wBeTlQ^@|H?c5@xeFNR z#D9}`usMe%yNQKK%(6jF{JF%LwYQ0dNz8TmMo#=si8G666AP1=3+%xw#CXpeF|!(u zFp0TR-q?wY5@(jRCKe_!m%KxqxL)GTC_oB}I-Y$g!i^B98YqPQphey~ESrY2lHC=kf|H3GXK0 zc(5n>Ep{l%eS3z=RO>9ZB_t4s+UXB)j+E#j$VO+%$P-4Q&*R*8B z*R{h6n6DiHUNiDCX!AhwIRgB2F1 z&SNW)icRgn(7urP64?o{J7N#SC`2=2PsHAceGsjP{Sf02ZHVzyvR)<`l`nCnCwybd zUH-e^Sx64BJg-5so%=~9jH7s<90CYI*i<;7pYG!5)nNJL5L9$9;wvtrFhqP?+2^lg7ce@Mai6_Ry&#Mqm7I6&iknV9rVYEIqCURRBVt&&DTvb$rz6fpoQ*h_HPe|%O({QAD?O0LrrhN}3vDVS z=UJX@?~w386JFqi<78dQahwq!G2w-Ig>RYg;=ICTCiK5Cb*QPIneZ~_SbhUl>f`=K z{H+Q7FH9X0zGuQK^E&c31El0?C&a5Nvj=IjvWLm!IS#@?iz6&F#$(jM<2uCk5nc!E zhAfs`ljf6aQFf!1wW9)TJ+yf&@Kwz85NqZM4#Gm8Jccs*d@GA3H>dgJ7LReq zpnpAk;tyk@e?5ERJu%V0o;~qC5f8G?_}8;Aw())u4>6)&whANhftcu5qn`LsObm}S z8y-)5BqoMOSOwx@5f8OC@+(h_v5k)joMgne98nmFPsGG!Rftc<#PA4W?GX4HpAvC0 z3z7T{ER5Dq$HXw{sX&dM_)JU;le_})*_aq6bp_&55vQ^c$=}ez*v98YoIn=ZRX=Oa z6fM{BpJ0sg3-+}j_&x%=!vu?v2 z=7d;mWFEsatn9Dk@*D?Yuf`bi2{HbYoEWjgz<3NC^f`GG@m7Stk>(RDPLsE-Y$hu8 zFNm4Mk@%a9S|-f5EMRO9c?kr!QH67n!@zqCG~6@^R`bbw5&mwPPw*5=-nTNW%`y!; zf;iG*8uA1OVZLDjL)jM^CUUs2z5;N6kN5-P!wCN%%_kU*WSN!CLgjwMW)Vl?k8^66 zFyF9%Rce^XVdAhRkc^!C8O9$YK8f%@ruhVyKl#+kj^+^wcUrk2!g$-4e_asx_bSBl zF9{+(S%p~s)nIn&y!;=ID2&$SUkC*HX)6pwj4NwGEdu>C5=LVA%fCQ>S%r~U{>m@V z53?{5%U|?M)){|u3L~-n^PsF*_#$}Eu zj5Tf$6F;j$+)%`MR%6&D@f-qStZ`6Ge9P77iGyRJf1P{ckeKLS=bpG}O!O-WPuyI@ zBdr5`oohGD*ZCOW=uAj`aW`UOC%E?$+^q>ZT*RZYh=qQ!0nruF9nllf3(*_V2Z3ck z5nJivdI;1}?2j0L7>L*iu`yy3#Ab*sG)AF4)~E$)Wmf_|fxW=;FQ$zwep%%#>xl4q z6K>^%`Wsrm&=yR3LBx~& z2=N>OVYJ>UCWaBIK-@*drT0?A9U!B@B6BctJB28xi{MbGjFv9tlGp z7~bwd*bHHdanf&6%0$95m`A$LgnL1_Hv#0&^p0m5sHTQIuzO9o4}|*~=PWNgD-wo0 zus2OO2EzS}bG8?r9SK7o*sn}D4#MzR1o?9iex-5_wMhP9CoJe$NSz(|Owbm=uwr{! zRveGA@KqiBbBQ7O8!cccLnI{=E!oJ`G?PSE1AkON;+>7*Is1w?0 z2yJ%gf1cG9rl9GCeS&h$xPT$cJ{{qC$j?NCg`~%E9%g5ReZdFXqYzpGvQ6hxgXH@! z%=bx{?|Z;d2d{kzF^3hoI^V>(`Xny<6khM*;Yj_lh_H|lj;eh7r6+ejBD^de*Z1FZ zj{`TniNrO(6M!Edwb$P-==G&Wmp%u8nYVuk#qr*~;rKcm` zY|ON2)922gb@;T)Dxh&WeJ^$;ww3o2)*!wL&Lm8|_#=%+I$tZk<`=#;^LMJZ)qEpa zpPi^{GWM_DYaO=7w1%p63bqY)GIk^;Om-MH2NPf1we5$SV_))Wo|tn@W7YL^T4U<7wf86d(vrb^LmE1zQ<3;+Qu1d*X!)B zz~Z{}Op@R3Ij$NtuJwufj^7?QoR*x^vk;!qu7Q03vdoq z&|ei>w0xdG#Mfdy14rBJn~OK#73=7XVts6ys|mVi&p4cJoxr7jC)P82*S$2*gLShC zbk}1nHM5KKBCL0yFP3x{LQ1PHcpgE|z~aND+Ois`YS9yt0ZX?Hes{H1H{r65=M2o# zy6mTcx${|`&NVvD?Y{4|*;AtFg~xKfxXaFBo6> zg}DlATR0hw21cEY%ivhk{7bL7S{pITYte~+>VHQo&;GA-zZg3PI}SSmI|(}lI~6+} z)8RTBI~O}28^A8Yw8WQUn)wRsD(rdK3$T{WtLcs<>J^Y1s&0>O_6%HdFf=+6X{6FU zzed7aeNMJyq;rOJ=#tiSPTkyUV@5t_NXMpPdvfJHK5$<(Mc4XGa|}z}uv5+lJm*H8 za|U(ePC4)JoE*wErEb=VxYBcO(K%;OpP6!w8t%Z2a-wcmHGUAUt#r~zg@380?Am(d zbB1)vNay6yWNqrm=M3p~Bc1aJpL2(i&l%EZr#X9v+t0XpZ}d5LZklsM(&?+sDS*5& z$KyW7t}W(hL*2bo&Odw3Jv-+N>fW7l>W!+lPv@LLotbiu$#=vUAK+DHZU3g6!%`3I zl=Df?+1EK|P-m}}lN_->F{V9>y6^Tm_yUMwjShFD>e53-D%2-|+T4-P8PdZ>I_C}5 zlwEvWt*vzaNQM9OITwt4&X69J=8Sj0eMSf;ePH_1(uKt*M(p3w;RMlM>TSdtHuyHP(~FC)UOG4sIjXm_Aoy z=9BBLgC|hHrlXz(mo8F>6b6_FX8@3k<&1vBT(cWoo#2V9&htQl6PKfR0)JCi^ zzRiZjXVt~_mT4o_*t$u4PKe_vV{aN42Nu_?v9VaJ_IY)&y+YcEHKtz>A-qx7u%D*jaXwFB=N-|jz^(A{aqYbT(ibDOyW!GVtaPC5o>H*5-+cd?fKnC ztg(%-&|Dr)lxR=WHe!v9PvR@JYBz|sPY|pngVvXsyduW~?&U)s!YCZ3V z1{Kp*NlAMlwn6~?`s!)<$!Qp4df>fSgTZs`B{l4&;4iOXK&F3H#_?BapoZm*in(6_ z?UmxG;RpUQkH_T;9%rnqPv!6W`E@_^=b-)QNbH6W`K_ zc)t_h)`@tF6W`H^c$*X7m53X;pZDJ|=U$_6{J?Z3-d)4q1NOceCb`}`AHqI{eG2=W zbH=Nu*>=fcEHL|Vl`+Qj`uji)dq3C*g{k4kuT9&q8kXM{#)Ge%AIUu84`Uw{riQ;s zPYq*C*Y&l` zBmOG(bzy4wTU6el-CH#*@2T^(?PH^AuF6Rc8_tY@>%K6>QBmNKU zd!9!*<1Ywye>pd>yr+i2SI!@19`O&bAElhJUtf0KR*W}fL$N#Y9T8d;w3P>s;H&*C z2JxH5JV#*ph72rksA1&LA^HXO%d98r_(X|l4W{ zXc`mFcwqSs4J_}lVenP>@3B8*J@K_3{|^6;?oYzcg-;r;@JSNUZbGDXT@_l9lias4 z`HTmaA6J3p<8Byy6|N(H1M(p~@t-~ZFZ`A6PsY!MPadxD$r90&Ky6hO+9XY5!Wj?j z7LjXMKL5spqQb?!HFJq?^!R4{+uWbxz5N6Nk2vO;0;V4&cLpE7>#hjY(;gB?ySoal z=xr>600y@40sXUy+C7OlH4~@$z+)A!>%K(X*8N*GCZEnQdR_AUEwBe_nD+j`8U|$g zM>39Y#n!O=lQZVl)juqr8h+sa@OV2LX#U(YOcT={%RFLT%i}dnAE8gyFd%VDtVPUY zYnQqB`C4M`r_hUL4L|TF1Q2gujWm6x8par{#A7iq)zY1{#C(pF(al&T29F2C4n9w= zJFr~0VW@eOmvua6V49m>uVqZe@$F=0OocbcWh{_=zB|67dRo>Rrph%yHymd)`S^KO zHq2Z!@fj4k#N)?QvCpu`Nlz>MPAX0OHK_;9rr0wyuNsNs53~7N+G3hG>xjn#ZGpwB z*|fx)WAVBjS`RROD3#5yEj^F#Pgxg?-@7H=W`1VMDCbQdI*Cj=-N9IFi^1+)c51xs^qZY%N$7;}op~uaO8~SlI&PpZB z7@-cbFKE=Fzu%M{us9@!|n~}NjgwMqG%{YEu z`~qB)?cs5(Up$;6j_chU?Og0R!PmMS7J>IeWW}`WbkXqUVTTJKu*JvCH(qRr zxbrhl0RJ7?jFkmoM>S(GE3Uoy@%Pa<&1#Snn25Dl8?gvGx`vCY`IkFx2LykW1~R6Y zrW^Bg>@4hDAD^k7mcKp>bN+D}#1Bk)H0Q~V@28%Yufs6$G(hihIT7e2VQS#}dyi{5 zPxCkqY|d$zh?#?IDR!zbHHN*;ayWUjIUz1@;>v6dd z?L|o&H(|ae!;;qHvJCAdN$U@yat!#f*m+*3Jv8z2wZwxo);MCF>C3RovsQD{v`){% zUWmQe#}8Fc%ef7M9}fkO%T?%}ThZ-I%ymDnlVND1E|1IefnJcb_CO)d>l6=}(0W`} zpnXx&+Vh7v*BsBJs5xS-$4ju6N>5#Zim}&XZ}9PX>S;N*Vd86m-sAELbgvYq z25!$f+`Jy~{EKVyxV#$eYfM;ak8nS)hhgLmt;gkcK(9|)dv+4%n&WvIHAk%Vcq8^E z>B${9uC2%08G9G@ZXdU2a4mN{mwPe?&0Ddz2~#8Ag34=J55pLXYx20f1L&R3mv*81 zc|8nET93=sXs=0Hdq)uG^@#UI)Eu$a<2~4Wr6+gbxV9c2V(g>XCwzR7dRoqH82nKh zp!c|Z0Nn?Lse#*j1~;FNc=yD5cw9b=_9G^&w2R%(>tPsqL+f$*7|_R)c8UABX2Vj= z5oYmdth(fz2RTi%o0&(~=f+BlmYm!AOrG->U;fPEGF8ukN?p*zJn`MevJ za(Z0&TW0x1%DL42d@Y8djXfKR(f$hiwKR+ej%#bmpCZejus^ydH+3jhZ9Y{m*??{vr+I zfomF_u~pbD*zG$%eCOY!S2u)`TQAJJ`MI6>`ur1T~Xc#_I~Ux$NimJ-VF8@ z>~6>X+oQY;?B&?s96zM$%N1Z(V)r<{kK>EMmSFcfKEv^NA6`I>+|NVbYZqvob|YBq zfnlr1<$e*#{Q&m&%I_LbPs_j63`4Ky=Ytx=&)7rFSa}%Uqs^FRm%dRlj(@!dYFIu` zF;CALaCCkj$NrhQq#sp_#d`vKs)nmXp1D?Hy2kRDmRMXhNM2KU#N!KXpn1&3PSKnC2!16j81|`;iI1cO6;ID9vv3Xv! zjU3~*$}jDD*an%a+Q8Pw#?|mxn~R0h+U493?RXJL6xX7h8)2I`ZaDyBZCm9Gj_TYP zv%i4Wa`Kna#^$x0afUkO+zjo6npSmgfo)mC<9(ECdM3PyHJ>(|*BgH5jC zJgXa9H)D-;z@8;cjf8RTqK4(wNYj097+lRW)pZ%$wi#<|2XBXF47I&G8V53V92WY^ ze7^fca7|$O3>XF_&h2mrj>jewrdTKbK5EBE@*eJX_a#~ zY|k1V=eASMJ<#r5(<g+3J`0W2krSat#Y1+#dlcD73U^mtsUQ@jd(W& qE}A~atCez diff --git a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s 2.bin b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s 2.bin deleted file mode 100644 index 43a1da4814908ec2f386a2c772afc7b1555c9a2b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12663 zcmd5>cbt{g5#7sDL_`E^5b2132#Sgd3M>msSy@=XMNts}6~RSCP_SX|z4zW@?=`&_ zlbBu?uwgVB6B82>^_+QgHuFB-d%Ms4k=&odojEgS=C<#C-&a0nuR9|dN%ugR{#(reL zq%$Tv7jl?`^NRWm*qkS^LTeH0s`lr}6KhhBHpkH1IO2RbPtAjQWH*a+z0Ckkt_0*VN-2`K;0|bj}NnL*r5o?YnyU<9JFvORQ09y;O&5 zM4ffCUet*(hjfiYIpx?oX$#pDvN@y+ zWNQf5yeFhDWB_C^WGG}fWCVoc9}O7?*%vYy!p3(~WpxdQ+Zxgqz|Ly)O%!gMG*)2LZGZ^155u7tF1m}RN9gx+cz*_RNlZJ56h{pHjr)!GoZgU&ql z%rRC5^IQu-R1FLm;39Z%QQkjjSo3FtO~v_oE>_+prC8^$kc zsHKzJhjg=;dZO#(-$=cxW&-iOT_;`xsqpQj+LBg@p!2ZgSgiCibPnh=$a9eILVgYT zBYcY>7eStYZH;y2-E`x7Fh+Py9;;9O@_==UsKesp=O&>2ceAz{>^J{ zl~=PY>NcTfyHYi^@qhA~-STRdMg2m#H8*%o+~%K`+N)gRdav21{F@~J8P@_ob4k2gzQv2231K;o(gYs&WMcpyf>=1WA59VLI#!h)PN`?;GC}&s~`M6f9 z@ei+o*NoismRf==b=Pu<|MHr31 zRS`0ModS7rmTcEo5i)&S1oAOivfWcf$n@Yr3yU|TVc_v%J43mg8*deH*t;S2e8>fghhA}^4DXwQX`_9>Ahe4h7ZX6=-GXFz zFJgDvXz!DSb}8gC0_b~0Vh@67A2JH|p^^S~QIU|-kcF*nR(Z=xT%FmC0|8SEBdw`DNW8T+i`{n(?~ zPEdi*Fa|~&y8Rl97()2&xgByx#G%KdseG(^7v#=NtZin*5X0ksZw4d(9?0DhhrR|_ z-dJIh|3Hj`P5%9m`wHL>0e>)q1F}cer|PppmXB6GYlNI z=VKgfuIURI>_uQNXD~n(`yIyzu}6#N&M?GP(t%zvaeo!V52jZjUy8A?Ii9aTz8rDr z_IO8(u^Iboz`jn54f^1mmd7;=KCQRxZvy(3$2W}~*jFLnfPC9?YAs)Kdx&%5dK(4~ z+xKD|Y|iid8SDqZUd>>DEcRE9@5CO>?n5f@3ybS(7`ZV9_KzVyA;t!MXim#p8(}e@ zVTjjy$^IFjpL>p`e87GR`9;7ro*w~!4f0F+&})r;==RReiR)+>V%dHZ<6v_gf1AO6 z2kZ|S43NdXNe=c%#-PPBY8Zpj zxK{kUpnKb^0<0o{|ng~a%<-xDTv>C*H zBmf)Zk4NXo|V3k z?I8mp8tcRY`3(a{XVLA{8@xVYY?EA%YiJm_$;aS!>IbNQ;7)cuu8CoR>vkFd?hb)F z#r1fv7zQpI&&f^@-KRAkAAhzBi|-1!d?;q%nrQyJK3-zYC9>*&zzOxMToO}2f9ZMsSQ|}+n z&DS>#0zMd`cUYa*is#cXaCClT^L&ni=nfW+P+OO&@J;t|%<#PNPXdN97>(;#4bgKn zv%okEL#)oC+o=kW-78*emUH7dHjIU040z)q6Fi>la)A38$}vrb_&KPk_qez=hB4N8 zZl{Uh>3L$_+0Kn)G7LV=$L%x)kj^u?zD{Wj@Ualx0|$A|wTRKY4BOaFwczQ@Fz1{C zb1@7)jYl^3xelWJ63#L_zxZF{X&z*Ouff5dJMOh%92Pr8 zdwkPg0GkQX*(L7JQ`!gkXvjixfcyTZp1^uRj&NLe5XXK&ES^8WfGEIuKIaex`#h60%FMT|oX*I+f|j111F{4~hv8Jv`}AZKQ9 z<~bE|cEq8_xofPtQQS`Ff_ENyY$zc79N_2YP{XxWD}kQ^xxnLnkJAdbb!g)`FbuiT z(#0{30RFoqA4``4yF4Guk%2$%_+s{G@f;Y2NS=c$=!7ne3Ge`=Yautd&(1M)d*C9* z;Cwq>4c;}x*x=SP#s7b#Si?59({o zjJpMLTP6-=(yfr&BMv>jIh2FzduNOz8UNh~xg+Ax=TMu0G5f*>>>Tcy6pH?pRXmNeS sjrWtVxKGN*eR>Y^JQHCE!l9;TAkP-SUj+U_KAxV;+36|xUgBIn0|-ON^Z)<= diff --git a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin index e221d4af3fe3a0499edd50db719eaeb05f333fc3..a980224d80cca70fca3c95838575166f48ba3e3e 100644 GIT binary patch delta 16 XcmeyK^gU@qCMUDw#PcJQ{+&wijUhKAI zdxjmvl?z8MATCH;xbPo1t;8QdNc;n&y})55ZbW3gveKDZQ8NO8V{EpMW~Dbt*p!+|NMuqe+HGn-`l)0X6a4*^e6Glts6S* z!U;mxn=m_YtjHO#OE)@ew0pU7!-`3s0|DVUUz+-1MF_2l00PG_P;?{ALNg)d)kQ8SWXC(9``; zsyNg&|NAcd$CzNhWx+xGVtyMpPb7vnzxekbEWtwX_YRREZNL6{-t=di9a;~m+38KoY{e zJv?Roix7Ta|%fkN}Jid%rzDdaI6i&Q;O5 z<^oVpSF=+VSYM%CxeH~XGlInebfy(1Bf+J5n0!+-4f>vuo+C(%I7(%mlkwR{8o~k~ z@u{oF;k_z84xsT(C%iRT1WPVD6-A81s%}Sj5?+OoGiFmCLZ;4nNhpa~U4M5HIl;t& zIUEk}H42wOB;Ju{4cO2>wKSA@KAjETNman-OpqrLsT*<(OK(n~(J9H4c+|~?t7>Ns z%qFi&VYd#LR!-!@8){DjH`2|^JOM)D^sNBK9?po3VZNkkiV}v#nodB^ohwx@Ws^Y2 z_9i1;T_jZ9f@|T0@q?}r(kcl^sRXr=WwpSH8eRbzCUL86DH8&N7acMqG^$FZR+%P0 zp6R9XJ6(Wej z2JQ^PCSE_ZE%4gf@PewS;F3D(UMUGvR_nm3PAV1vMF>#|(nr{j@i_BHeD-|sdZ|Ynt8Skj;PK&J%Jlc4amr*)&XPEzX6y_ zZLMy#spXIwoMux4#uPxOG?`krhN*SUrpB}BTA56(Tf@}Mi!ER~br!x8xWUwbjBILM zqp4vot+hhtyOR^;h$_jdLDSaL&^CKuUJHjy7_K`Eyi48slY}BEn97(()nyQscM7Mc z4D)6n%@8!kbJu56*zaam5Lmitaacx?n5T|%Ul@{U;-C}mr>Z&`_2ql5<@tenLIGel z=&>HNhZ<)oAV{jg3cfqM(rj@gnTE}k0w5Kpo&u)u>IvXJunvE@C5@_pW6^CCr#c`) zDqP(+BHlE2*u&Li*X=eGyflv?;%WNU*%~g`I~q$B5H(xCJcEq@?O1JAEAZqGs z4uv8wUEfPs#N-P;hNX@YM$$QbEAX_`C%62t+$RgzQx1hARPcX(LMq9^$Lt7I`7q() z*T4PU`B#pP@b_)=sjc*|mW`*Dn$}`I+oD@c9-R;(SLrX$;wQOk9LH+$LtWb!PjZGZ z-n@u6SQy5f>>yFg9x@Ad87>@Vd$5w5Y~N5o$`+NWPn`*DEJ0U+(@S3j6Qmv|oI?$= zbuKL{2a$MvD>+yoQ(<~Ffji(~Y~*=9wB&RW?X~nCqM8)WF#_4x4;(n9mYsD?wLYn*@BVC?LB=dr?wcq%hiD%JhM0-*>0^}1hHCeSbSK=kwTrT5g zt7UtA!c}$Uh1n#k?19H{&dBY1p3+xgVL5=bqquMN3SIhM#-fkh*!xLO)Os=doranC4bi6rS zZI-iLV|lwxQWnjN+2VQJ7!L7yG21?C4raSq^K$+euFidsCq}FFYO#9ylK0;8#cqDH zTJf7xv-w>K!;_N%(0+RKa?SNlx}v#~@0=Csa1V9~7t-(!*ftx-4D-u= z(2%ck^9{uEYi~j5&+nS!?G0)f`ff9f@xouylG)w2k#lOnB^?0#bhd8x=etG}!1WH( zwM^4}xKO?bC=RGh>mnd{7)_-sCEBSB41sdbOq|IaMpMN?S83G4*WmdDMZ;LMB%$q= zeN?sEM;Xm5uE?U%D5D3GXro7IEQoQ&yuwsQR4aw}!)WR-n)<(8)%af-O+nC>MpI%q zHI1fF@(@oC@igD$!FW)3`D!1I_rD9>)QRO2oI&OBdh#ostPmA}>^hEwD0G(1GpY#(3y3LR#r z;&*6Db1XShs`fu+Otoh#Noc!eA4Ow6%ucgy`RewlXqmLg^ z6GQyA@j&raxliAD`^UcoV*J5Txg$7`wuMj71&;i6zBa_g?9{ fh~jq>AsarNSk9Neo0viT^Do2RfARNufdBs+Aw9*? diff --git a/cpld/auto_project_1.ipf b/cpld/auto_project_1.ipf deleted file mode 100644 index c80187cca8bec9e075ae0645ea82d549d2a3d458..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 21260 zcmeHP&5z?q6>nf!q)4N^a05Za*9unCX(!F@&YW-@r`y{}Y;31{dTFVY>G8~DNjg!I z8Fmp@E*!alxFGS5AR+C407BwFK-vo&R^rBc<*#z3s{A}75J+h$QoHK+e)X#A`Mvsd zaPg%#T1TqCdyvSO-~S+r{m^Bs6KJ7r8Onmq>Z34Oue`fmvbmcrOwwPKrEgcu)mB8QIyfS9#P4eug$tPPca@nOD zMxH-mPUzaPJ78B{eBQE7kKb!;TVnpmj$#(sml9+&+wQQL2lGwq=Ei!^J+|I`|M=b0 zW9tT!w>MX_&H8@i-rTMiPi}y-=+3O*mUY~Nl+pa-G)<3cw7#?WBEK@Uc#9?|)5h;!GlI)&@n@ zn$0)lqB4o`>Pccdt}~QO?yWbbpWcBC{=Qo)n<;GHCeCm&TP7`NDE<)4cFzg@`N(18O?CdsDz&GM^eS1 zuEpPX;6KI$`>hHNl9%(_qi&3|ag2*YkET+w9O<;Q!%#k>rh; zJ@VFsTxWInbpEUWj2}hr@khy%N)E?%I-=|7aM#hvTt^38N2f3~5YBBYt(w11t;@1f z`^t0O#nZy%LjNqZ$IK66@GVit9*vlD?m8FlAU8@qf~5txceBR}(A`RnSjI<@8^+9= zc(IPLL`aO;YaucANA~1`E6Il7e9zf%%C+_aO#ylVsXTQJ1o5%`C~vCzvIH=x9A|jO z`j-(J4>RR6 z;4-jB9lZdNIMv`v_d$YtRD!s`Hs?xE}Wlc9H}X=yE`V)hunkHPJgBbqdHV>s2JAk}aYApPbBC$&Ij8}z!F{Kk zWZx~oO%70oNxG`zLLsDc*(IPMpV4^;tn>&|2$0(iW*)4876V7Z7CN5gBKk#BQ&Z?q*0kBKc4HQ z^5mMDOh+&ZMmb&c7XXr$u;@PM+qjV7ja-!pRsa&Ex+4n-!2&eOs-WaDuoYs6!Upaf z!X|z{a%}M0+VFy^sNj-1>Rzb`Q&#K1s!nPa07VE<3FOSyFw|Ws0O*uj&(AevJdQ&SPRP8{(Y-%Nd zPH8eVi_uNZLR-?C8qcO{WimC3(M>H|aWm=F$kc$(U}~64ucOJ-EJioAZoR1$5UO?{ zU^cZ9K&LdBTDO6zbM39bub%+!1Do(yThgcsI2PSTai#+zq{7vG zBjQbShdo?FcHM46$xHJXBA%vilda*By{oZQ0nxAp%%d2Qmp*PTrF6Rv0-~Y5=1?f| z()GQ9MNGcnV_52_U?iQhw*pT~eSFIg%YD3nJ>^I^LIwX9C#2FWe9VtfRSy#`e)GHE zUwq~02!G!)pW4a}YdLsosckRjvn{&C^wEtVa#j9{EPj%!!Evk(Kh(8-`Ltk&lFiFx zgN0$t$qy2BoFTJem*LW7jt?uj>Gll;q-;@{`plic#u9WDIKAveFhQDe!a3A1U+2=Y zauA6(u+xJDG8LxR6LsA?iut93zm8gV2RjYWbPCihflDjj^s% zkW<7oWYu;{g_qbbDC1A#V7lL)Wfo0AW&a`xt%HN%u%<7nh9pTS(N#`MZsYZ|~KPRHBB)n+-{ zwU)QrG-c7goGo4?t>F-#7qjj2_F%S~wXfz+;OgA_MPj^KuNJFkpYYy$vDnQYuU7o# z)Hr`=<9#aU`D}hy!SLjC0JNVTf3oI!r(Mxr$#>4mbhrn*gbQhS2W*>2h!zCR6{A{*v_vgD-9K!Vu)3r>~ zeYi-z2q+GyOzR>bco+LRV$f!`I;X1x3SHv?8JHmVH#c z+eaDAEUwI=(I}$_l4zqxWh{ts#=OE*Mbs;W_`_)GFq-KHm-hp5hO^Hk$50nR*MYsKF@+$=(|jLa`wAUqr{Z^L zDswD3QmXbpWlX(it4L_OWgkUjKFm(@ZTb54!bni;s`Eub@qkSwmq>QlbCS_ zw)H^qRk=^!dHW~70%H8ZQMn^HkhX - - - - - - Current iMPACT Usage Statistics. -

- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. -
-

-

- This page displays the current iMPACT device usage statistics that will be sent to Xilinx using WebTalk. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
- - - - diff --git a/cpld/impact_impact.xwbt b/cpld/impact_impact.xwbt deleted file mode 100644 index 4349c44..0000000 --- a/cpld/impact_impact.xwbt +++ /dev/null @@ -1,8 +0,0 @@ -INTSTYLE=impact -INFILE=\\192.168.64.1\Repos\Warp-SE\cpld\impact.xsl -OUTFILE=\\192.168.64.1\Repos\Warp-SE\cpld\impact.xsl -FAMILY=Single -PART=Single -WORKINGDIR=\\192.168.64.1\Repos\Warp-SE\cpld -LICENSE=iMPACT -USER_INFO=iMPACT diff --git a/cpld/usage_statistics_webtalk.html b/cpld/usage_statistics_webtalk.html deleted file mode 100644 index 5d71c39..0000000 --- a/cpld/usage_statistics_webtalk.html +++ /dev/null @@ -1,73 +0,0 @@ -Device Usage Statistics Report - -

Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
 

 
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Software Version and Target Device
Product Version:ISE:14.7 (iMPACT) - P.20131013Target Family:Single
OS Platform:NTTarget Device:Single
Project ID (random number)62b3f0bb02a74d88a0c5830d0cba1df9.5dd6e529715843fb8382f9253b38abd3.1Target Package:
Registration IDiMPACTTarget Speed:
Date Generated2022-03-27T10:22:52Tool FlowIMPACT
- - 
- - - - - - - - -
iMPACT Project Info
Use Project File=YesProject Entry=ise
OS Name=Microsoft Windows 7User Lic. Info=212056110_0_0_574
- - 
- - - - - - - - - - - - - - - - - - - - - - -
iMPACT SVF File Mode
Chain Summary
Number of device=1Number of Xilinx device=1Number of Non-Xilinx device=0
Chain Description
Device1=xc9500xlPart1=xc95144xl
Boundary Scan Operations Statistics
SVF Operation=Erase -p 0 SVF Operation=Program -p 0 -e -v
Cable Summary
Cable Type=FILECable Speed=0Port=SVFLocal_Server_Mode=Local
- diff --git a/cpld/webtalk.log b/cpld/webtalk.log deleted file mode 100644 index 2cd6d53..0000000 --- a/cpld/webtalk.log +++ /dev/null @@ -1,16 +0,0 @@ -Release 14.7 - WebTalk (P.20131013) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Project Information --------------------- -ProjectID=5dd6e529715843fb8382f9253b38abd3 -ProjectIteration=1 - -WebTalk Summary ----------------- -INFO:WebTalk:2 - WebTalk is enabled. - -INFO:WebTalk:8 - WebTalk Install setting is ON. -INFO:WebTalk:6 - WebTalk User setting is ON. - -INFO:WebTalk:5 - //192.168.64.1/Repos/Warp-SE/cpld/usage_statistics_webtalk.html WebTalk report has not been sent to Xilinx. Please check your network and proxy settings. For additional details about this file, please refer to the WebTalk help file at C:/Xilinx/14.7/ISE_DS/ISE/data/reports/webtalk_introduction.html diff --git a/cpld/webtalk_impact.xml b/cpld/webtalk_impact.xml deleted file mode 100644 index 47aeac9..0000000 --- a/cpld/webtalk_impact.xml +++ /dev/null @@ -1,41 +0,0 @@ - - - - -
- - -
-
- - - - -
-
- - - - - - - - - - - - - - - - - - - -
-
-