diff --git a/cpld/MXSE.ucf b/cpld/MXSE.ucf deleted file mode 100644 index 8289d08..0000000 --- a/cpld/MXSE.ucf +++ /dev/null @@ -1,32 +0,0 @@ - -#Created by Constraints Editor (xc95144xl-tq100-10) - 2021/10/07 -INST "A_FSB<1>" TNM = A_FSB; -INST "A_FSB<2>" TNM = A_FSB; -INST "A_FSB<3>" TNM = A_FSB; -INST "A_FSB<4>" TNM = A_FSB; -INST "A_FSB<5>" TNM = A_FSB; -INST "A_FSB<6>" TNM = A_FSB; -INST "A_FSB<7>" TNM = A_FSB; -INST "A_FSB<8>" TNM = A_FSB; -INST "A_FSB<9>" TNM = A_FSB; -INST "A_FSB<10>" TNM = A_FSB; -INST "A_FSB<11>" TNM = A_FSB; -INST "A_FSB<12>" TNM = A_FSB; -INST "A_FSB<13>" TNM = A_FSB; -INST "A_FSB<14>" TNM = A_FSB; -INST "A_FSB<15>" TNM = A_FSB; -INST "A_FSB<16>" TNM = A_FSB; -INST "A_FSB<17>" TNM = A_FSB; -INST "A_FSB<18>" TNM = A_FSB; -INST "A_FSB<19>" TNM = A_FSB; -INST "A_FSB<20>" TNM = A_FSB; -INST "A_FSB<21>" TNM = A_FSB; -INST "A_FSB<22>" TNM = A_FSB; -INST "A_FSB<23>" TNM = A_FSB; -#Created by Constraints Editor (xc95144xl-tq100-10) - 2021/10/07 -NET "CLK_FSB" TNM_NET = CLK_FSB; -TIMESPEC TS_CLK_FSB = PERIOD "CLK_FSB" 40 ns HIGH 50%; -NET "CLK2X_IOB" TNM_NET = CLK2X_IOB; -TIMESPEC TS_CLK2X_IOB = PERIOD "CLK2X_IOB" 15.6672 MHz HIGH 50%; -NET "CLK_IOB" TNM_NET = CLK_IOB; -TIMESPEC TS_CLK_IOB = PERIOD "CLK_IOB" 7.8336 MHz HIGH 50%; diff --git a/cpld/VGA.v b/cpld/VGA.v deleted file mode 100644 index 4582240..0000000 --- a/cpld/VGA.v +++ /dev/null @@ -1,180 +0,0 @@ -module VGA( - input VCLK, - input [23:1] A, - input RnW, - input [15:0] D, - input nAS, - input nLDS, - input nUDS, - inout [7:0] RD, - output reg [14:0] RA, - output reg nRCS0, - output reg nRCS1, - output reg nRWE, - output reg nROE, - output reg Video, - output reg VSync, - output reg Hsync); - - /* Video RAM select (superset) */ - wire VidRAMWR = ~RnW && A[23:20]==4'h3 && A[19:16]==4'hF; - - /* Horizontal counter */ - reg [9:0] HC; - always @(posedge VCLK) begin - if (HC==671) HC<=0; - else HC <= HC+1; - end - - /* Horizontal sync */ - always @(posedge VCLK) begin - if (HC==0) HSync <= 1; // Visible earea end, back porch start - else if (HC==079) HSync <= 0; // Back porch end, sync start - else if (HC==148) HSync <= 1; // Sync end, front porch start - end - - /* Horizontal active */ - reg HActive = 0; - always @(posedge VCLK) begin - if (HC==0) HActive <= 0; // Visible area end, back porch start - else if (HC==160) HActive <= 1; // Visible area start (FIXME: off by 1?) - end - - /* Vertical counter */ - reg [9:0] VC; - always @(posedge VCLK) begin - if (VC==805) VC <= 0; - else if (HC==671) VC <= VC+1; // Or HC==0? - end - - /* Vertical sync */ - always @(posedge VCLK) begin - if (HC==0) VSync <= 1; // Back porch start - else if (HC==028) VSync <= 0; // Back porch end, sync start - else if (HC==034) VSync <= 1; // Sync end, front porch start - else if (HC==037) VSync <= 1; // Sync end, front porch start - //else if (HC==38) VSync <= 1; // Visible area start - end - - /* Vertical active */ - reg VActive = 0; - always @(posedge VCLK) begin - if (HC==0) VActive <= 0; // Visible area end, back porch start - else if (HC==160) VActive <= 1; // Visible area start (FIXME: off by 1?) - end - - /* AS/select synchronization */ - reg SELr1, SELr2; - always @(negedge VCLK) begin SELr0 <= ~nAS && VidRAMWR; end - always @(posedge VCLK) begin SELr1 <= SELr0; end - always @(posedge VCLK) begin SELr2 <= SELr1; end - - /* Write/AS Request */ - wire ASReqNow = ~SELr2 && SELr1; - reg ASReqSaved; - reg ASReqSaved; - wire ASReq = ASReqNow || ASReqSaved; - always @(posedge VCLK) begin - // FIXME: ASReqSaved - if (HC[2:0]==1 || HC[2:0]==4) ASReqSaved <= 0; - else if (ASReqNow) ASReqSaved <= 1; - end - - /* RAM data bus control */ - reg [7:0] RDout; - reg RDOE; - assign RD[7:0] = RDOE ? RDout[7:0] : RDOE; - always @(posedge VCLK) begin - RDOE <= HC[2:0]==1 || HC[2:0]==2 || HC[2:0]==3 || - HC[2:0]==4 || HC[2:0]==5; - end - /* Video state machine control */ - always @(posedge VCLK) begin - case (HC[2:0]) - 0: begin - RA[14:0] <= A[15:1]; - nRCS0 <= 1; - nRCS1 <= 1; - nRWE <= 1; - nROE <= 1; - end 1: begin - if (ASReq) begin - nRCS0 <= ~nLDS; - nRCS1 <= 1; - end else begin - nRCS0 <= 1; - nRCS1 <= 1; - end - RDout[7:0] <= D[7:0]; - nRWE <= 0; - nROE <= 1; - end 2: begin - if (~RCS0) begin - nRCS0 <= 1; - nRCS1 <= ~nUDS; - end else begin - nRCS0 <= 1; - nRCS1 <= 1; - end - RDout[7:0] <= D[15:8]; - nRWE <= 0; - nROE <= 1; - end 3: begin - if (nRCS1) RA[14:0] <= A[15:1]; - nRCS0 <= 1; - nRCS1 <= 1; - nRWE <= 0; - nROE <= 1; - end 4: begin - if (ASReq) begin - nRCS0 <= ~nLDS; - nRCS1 <= 1; - end else begin - nRCS0 <= 1; - nRCS1 <= 1; - end - RDout[7:0] <= D[7:0]; - nRWE <= 0; - nROE <= 1; - end 5: begin - if (~RCS0) begin - nRCS0 <= 1; - nRCS1 <= ~nUDS; - end else begin - nRCS0 <= 1; - nRCS1 <= 1; - end - RDout[7:0] <= D[15:8]; - nRWE <= 0; - nROE <= 1; - end 6: begin - nRCS0 <= 1; - nRCS1 <= 1; - nRWE <= 1; - nROE <= 1; - end 7: begin - RA[14:0] <= {1'b0, VC[9:1], HC[9:5]}; //FIXME: wrong address - nRCS0 <= HC[5]; //FIXME: byte ordering - nRCS1 <= ~HC[5]; - nRWE <= 1; - nROE <= 0; - end - endcase - end - - /* Video pixel output state machine */ - reg VideoShift[7:1]; - always @(posedge VCLK) begin - //FIXME: bit ordering and polarity - if (HActive && VActive) begin - if (HC[2:0]==0) Video <= RD[0]; - else Video <= VideoShift[1]; - end else Video <= 0; - end - always @(posedge VCLK) begin - //FIXME: bit ordering - if (HC[2:0]==0) VideoShift[7:1] <= RD[7:1]; - else VideoShift[6:1] <= VideoShift[7:2]; - end - -endmodule diff --git a/cpld/XC95144XL/MXSE.bld b/cpld/XC95144/MXSE.bld similarity index 68% rename from cpld/XC95144XL/MXSE.bld rename to cpld/XC95144/MXSE.bld index 7917d9c..cf937b6 100644 --- a/cpld/XC95144XL/MXSE.bld +++ b/cpld/XC95144/MXSE.bld @@ -2,16 +2,14 @@ Release 14.7 ngdbuild P.20131013 (nt) Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt\unwrapped\ngdbuild.exe -intstyle -ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p -xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +ise -dd _ngo -uc MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -Reading NGO file -"C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/MXSE.ngc" ... +Reading NGO file "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.ngc" +... Gathering constraint information from source properties... Done. -Annotating constraints to design from ucf file -"C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf" ... +Annotating constraints to design from ucf file "MXSE.ucf" ... Resolving constraint associations... Checking Constraint Associations... Done... @@ -29,7 +27,7 @@ NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 0 -Total memory usage is 130604 kilobytes +Total memory usage is 130576 kilobytes Writing NGD file "MXSE.ngd" ... Total REAL time to NGDBUILD completion: 1 sec diff --git a/cpld/XC95144/MXSE.cmd_log b/cpld/XC95144/MXSE.cmd_log new file mode 100644 index 0000000..779e4df --- /dev/null +++ b/cpld/XC95144/MXSE.cmd_log @@ -0,0 +1,46 @@ +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -i -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -i -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +ngdbuild -intstyle ise -dd _ngo -uc MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -i -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd diff --git a/cpld/XC95144/MXSE.gyd b/cpld/XC95144/MXSE.gyd new file mode 100644 index 0000000..c59d459 --- /dev/null +++ b/cpld/XC95144/MXSE.gyd @@ -0,0 +1,116 @@ +Pin Freeze File: version P.20131013 + +95144XL100 XC95144XL-10-TQ100 +A_FSB<10> S:PIN89 +A_FSB<11> S:PIN66 +A_FSB<12> S:PIN65 +A_FSB<13> S:PIN12 +A_FSB<14> S:PIN63 +A_FSB<15> S:PIN86 +A_FSB<16> S:PIN91 +A_FSB<17> S:PIN82 +A_FSB<18> S:PIN93 +A_FSB<19> S:PIN61 +A_FSB<1> S:PIN72 +A_FSB<20> S:PIN14 +A_FSB<21> S:PIN80 +A_FSB<22> S:PIN95 +A_FSB<23> S:PIN78 +A_FSB<2> S:PIN55 +A_FSB<3> S:PIN67 +A_FSB<4> S:PIN59 +A_FSB<5> S:PIN16 +A_FSB<6> S:PIN97 +A_FSB<7> S:PIN76 +A_FSB<8> S:PIN73 +A_FSB<9> S:PIN71 +CLK2X_IOB S:PIN22 +CLK_FSB S:PIN23 +CLK_IOB S:PIN27 +E_IOB S:PIN53 +nAS_FSB S:PIN70 +nBERR_IOB S:PIN56 +nDTACK_IOB S:PIN20 +nLDS_FSB S:PIN9 +nRES S:PIN99 +nUDS_FSB S:PIN18 +nVPA_IOB S:PIN25 +nWE_FSB S:PIN60 +RA<11> S:PIN33 +RA<10> S:PIN19 +RA<0> S:PIN29 +RA<1> S:PIN87 +RA<2> S:PIN35 +RA<3> S:PIN37 +RA<4> S:PIN40 +RA<5> S:PIN74 +RA<6> S:PIN77 +RA<7> S:PIN79 +RA<8> S:PIN81 +RA<9> S:PIN42 +nADoutLE0 S:PIN54 +nADoutLE1 S:PIN85 +nAS_IOB S:PIN8 +nAoutOE S:PIN68 +nBERR_FSB S:PIN11 +nCAS S:PIN46 +nDTACK_FSB S:PIN64 +nDinLE S:PIN50 +nDinOE S:PIN13 +nDoutOE S:PIN10 +nLDS_IOB S:PIN6 +nOE S:PIN90 +nRAMLWE S:PIN92 +nRAMUWE S:PIN94 +nRAS S:PIN24 +nROMCS S:PIN15 +nROMWE S:PIN96 +nUDS_IOB S:PIN7 +nVMA_IOB S:PIN17 +nVPA_FSB S:PIN58 + + +;The remaining section of the .gyd file is for documentation purposes only. +;It shows where your internal equations were placed in the last successful fit. + +PARTITION FB1_1 EXP10_ nBERR_FSB_OBUF EXP11_ iobs/IORW1 + nDinOE_OBUF EXP12_ IOREQ nROMCS_OBUF + iobs/PS_FSM_FFd2 EXP13_ nVMA_IOB_OBUF BERR_IOBS + fsb/BERR0r A_FSB_21_IBUF$BUF0 cs/nOverlay0 IORW0 + EXP14_ EXP15_ +PARTITION FB2_1 iobm/RESrr iobm/RESrf iobm/IOREQr iobm/Er2 + iobm/ETACK iobm/DTACKrr iobm/DTACKrf iobm/BERRrr + iobm/BERRrf iobm/ES<3> nLDS_IOB_OBUF nUDS_IOB_OBUF + iobm/ES<1> nAS_IOB_OBUF iobm/ES<0> iobm/ES<4> + nDoutOE_OBUF iobm/ES<2> +PARTITION FB3_1 EXP16_ EXP17_ EXP18_ ram/RASEL + nRAS_OBUF EXP19_ EXP20_ ram/RAMDIS2 + EXP21_ iobs/Load1 RA_0_OBUF EXP22_ + ram/RAMReady EXP23_ A_FSB_19_IBUF$BUF0 EXP24_ + ram/RAMDIS1 EXP25_ +PARTITION FB4_1 ram/BACTr RA_1_OBUF cnt/RefCnt<7> cnt/RefCnt<6> + cnt/RefCnt<5> nOE_OBUF cnt/RefCnt<4> cnt/RefCnt<3> + nRAMLWE_OBUF cnt/RefCnt<2> fsb/BERR1r nRAMUWE_OBUF + cnt/RefDone cnt/TimeoutBPre nROMWE_OBUF TimeoutB + TimeoutA IOU0 +PARTITION FB5_1 iobs/IOACTr RA_2_OBUF fsb/ASrf cnt/RefCnt<1> + cnt/RefCnt<0> RA_3_OBUF $OpTx$$OpTx$FX_DC$355_INV$439 iobs/IOU1 + RA_4_OBUF iobm/IOS_FSM_FFd2 iobm/IOS_FSM_FFd1 RA_9_OBUF + ALE0M iobm/IOS_FSM_FFd4 nCAS_OBUF iobm/IOS_FSM_FFd3 + IOACT IOBERR +PARTITION FB6_1 ram/Once RA_5_OBUF ALE0S ram/RS_FSM_FFd3 + iobs/PS_FSM_FFd1 RA_6_OBUF iobs/IOL1 cs/nOverlay1 + RA_7_OBUF fsb/Ready0r IOL0 RA_8_OBUF + iobs/IOReady ram/RS_FSM_FFd1 nADoutLE1_OBUF iobs/Clear1 + ram/RS_FSM_FFd2 RefAck +PARTITION FB7_2 nDinLE_OBUF +PARTITION FB7_8 nADoutLE0_OBUF +PARTITION FB7_12 nVPA_FSB_OBUF +PARTITION FB7_15 iobm/VPArr iobm/VPArf iobm/Er $OpTx$FX_DC$360 + +PARTITION FB8_1 EXP26_ EXP27_ EXP28_ EXP29_ + nDTACK_FSB_OBUF EXP30_ EXP31_ fsb/Ready2r + fsb/Ready1r EXP32_ nAoutOE_OBUF EXP33_ + iobs/Once EXP34_ EXP35_ EXP36_ + EXP37_ fsb/VPA + diff --git a/cpld/XC95144/MXSE.jed b/cpld/XC95144/MXSE.jed new file mode 100644 index 0000000..24a54e5 --- /dev/null +++ b/cpld/XC95144/MXSE.jed @@ -0,0 +1,1714 @@ +Programmer Jedec Bit Map +Date Extracted: Mon Feb 07 00:05:00 2022 + +QF93312* +QP100* +QV0* +F0* +X0* +J0 0* +N VERSION P.20131013* +N DEVICE XC95144XL-10-TQ100* +N PPMAP 2 1* +N PPMAP 17 10* +N PPMAP 18 11* +N PPMAP 19 12* +N PPMAP 21 13* +N PPMAP 22 14* +N PPMAP 23 15* +N PPMAP 24 16* +N PPMAP 26 17* +N PPMAP 28 18* +N PPMAP 29 19* +N PPMAP 4 2* +N PPMAP 30 20* +N PPMAP 33 22* +N PPMAP 35 23* +N PPMAP 36 24* +N PPMAP 37 25* +N PPMAP 42 27* +N PPMAP 44 28* +N PPMAP 47 29* +N PPMAP 6 3* +N PPMAP 49 30* +N PPMAP 54 32* +N PPMAP 56 33* +N PPMAP 57 34* +N PPMAP 58 35* +N PPMAP 59 36* +N PPMAP 60 37* +N PPMAP 62 39* +N PPMAP 8 4* +N PPMAP 63 40* +N PPMAP 64 41* +N PPMAP 68 42* +N PPMAP 69 43* +N PPMAP 72 46* +N PPMAP 77 49* +N PPMAP 79 50* +N PPMAP 82 52* +N PPMAP 86 53* +N PPMAP 88 54* +N PPMAP 90 55* +N PPMAP 92 56* +N PPMAP 95 58* +N PPMAP 96 59* +N PPMAP 11 6* +N PPMAP 97 60* +N PPMAP 98 61* +N PPMAP 102 63* +N PPMAP 103 64* +N PPMAP 104 65* +N PPMAP 105 66* +N PPMAP 107 67* +N PPMAP 109 68* +N PPMAP 12 7* +N PPMAP 112 70* +N PPMAP 114 71* +N PPMAP 116 72* +N PPMAP 117 73* +N PPMAP 118 74* +N PPMAP 123 76* +N PPMAP 125 77* +N PPMAP 127 78* +N PPMAP 130 79* +N PPMAP 13 8* +N PPMAP 134 80* +N PPMAP 135 81* +N PPMAP 136 82* +N PPMAP 139 85* +N PPMAP 140 86* +N PPMAP 141 87* +N PPMAP 143 89* +N PPMAP 15 9* +N PPMAP 144 90* +N PPMAP 145 91* +N PPMAP 146 92* +N PPMAP 147 93* +N PPMAP 149 94* +N PPMAP 153 95* +N PPMAP 155 96* +N PPMAP 157 97* +N PPMAP 160 99* +L0000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0000128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0000192 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000256 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000100* +L0000320 00000000 10000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0000384 00000000 00000000 10000000 00000000 00000000 00000000 00000000 10000000* +L0000448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0000512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0000576 000000 000000 000000 000000 000000 000000 000000 001000* +L0000624 000000 000000 100100 000101 000000 000000 000000 000000* +L0000672 001100 000000 100100 000000 000000 000000 000000 000000* +L0000720 000100 000000 000000 000000 000000 000000 000000 000000* +L0000768 000000 000000 000000 000000 000000 000000 000000 000000* +L0000816 000000 000000 000100 000000 000000 000000 000000 001000* +L0000864 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00100100* +L0000928 00100000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0000992 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000100* +L0001056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0001120 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00100000* +L0001184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0001248 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00100000* +L0001312 00000000 00000000 00100000 00000000 00000000 00000100 00000000 00000000* +L0001376 00000000 00000000 00100000 00000000 00000000 00000100 00000000 10000000* +L0001440 000000 000000 000000 000000 000000 000001 000000 100000* +L0001488 001000 000000 000000 000000 000000 000000 000000 000000* +L0001536 000000 000000 000000 000000 000010 000000 000000 100000* +L0001584 000000 000000 000001 000000 000000 000000 000000 001000* +L0001632 000000 000000 000000 000000 000000 000000 000000 001000* +L0001680 000000 000000 000000 000000 000001 000000 000000 000000* +L0001728 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001792 00000010 00000000 00000000 00000100 00000000 10000000 00000000 00000000* +L0001856 00000110 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0001920 00000110 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0001984 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0002048 01000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002112 01001000 00000000 00000000 00001100 00000000 00001000 00000000 00000000* +L0002176 00000100 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0002240 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0002304 000001 000000 001000 000000 000000 000000 000000 000000* +L0002352 000000 000000 000000 011110 000000 000000 000000 000000* +L0002400 000011 000000 000000 000000 000000 000000 000000 000000* +L0002448 000110 000000 000000 000000 000000 000001 000000 000000* +L0002496 000000 000000 000000 000000 000000 000000 000000 000000* +L0002544 000000 000000 000000 000000 000000 000000 000000 000000* +L0002592 00011000 00000000 00000000 10000100 00000000 10011000 00000000 00001000* +L0002656 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0002720 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0002784 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0002848 00100000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0002912 10000000 00000000 00000000 00011100 00000000 00000000 00000000 01000000* +L0002976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003104 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0003168 000000 000000 000000 000000 000000 000000 000000 000000* +L0003216 000100 000000 000000 000000 000000 000000 000000 001000* +L0003264 100000 000000 000000 000000 000010 000000 000000 001000* +L0003312 000000 000000 000000 000000 000000 000000 000000 000000* +L0003360 000000 000000 000000 000000 000000 000000 000000 000000* +L0003408 000000 000000 000000 000000 000001 100001 000000 000000* +L0003456 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00001000* +L0003520 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0003584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003648 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0003712 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0003776 00000000 00000000 00000000 00011100 00000000 00000000 00100000 01000000* +L0003840 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0003904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003968 10110000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0004032 000000 000000 000000 000000 000000 000001 000000 000000* +L0004080 000000 000000 000000 000000 000000 000000 000000 001000* +L0004128 000000 000000 000000 000000 000000 000000 000000 001000* +L0004176 000000 000000 000000 000000 000000 000000 000000 000000* +L0004224 000000 000000 000000 000000 000000 000000 000000 000000* +L0004272 000000 000000 000000 000000 000000 000000 000000 000000* +L0004320 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0004384 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0004448 00000000 00000000 10100000 00000100 00000000 00000000 00000000 00000000* +L0004512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004576 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0004640 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004704 00000100 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0004768 00000000 00000000 10100000 00001100 00000000 01000000 00000000 00000000* +L0004832 00000000 00000000 11100000 00000000 00000000 01000000 00000000 00000000* +L0004896 000001 000000 110000 000000 000000 000000 000000 000000* +L0004944 000001 000000 000001 000000 000000 000000 000000 000000* +L0004992 000000 000000 000010 000000 000000 000000 000000 000000* +L0005040 000000 000000 000001 000000 000000 000000 000000 000000* +L0005088 000000 000000 000001 000000 000000 000000 000000 000000* +L0005136 000000 000000 000001 000000 000000 000001 000000 000000* +L0005184 00000110 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005248 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0005312 00000011 00010100 00000000 00000100 00000000 00000000 00000000 00000000* +L0005376 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005440 01000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005504 00000010 00000000 00000000 00000000 10000000 10000000 00000000 00000000* +L0005568 00000001 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0005632 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0005696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005760 000000 000000 000000 000000 000000 000000 000000 000000* +L0005808 000000 000000 000000 000000 011010 010000 000000 000000* +L0005856 000000 000000 000000 000000 000100 001100 000000 000000* +L0005904 100000 000010 000000 000000 000000 000000 000000 000000* +L0005952 000000 000000 000000 000000 000000 000000 000000 000000* +L0006000 000000 000000 000000 000000 000000 000000 000000 000000* +L0006048 00000010 00010100 10100000 01110000 00000000 00000000 00000000 00100100* +L0006112 00000110 00000000 10100000 00000000 00000000 00000000 00000000 00100100* +L0006176 00000000 00001000 10100000 00000000 00000000 00000000 00000000 00100100* +L0006240 01000011 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0006304 00000010 00000000 01000000 00000000 00000000 00000000 00000000 00100100* +L0006368 00000000 00000000 00000000 01100000 00000000 00000000 00000000 10100000* +L0006432 00000010 00000000 00100000 00000000 10000000 10000000 00000000 10100000* +L0006496 00000010 00000000 10100000 00000000 00000000 00000000 00000000 10100000* +L0006560 00000000 00000000 11100000 00000000 00000000 00000000 00000000 10100000* +L0006624 000000 000000 110000 000000 000000 000000 000000 101000* +L0006672 000000 000010 000001 100000 000100 001100 000000 000000* +L0006720 000000 000000 000010 000000 011000 010000 000000 100000* +L0006768 000000 000001 000001 000000 000000 000000 000000 001000* +L0006816 000000 000000 000001 000000 000000 000000 000000 001000* +L0006864 000000 000000 000001 000000 000000 000000 000000 001000* +L0006912 00000000 00100000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006976 00000000 00000000 00000000 00001100 00000000 00000100 00000000 00000000* +L0007040 00000000 00000000 00000000 00000100 00000000 01000000 00000000 00000000* +L0007104 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0007168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007296 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0007360 00010000 00000000 00000000 00001100 00000000 00000100 00000000 00000000* +L0007424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007488 010000 000000 000000 000000 000000 000000 000000 000000* +L0007536 000000 010000 000000 000000 000000 000000 000000 000000* +L0007584 000000 000000 000000 000000 000000 000000 000000 000000* +L0007632 000000 000000 000000 000000 000000 000000 000000 000000* +L0007680 010000 000000 000000 000000 000000 000000 000000 000000* +L0007728 000000 000000 000000 000000 000000 000000 000000 000000* +L0007776 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0007840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0008096 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008160 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008224 00000100 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0008288 00000100 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0008352 000001 000000 000000 000000 000000 000000 000000 000000* +L0008400 000001 000000 000000 100000 000000 000000 000000 000100* +L0008448 000001 000000 000000 000000 000100 000000 000000 000000* +L0008496 000001 000000 000000 000000 000000 000000 000000 000100* +L0008544 000000 000000 000000 000000 000000 000000 000000 000000* +L0008592 000000 000000 000000 000000 000000 000001 000001 000000* +L0008640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008768 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00001000* +L0008832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009216 000000 000000 000000 000000 000000 000000 000000 010000* +L0009264 000000 000000 000000 000000 000000 000000 000000 000000* +L0009312 000000 000000 000000 000000 000000 000000 000000 000000* +L0009360 000000 000000 000000 000000 000000 000000 000000 000000* +L0009408 000000 000000 000000 000000 000000 000000 000000 000000* +L0009456 000000 000000 000000 000000 000000 000000 000000 000001* +L0009504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0009568 00000000 00000000 00000000 00000000 10000100 00000000 00000000 10110100* +L0009632 00000000 00000000 00000000 00000000 10000100 00000000 00000000 10110100* +L0009696 00000000 00000000 00000000 00000000 10000100 00000000 00000000 10110100* +L0009760 00000000 00000000 00000000 00000000 10000100 00000000 00000000 11110100* +L0009824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110000* +L0009888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0009952 00000000 00000000 00000000 00000000 00001100 00000000 00000000 10100000* +L0010016 00000000 00000000 00000000 00000000 00000100 00000000 00000000 10100000* +L0010080 000000 000000 000000 000000 000001 000000 000000 101001* +L0010128 000000 000000 000000 000000 000000 000000 000000 100000* +L0010176 000000 000000 000000 000000 000011 000000 000000 100000* +L0010224 000000 000000 000000 000000 000001 000000 000000 011000* +L0010272 000000 000000 000000 000000 000001 000000 000000 011000* +L0010320 000000 000000 000000 000000 000001 000000 000000 011000* +L0010368 00000000 00000011 00000001 00000011 00000011 00000011 00000000 10000100* +L0010432 00000010 00000011 00000001 00001111 00000011 00000011 00000010 10000100* +L0010496 00000001 00000011 00000000 00000111 00000011 00000011 00000001 10000100* +L0010560 00000111 00000011 00000011 00000011 00000011 00000011 00000000 10000101* +L0010624 00000111 00000011 00000000 00000011 00000001 00000011 00000000 10000110* +L0010688 00000100 00000011 00000001 00000011 00000011 00000011 00000001 10000100* +L0010752 00000111 00000011 00000000 00001111 00000011 00000011 00000001 10000100* +L0010816 00000110 00000011 00000011 00001111 00000011 00000011 00000011 10000110* +L0010880 00000110 00000011 00000000 00000011 00000011 00000011 00000001 10000111* +L0010944 000001 000000 000000 000000 000000 000000 000000 100001* +L0010992 000001 000000 000000 000000 000000 000000 000000 000001* +L0011040 000001 000000 000000 000000 000000 000000 000000 000001* +L0011088 100011 000000 000000 000000 000000 000000 000000 000001* +L0011136 000000 000000 000000 000000 000000 000000 000000 000001* +L0011184 000010 000000 000000 000000 000000 000000 000000 000001* +L0011232 00000011 00000000 00000010 01000000 00000000 00000000 00000000 00000011* +L0011296 00000001 00000000 00000010 00000000 00000000 00000000 00000000 00000011* +L0011360 00000110 00000000 00000011 00000000 00000000 00000000 00000000 00000011* +L0011424 01000000 00000000 00000000 00000000 10000100 00000000 00000000 00000010* +L0011488 00010000 00000000 00000011 00000000 00000000 00000000 00000000 00000001* +L0011552 01000011 00000000 00000010 01000000 00000000 00000000 00000000 00000011* +L0011616 01000000 00000000 00000011 00000000 00000000 00010000 00000000 00000011* +L0011680 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0011744 00000001 00000000 00000011 00000000 00000000 00000000 00000000 00000000* +L0011808 000000 000000 000000 000000 000001 000000 000000 000000* +L0011856 000010 100000 000000 100000 000000 000000 000000 000000* +L0011904 000010 000000 000000 000000 000000 000000 000000 000000* +L0011952 000000 000000 000000 000000 000000 000000 000000 000000* +L0012000 000010 000000 000000 000000 000001 000000 000000 000000* +L0012048 010000 000000 001000 000000 000000 000000 000000 000000* +L0012096 00000000 00000001 00100001 00000000 00000001 00000011 00000000 00100100* +L0012160 00000010 00000001 00100001 00001111 00000011 00000011 00000010 00100100* +L0012224 00010001 00000001 00100000 00000100 00000001 00010001 00000000 00100100* +L0012288 00000011 00000001 00000011 00000001 00000001 10000011 00000000 00000101* +L0012352 00000010 00000001 00000000 00000001 00000001 10000011 00000000 00000110* +L0012416 00000100 00000001 00000000 00000000 00000010 00000011 00000000 10100000* +L0012480 00000111 00000001 00100000 00001101 00000001 00000000 00000000 10100000* +L0012544 00000100 00000001 00100011 00001101 00000001 00000001 00000000 10100010* +L0012608 00000110 00000001 00100000 00000001 00000011 00001010 00000000 00100001* +L0012672 000000 000000 000000 000000 000000 000010 000000 001000* +L0012720 000000 000000 000000 000000 000000 000000 000000 000000* +L0012768 010000 000000 010000 000000 000000 000000 000000 100000* +L0012816 000000 000000 010000 000000 000000 000001 000000 001000* +L0012864 000000 000000 000000 000000 000000 100001 000000 001000* +L0012912 101000 000000 000000 000000 000000 000000 000000 001000* +L0012960 00000001 00000000 00000010 01100000 00000010 00000000 00000000 00000011* +L0013024 00000001 00000000 00000010 00000000 00000000 10010000 00000000 00000010* +L0013088 00000010 00000000 00000011 00000000 10000100 10000010 00000000 00000011* +L0013152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0013216 00000001 00000000 00000011 00000000 00000000 00000100 00000000 00000001* +L0013280 00000011 00000000 00100011 01000000 00000001 00000000 00000000 00000011* +L0013344 00000000 00000000 00000011 00000000 00000000 00001001 00000000 00000011* +L0013408 00000011 00000000 00000000 00000000 00000000 00001000 00000000 00000001* +L0013472 00000001 00000000 00000011 00000000 00000100 00000001 00000000 00000010* +L0013536 101101 000000 000000 000000 000000 000000 000000 000000* +L0013584 000001 000000 000000 100000 000000 000000 000001 000000* +L0013632 000001 000000 000000 000000 000000 000001 000000 000000* +L0013680 000001 000000 000000 000000 000001 100000 000000 000000* +L0013728 000000 000000 000000 000000 000000 000000 000000 000000* +L0013776 000000 000000 000000 000000 000000 000000 000000 000000* +L0013824 00110100 00000001 00000001 00000000 00000000 00000011 00000000 00010000* +L0013888 00010100 00000001 00000000 00000000 00000000 00001000 00000000 10010000* +L0013952 00110101 00000001 00000000 00000000 00000000 00001000 00000000 10010000* +L0014016 00111111 00000001 00000011 00000000 00000000 00001011 00000000 11010001* +L0014080 00110100 00000000 00000000 00000001 00000001 00000001 00000000 11010010* +L0014144 00010100 00000001 00000000 00000000 00000000 00000000 00000000 00010000* +L0014208 00110111 00000001 00000000 00000001 00000001 00000000 00000000 00000000* +L0014272 00110100 00000000 00010011 00000001 00000001 00000001 00000000 00000110* +L0014336 00110110 00000001 00000000 00000000 00000001 00000000 00000000 00000001* +L0014400 001101 000000 000000 000000 000000 000000 000000 000001* +L0014448 110011 000000 000000 000000 000000 000000 000000 100000* +L0014496 011111 000000 000000 000000 000000 000000 000000 000000* +L0014544 011111 000000 000000 000000 000000 000000 000000 010000* +L0014592 011010 000000 000000 000000 000000 000000 000000 010000* +L0014640 010010 000000 000000 000000 000000 000000 000000 010000* +L0014688 00000001 00000000 00000010 00000000 00000010 00000100 00000000 00000011* +L0014752 00000011 00000000 00000011 00000000 00000000 00000000 00000000 00000010* +L0014816 00000010 00000000 00000011 00000000 10000100 00000010 00000000 00000001* +L0014880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100010* +L0014944 00000011 00000000 00000011 00000000 00000000 00000010 00000000 00000001* +L0015008 00000011 00000000 00000010 00000000 00000000 01000000 00000000 00000010* +L0015072 00000000 00000000 00000011 00000000 00000000 00000001 00000000 00000011* +L0015136 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0015200 00000001 00000000 00000011 00000000 00000100 00010001 00000000 10000010* +L0015264 000000 000000 000000 000000 000000 000000 000000 000000* +L0015312 001000 000000 000000 000000 000000 100000 000000 000000* +L0015360 000000 000000 000000 000000 000000 000000 000000 000000* +L0015408 000000 000000 000000 000000 000001 000000 000000 000000* +L0015456 000000 000000 000000 000001 000000 000000 000000 000000* +L0015504 000000 000000 000000 000000 000000 000000 000000 000000* +L0015552 00000000 00000000 00000001 00000000 00010000 00000010 00000000 10000000* +L0015616 00000000 00000001 00000000 00001100 00001000 00000001 00000000 00000000* +L0015680 00000000 00000001 00000000 00000100 00000000 00000000 00000000 00000000* +L0015744 00000010 00000000 00000011 00000000 00000000 00000011 00000000 00000001* +L0015808 00000000 00000000 00000000 00000000 00000001 00000001 00000000 00000010* +L0015872 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0015936 00000011 00000001 00000000 00001100 00010101 00000010 00000000 00000000* +L0016000 00000000 00000000 00000011 00001100 00001011 00000011 00000000 00000010* +L0016064 00000010 00000001 00000000 00000001 00001001 00000000 00000000 00000101* +L0016128 000000 000000 000000 000000 000000 000000 000000 000000* +L0016176 000000 000000 000000 000000 000001 000000 000000 010000* +L0016224 000000 000000 000000 000000 000000 000000 000000 010000* +L0016272 000000 000000 000000 000000 000000 000000 000000 000000* +L0016320 000100 000000 000000 000000 000000 000000 000000 000000* +L0016368 000100 000000 000000 000000 000000 000000 000000 000000* +L0016416 00000001 00000000 00000000 01100000 00000010 00000000 00000000 00000011* +L0016480 00000011 00000000 00000011 00000000 10000000 00000001 00000000 00000010* +L0016544 00000011 00000000 00000011 00000000 10000000 00000010 00000000 00000001* +L0016608 00000001 00000000 00000000 00000000 10000000 00100000 00000000 00000010* +L0016672 00000010 00000000 00000011 00000000 10000100 00000010 00000000 00000001* +L0016736 00000011 00000000 00000000 01100000 00001000 00000000 00000100 00000010* +L0016800 00000000 00000000 00010001 00000000 00000000 00000011 00000000 00000011* +L0016864 00000011 00000000 00000000 00000000 00000110 00000010 00000000 00000001* +L0016928 00000000 00000000 00000011 00000001 00000100 00000001 00000000 00000010* +L0016992 000000 000000 000000 000000 000001 000000 000000 000000* +L0017040 000000 000000 000000 100000 000000 000010 000000 000000* +L0017088 000000 000000 000000 000000 000000 000000 000000 000000* +L0017136 000000 000000 000000 000000 000000 000000 000000 000000* +L0017184 000000 000000 000000 000000 000000 000000 000000 000000* +L0017232 000000 000000 000000 000000 000000 000000 000000 000000* +L0017280 00000000 00000000 00000001 00000000 00010000 00100010 00000000 00000000* +L0017344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0017408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0017472 00000010 00000000 00000011 00000000 00000000 00000010 00000000 00100001* +L0017536 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00100010* +L0017600 00000000 00010000 00000000 00000000 00000000 00010000 00000000 00000000* +L0017664 00000011 00000000 00000000 00010000 00010000 00000000 00000000 00000000* +L0017728 00000000 00000000 00000001 00000000 00000001 00000001 00000000 00000010* +L0017792 00000000 00000001 00000000 00000000 00000001 00000000 00000001 10000001* +L0017856 000000 000000 000000 000000 000000 000000 000000 100000* +L0017904 000000 000000 000000 000000 000001 000000 000000 000000* +L0017952 000000 000000 000000 000000 000000 000000 000000 000000* +L0018000 000000 000000 000000 000000 000000 000000 000000 000000* +L0018048 000100 000000 000000 000000 000000 000000 000000 000000* +L0018096 000000 000000 000000 000000 000000 000000 000000 000000* +L0018144 00000001 00000000 00000000 00000000 00001010 00000000 00000000 00000011* +L0018208 00000011 00000000 00000011 00000000 10010000 00000000 00000000 00000010* +L0018272 00000011 00000000 00000011 00000000 10000000 00000010 00000000 00000001* +L0018336 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000010* +L0018400 00000010 00000000 00000011 00000000 10000100 00000000 00000000 00000001* +L0018464 00000011 00000000 00000000 00000000 00001100 00000000 00000000 00000010* +L0018528 00000000 00000000 00000001 00000000 00001001 00000001 10000000 00000011* +L0018592 00000011 00000000 00000010 00000000 00000100 00000000 00000000 00000001* +L0018656 00000010 00000000 00000011 00000000 00000100 00000001 00000001 01000010* +L0018720 000000 000000 000000 000000 000001 000000 000000 000000* +L0018768 000000 000000 000000 000000 000000 000000 000000 000000* +L0018816 000000 000000 000000 000000 000000 000000 000000 000000* +L0018864 000000 000000 000000 000000 000000 000000 000000 000001* +L0018912 000000 000000 000000 000000 000000 000000 000000 000000* +L0018960 000100 000000 000000 000000 000000 000000 000000 000000* +L0019008 00000000 00000000 00000001 00000010 00000001 00000001 00000000 00000000* +L0019072 00000000 00000000 00000000 00000001 00000001 00000000 00000000 00000000* +L0019136 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* +L0019200 00000011 00000001 00000001 00000001 00000001 00000010 00000000 00100001* +L0019264 00000000 00000000 00000010 00000000 00000011 00000000 00000000 00100000* +L0019328 00000000 00000001 00000000 00000011 00000000 00000001 00000000 00000000* +L0019392 00000010 00000000 00000000 00010000 00000001 00000000 00000000 00000000* +L0019456 00000010 00000000 00000000 00000000 00000001 00000001 00000000 00000010* +L0019520 00000010 00000001 00000000 00000010 00000000 00000000 00000001 10000010* +L0019584 000000 000000 000000 000000 000000 000000 000000 100000* +L0019632 000000 000000 000000 000000 000000 000000 000000 000000* +L0019680 000000 000000 000000 000000 000000 100000 000000 000000* +L0019728 000000 000000 000000 000000 000000 000000 000000 000000* +L0019776 000000 000000 000000 000000 000000 000000 000000 000000* +L0019824 000000 000000 000000 000000 000000 000000 000000 000000* +L0019872 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* +L0019936 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0020000 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0020064 00000010 00000000 00000011 00000000 00000000 10000010 00000000 00000001* +L0020128 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000010* +L0020192 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0020256 00000011 00000000 00000000 00000000 00000001 00000000 00000000 01000000* +L0020320 00000000 00000000 00000011 00000000 00000001 00000001 00000000 00000000* +L0020384 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000001* +L0020448 000000 000000 000000 000000 000000 000010 000000 000000* +L0020496 000000 000000 000000 000000 000000 000000 000000 000001* +L0020544 000000 000000 000000 000000 000000 000000 000000 000000* +L0020592 000000 000000 000000 000000 000000 000001 000000 000000* +L0020640 000000 000000 000000 000000 000000 000001 000000 000000* +L0020688 000000 000000 000000 000000 000000 000000 000000 000000* +L0020736 00000000 00000000 10101101 00001000 00000000 00000000 00000000 00000000* +L0020800 00000001 00000000 10101100 00000000 00000000 00010000 00000000 00000000* +L0020864 00000001 00000000 11101100 00000000 00000000 00010000 00000000 00000000* +L0020928 00000010 00000000 01001111 00000000 00000000 00000010 00000000 00100001* +L0020992 00000000 00000000 01000110 00000000 00000000 00000000 00000000 00100010* +L0021056 00000000 00000000 01000000 00000000 00000000 00000000 00100000 00000000* +L0021120 00000011 00000000 01100000 00000000 00000000 00000000 00000000 00000000* +L0021184 00000010 00000000 11100001 00000000 00000000 00000001 00000000 00000010* +L0021248 00000010 00000000 11101100 00000000 00000001 00000000 00000000 10000011* +L0021312 000000 000000 110011 000000 000000 000000 000000 100000* +L0021360 000000 000000 000001 000000 000000 000000 000000 000000* +L0021408 000000 000000 000011 000000 000000 000000 000000 000000* +L0021456 000000 000000 100101 000000 000000 000000 000000 000000* +L0021504 000100 000000 100101 000000 000000 000000 000000 000000* +L0021552 000000 000000 100001 000000 000000 000000 000000 000000* +L0021600 00000001 00000000 00000010 00000000 00000010 00000000 00000000 00000011* +L0021664 00000001 00000000 00000001 00000000 00000000 00000000 00000000 00000011* +L0021728 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0021792 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0021856 00000010 00000000 00000011 00000000 00000000 00000010 00000000 00000001* +L0021920 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000011* +L0021984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0022048 00000011 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0022112 00000011 00000000 00000001 00000000 00000000 00000001 00000000 00000010* +L0022176 000000 000000 000000 000000 000000 000000 000000 000000* +L0022224 000000 000000 000000 000000 000000 000000 000000 000000* +L0022272 000000 000000 000000 000000 000000 000000 000000 000001* +L0022320 000000 000000 000000 000000 000000 000000 000000 000000* +L0022368 000000 000000 000000 000000 000000 000000 000000 000000* +L0022416 000100 000000 000000 000000 000000 000000 000000 000000* +L0022464 00000000 00000011 00000001 00000011 00001111 01000011 00000000 00000000* +L0022528 00000011 00000011 00000001 00000011 10011111 11000111 00000010 00000001* +L0022592 00000001 00000011 00000000 00000011 10000111 11000111 00000001 00000000* +L0022656 00000011 00000011 00000011 00000011 10000111 11000111 00000000 00000001* +L0022720 00000011 00000011 00000010 00000011 10000111 11000111 00000000 00000010* +L0022784 00000001 00000011 00000001 00000011 00010111 00000011 10000001 00000000* +L0022848 00000011 00000011 00000000 00001011 00001111 00001111 00000001 00000000* +L0022912 00000010 00000011 00000011 00001011 00001111 00001111 00000011 00000010* +L0022976 00000010 00000011 00000000 00000011 00001111 00001111 00000001 00000011* +L0023040 000000 000000 000000 000000 000001 000011 000000 000000* +L0023088 000000 000000 000000 000000 000000 000001 000000 000000* +L0023136 000000 000000 000000 000000 000011 100001 000000 000000* +L0023184 000000 000000 000000 000000 000001 100001 000000 000000* +L0023232 000000 000000 000000 000000 000001 100001 000000 000000* +L0023280 000000 000000 000000 000000 000001 100000 000000 000000* +L0023328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0023392 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00100100* +L0023456 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0023520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0023584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0023648 00000000 00000000 00000000 00001000 00000000 00000000 00000000 10100000* +L0023712 00000000 00000000 00000000 00000000 00010000 00000000 10000000 10100000* +L0023776 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00100000* +L0023840 00000000 00000000 00000000 00000000 00000000 01000000 00000000 10100000* +L0023904 000000 000000 000000 000000 000000 000000 000000 100000* +L0023952 000000 000000 000000 000000 000001 000000 000000 000000* +L0024000 000000 000000 000000 000000 000000 000000 000000 000000* +L0024048 000000 000000 000000 000000 000000 000000 000000 000000* +L0024096 000000 000000 000000 000000 000000 000000 000000 000000* +L0024144 000000 000000 000000 000000 000000 000001 000000 000000* +L0024192 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0024256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0024448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10010000* +L0024512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0024576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024768 000000 000000 000000 000000 000000 000000 000000 000000* +L0024816 000000 000000 000000 000000 000000 000000 000000 100000* +L0024864 000000 000000 000000 000001 000001 000000 000000 000100* +L0024912 000000 000000 000000 000000 000001 000000 000000 000100* +L0024960 000100 000000 000000 000000 000001 000000 000000 000000* +L0025008 000000 000000 000000 000000 000001 000000 000000 010000* +L0025056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025120 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0025184 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0025248 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0025312 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0025376 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0025440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025632 000000 000000 000000 000000 000000 000000 000000 000000* +L0025680 000000 000000 000000 000001 000000 000000 000000 000000* +L0025728 000000 000000 000000 000000 000000 000000 000000 000000* +L0025776 000000 000000 000000 000000 000000 000000 000000 000000* +L0025824 000000 000000 000000 000000 000000 000000 000000 000000* +L0025872 000100 000000 000000 000000 000000 000000 000000 000000* +L0025920 00000000 00000000 00000000 00000000 00011100 00000000 00000000 00000000* +L0025984 00000111 00000001 00000001 00000110 00011010 00000010 00000010 00000001* +L0026048 00000100 00000001 00000000 00000101 00000001 00000001 00000001 00000000* +L0026112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0026176 00000011 00000001 00000010 00000000 00000000 00000000 00000000 00100010* +L0026240 00000000 00000000 00000001 00000011 00010111 00000011 00000000 00000000* +L0026304 00000000 00000000 00000000 00000000 00001100 00000000 00000000 00000000* +L0026368 00000010 00000001 00000000 00000000 00001000 00000000 00000010 00000000* +L0026432 00000000 00000000 00000000 00000010 00001010 00000010 00000000 10000000* +L0026496 000000 000000 000000 000000 000000 000000 000000 100000* +L0026544 000010 000000 000000 000000 000001 000000 000000 000000* +L0026592 000010 000010 000000 000000 000000 000010 000000 000000* +L0026640 000000 000010 000000 000000 000000 000000 000000 000000* +L0026688 000010 000000 000000 000000 000000 000000 000000 000000* +L0026736 000000 000000 000000 000000 000000 000000 000000 000000* +L0026784 00000100 00000100 00000000 00000100 00000000 00000000 00000000 01000000* +L0026848 00000000 00000000 00000000 00000000 10000000 00000000 00000000 01000000* +L0026912 00000000 00000000 00000000 00000000 10000000 00000000 00000000 01000000* +L0026976 00000100 00000100 00000000 00000000 10000000 00000000 00000000 00000000* +L0027040 00000100 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0027104 00000100 01000000 00000000 00000000 00001000 00000000 10000000 00000100* +L0027168 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0027232 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027296 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027360 000001 000000 000000 000000 000000 000000 000000 010000* +L0027408 000001 000000 000000 000000 000000 000000 000000 000000* +L0027456 000001 000000 000000 000000 000001 000000 000000 000000* +L0027504 000011 000000 000000 000000 000001 000000 000000 000000* +L0027552 000000 000000 000000 000000 000001 000000 000000 000001* +L0027600 000010 000001 000000 000000 000001 000000 000000 000001* +L0027648 00000000 00010100 00000000 00000000 00000000 01000000 00000100 00100100* +L0027712 00000010 00000000 00000001 00000010 00000010 11000010 00000000 00100101* +L0027776 00000000 00001000 00000000 00000001 00000001 11000001 00000001 00100100* +L0027840 00000000 00000000 00000000 00000000 00000000 11000000 00000000 00000100* +L0027904 00000011 00000000 00000010 00000000 00000000 11000000 00000000 00000100* +L0027968 00000000 00000000 00000001 00000011 00000010 00000010 00000000 10100000* +L0028032 00000000 00000000 00000000 00000000 00000010 00001000 00000000 10100000* +L0028096 00000010 00000000 00000000 00000000 00000000 00001000 00000010 10100000* +L0028160 00000000 00000000 00000000 00000010 00000010 00001010 00000001 00100000* +L0028224 000000 000000 000000 000000 000000 000010 000000 001000* +L0028272 000000 000010 000000 000000 000000 000000 000010 000000* +L0028320 000000 000000 010000 000000 000000 100000 000000 100000* +L0028368 000000 000001 010000 000000 000000 100000 000000 001000* +L0028416 000000 000000 000000 000000 000000 100000 000000 001000* +L0028464 000000 000000 000000 000000 000000 100000 000000 001000* +L0028512 00000000 01000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028576 00000000 00010100 00000000 00000000 00000000 00000000 00000000 00000000* +L0028640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028832 00000000 00000000 00000000 00000000 00000000 00001100 00000000 00000000* +L0028896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028960 00000000 00000000 00000000 00000000 00000000 01000000 00000001 00000000* +L0029024 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0029088 000000 000000 000000 000000 000000 000000 000000 000000* +L0029136 000000 000000 000000 000000 000000 000001 000000 000000* +L0029184 000000 000010 000000 000000 000000 000000 000000 000000* +L0029232 000000 000000 000000 000000 000000 000000 000000 000000* +L0029280 000000 000000 000000 000000 000000 000000 000000 000000* +L0029328 000100 000000 000000 000000 000000 000001 000000 000000* +L0029376 00000000 00000011 00000000 00001000 00000001 00000000 00000000 00100000* +L0029440 00000001 00000011 00000000 00000000 00000001 00000000 00000010 00100000* +L0029504 00000000 00000011 00000000 00000000 00000000 00001000 00000000 00100000* +L0029568 00000000 00000011 00000000 00000000 00000001 00001000 00000000 00000000* +L0029632 00000000 00000011 00000000 00000000 00000001 00000000 00000000 00000000* +L0029696 00000000 00000011 00000000 00000000 00000000 00000000 00000001 00000000* +L0029760 00000000 00000011 00000000 00000000 00000001 00000000 00000001 00000000* +L0029824 00000000 00000011 00000000 00000000 00000001 00000000 00000000 00000000* +L0029888 00000000 00000011 00000000 00000000 00000001 00000000 00000000 00000000* +L0029952 000000 000000 000000 000000 000000 000000 000000 000000* +L0030000 000000 000100 000000 000000 000000 000000 000000 000000* +L0030048 000000 000000 000000 000000 000001 000000 000000 100000* +L0030096 000000 000000 000000 000000 000001 000000 000000 000000* +L0030144 000100 000000 000000 000000 000001 000000 000000 000000* +L0030192 000000 000000 000000 000000 000001 000000 000000 000000* +L0030240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0030304 00000000 00000011 00000000 00000000 10000000 00001000 00000010 00000000* +L0030368 00000000 00000011 00000000 00000000 10000010 00000000 00000000 00000000* +L0030432 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0030496 00000000 00000001 00000000 00000000 10000000 00000000 00000000 00000000* +L0030560 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000000* +L0030624 00000000 00000010 00000000 00000000 00000000 00000000 00000001 00000000* +L0030688 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000000* +L0030752 00000000 00000010 00000000 00000000 00000000 00000000 00000000 00000000* +L0030816 000000 000000 000000 000000 000000 000000 000000 000000* +L0030864 000000 000000 010000 000000 000001 000000 000100 000000* +L0030912 000000 000000 000000 000000 000000 000000 000000 000000* +L0030960 000000 000000 000000 000000 000000 000000 000000 000000* +L0031008 000000 000000 000000 000000 000000 000000 000000 000000* +L0031056 000100 000000 000000 000000 000000 000000 000000 000000* +L0031104 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0031168 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00010000* +L0031232 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0031296 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031552 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031680 000000 000000 000000 000000 000000 000000 000000 000001* +L0031728 000000 000000 001000 000000 000000 000000 000000 000000* +L0031776 000000 000000 000000 000000 000000 000000 000000 000000* +L0031824 000000 000000 100000 000000 000000 000000 000000 000000* +L0031872 000000 000000 100000 000000 000000 000000 000000 000100* +L0031920 000000 000000 000000 000000 000000 000000 000000 000000* +L0031968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100100* +L0032032 00000000 00000000 00100000 00000000 00000000 00000001 00000000 00100100* +L0032096 00000000 00000000 00100000 00000000 00000000 00000000 00000000 01100100* +L0032160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100100* +L0032224 00000000 00000000 00001000 00000000 00000000 00000000 00000000 10110100* +L0032288 00000000 00000000 10000000 00000000 00000000 00000000 00000000 10111000* +L0032352 00000000 00000000 10000000 00000000 00000000 00000010 00000000 10101100* +L0032416 00000000 00000000 00010000 00000000 00000010 00000010 00000000 10101000* +L0032480 00000000 00000000 00010000 00000001 00000000 00000000 00000000 10101000* +L0032544 000000 000000 001100 000000 000000 000000 000000 101010* +L0032592 000000 000000 000000 000000 000000 000000 000000 100010* +L0032640 000000 000000 000000 000000 000000 000000 000000 110010* +L0032688 000000 000000 011000 000000 000000 000000 000000 001000* +L0032736 000000 000000 001000 000000 000000 000000 000000 001000* +L0032784 000000 000000 001100 000000 000000 000000 000000 011000* +L0032832 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000100* +L0032896 00010000 00000000 00001000 00000000 00000000 10010000 00000000 01000100* +L0032960 00010000 00000000 10000000 00000000 00000000 10000000 00000000 00000000* +L0033024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* +L0033088 00000000 00000000 00000100 00000000 00000000 00000100 00000000 10010100* +L0033152 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00010100* +L0033216 00000000 00000000 01000000 00000000 00000000 00001000 00000000 00100000* +L0033280 00000000 00000000 01000000 00000000 00000000 00001000 00000000 00000000* +L0033344 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00100100* +L0033408 000001 000000 100000 000000 000000 000000 000000 000000* +L0033456 010001 000000 101100 000000 000000 000000 000000 110000* +L0033504 010001 000000 111101 000000 000000 000001 000000 000000* +L0033552 000001 000000 000000 000000 000000 000000 000000 000000* +L0033600 100000 000000 000100 000000 000000 100000 000000 001100* +L0033648 100000 000000 000000 000000 000000 000000 000000 011100* +L0033696 10000000 00000001 10001100 00000001 00000000 00000010 00000000 10000000* +L0033760 00000001 00000000 10100100 00000000 00000000 00000000 00000000 10010000* +L0033824 00001001 00000000 00100100 00000010 00000000 00010000 00000000 10010100* +L0033888 00000010 00000000 00000100 00000010 00000010 10000011 00000000 00111000* +L0033952 00000000 00000000 00001000 00000011 00000010 10000001 00000000 01101000* +L0034016 00100101 00000001 11000000 00000000 00000000 00000000 00000000 10100000* +L0034080 01001101 00000001 10000000 00000011 00000000 00000000 00000000 10000000* +L0034144 00001100 00000000 10000010 00000011 00000000 00000001 00000000 10100000* +L0034208 00000100 00000000 10000000 00000000 00000001 00001000 00000000 10000000* +L0034272 101100 000000 000100 000000 000000 000010 000000 101001* +L0034320 000000 000000 000000 000000 000000 000000 000000 000000* +L0034368 000010 000000 000000 000000 000000 100000 000000 010010* +L0034416 000010 000000 110100 000000 000000 100001 000000 011000* +L0034464 000010 000000 100000 000000 000000 000001 000000 010000* +L0034512 000010 000000 100100 000000 000000 000000 000000 000000* +L0034560 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0034624 00000000 00000000 01100000 00000000 00000000 00000000 00000000 00000000* +L0034688 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0034752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034880 00000001 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0034944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035008 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0035072 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0035136 000000 000000 000000 000000 000000 000000 000000 000000* +L0035184 000000 000000 000000 000000 000000 000000 000000 000000* +L0035232 000000 000000 000000 000000 000000 000000 000000 000000* +L0035280 000000 000000 000000 000000 000000 000000 000000 000000* +L0035328 000000 000000 000000 000000 000000 000000 000000 000000* +L0035376 000000 000000 000000 000000 000000 000000 000000 000000* +L0035424 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0035488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035808 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* +L0035872 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* +L0035936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036000 000000 000000 010000 000000 000000 000000 000000 000000* +L0036048 000000 000000 000000 000000 000000 000000 000000 000000* +L0036096 000000 000000 000000 000000 000000 000000 000000 000000* +L0036144 000000 000000 000000 000000 000000 000000 000000 000000* +L0036192 000000 000000 000001 000000 000000 000000 000000 000000* +L0036240 000000 000000 000000 000000 000000 000000 000000 000000* +L0036288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036608 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0036672 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036736 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036800 01000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0036864 000000 000000 000000 000000 000000 000000 000000 000000* +L0036912 000000 000000 000000 000000 000000 000000 000000 000000* +L0036960 000000 000000 000000 000000 000000 000000 000000 000000* +L0037008 000000 000000 000000 000000 000000 000000 000000 000000* +L0037056 000000 000000 000000 000000 000000 000000 000000 000000* +L0037104 000000 000000 000000 000000 000000 000000 000000 000000* +L0037152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037472 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037536 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037600 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037664 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037728 000001 000000 000000 000000 000000 000000 000000 000000* +L0037776 000001 000000 000000 000000 000000 000000 000000 000000* +L0037824 000001 000000 000000 000000 000000 000000 000000 000000* +L0037872 000001 000000 000000 000000 000000 000000 000000 000000* +L0037920 000000 000000 000000 000000 000000 000000 000000 000000* +L0037968 000000 000000 000000 000000 000000 000000 000000 000000* +L0038016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0038080 00000011 00000001 00000001 00000010 00000010 00000010 00000010 00000001* +L0038144 00000000 00000001 00000000 00000001 00000001 00000001 00000001 00000000* +L0038208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0038272 00000011 00000001 00000010 00000000 00000000 00000000 00000000 00000010* +L0038336 00000000 00000000 00000001 00000011 00000011 00000011 00000000 00000000* +L0038400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0038464 00000010 00000001 00000000 00000000 00000000 00000000 00000010 00000000* +L0038528 00000000 00000000 00000000 00000010 00000010 00000010 00000000 00000000* +L0038592 000000 000000 000000 000000 000000 000000 000000 000000* +L0038640 000000 000000 000000 000000 000000 000000 000000 000000* +L0038688 000000 000000 000000 000000 000000 000000 000000 000000* +L0038736 000000 000000 000000 000000 000000 000000 000000 000000* +L0038784 000000 000000 000000 000000 000000 000000 000000 000000* +L0038832 000000 000000 000000 000000 000000 000000 000000 000000* +L0038880 00000011 00000011 00000011 00000011 00000011 00000011 00000000 00000011* +L0038944 00000011 00000011 00000011 00000011 00000011 00000011 00000010 00000011* +L0039008 00000011 00000011 00000011 00000011 00000011 00000011 00000001 00000011* +L0039072 00000011 00000011 00000011 00000011 00000011 00000011 00000000 00000011* +L0039136 00000011 00000011 00000011 00000011 00000001 00000011 00000000 00000011* +L0039200 00000011 00000011 00000011 00000011 00000011 00000011 00000001 00000011* +L0039264 00000011 00000011 00000011 00000011 00000011 00000011 00000001 00000011* +L0039328 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* +L0039392 00000011 00000011 00000011 00000011 00000011 00000011 00000001 00000011* +L0039456 000000 000000 000000 000000 000000 000000 000000 000000* +L0039504 000000 000000 000000 000000 000000 000000 000000 000000* +L0039552 000000 000000 000000 000000 000000 000000 000000 000000* +L0039600 000000 000000 000000 000000 000000 000000 000000 000000* +L0039648 000000 000000 000000 000000 000000 000000 000000 000000* +L0039696 000000 000000 000000 000000 000000 000000 000000 000000* +L0039744 00000001 00000001 00000011 00000000 00000011 00000011 00000000 00000011* +L0039808 00000011 00000001 00000011 00000011 00000011 00000011 00000010 00000010* +L0039872 00000011 00000001 00000011 00000000 00000001 00000011 00000000 00000011* +L0039936 00000011 00000001 00000011 00000001 00000001 00000011 00000000 00000011* +L0040000 00000011 00000001 00000011 00000001 00000001 00000011 00000000 00000011* +L0040064 00000011 00000001 00000011 00000000 00000011 00000011 00000000 00000011* +L0040128 00000011 00000001 00000011 00000001 00000001 00000001 00000000 00000011* +L0040192 00000011 00000001 00000011 00000001 00000001 00000001 00000000 00000011* +L0040256 00000011 00000001 00000011 00000001 00000011 00000011 00000000 00000011* +L0040320 000000 000000 000000 000000 000000 000000 000000 000000* +L0040368 000000 000000 000000 000000 000000 000000 000000 000000* +L0040416 000000 000000 000000 000000 000000 000000 000000 000000* +L0040464 000000 000000 000000 000000 000000 000000 000000 000000* +L0040512 000000 000000 000000 000000 000000 000000 000000 000000* +L0040560 000000 000000 000000 000000 000000 000000 000000 000000* +L0040608 00000001 00000001 00000011 00000000 00000010 00000011 00000000 00000011* +L0040672 00000011 00000001 00000011 00000000 00000000 00000000 00000000 00000010* +L0040736 00000011 00000001 00000011 00000000 00000000 00000010 00000000 00000001* +L0040800 00000011 00000001 00000011 00000000 00000000 00000011 00000000 00000011* +L0040864 00000011 00000000 00000011 00000001 00000001 00000011 00000000 00000011* +L0040928 00000011 00000001 00000010 00000000 00000000 00000000 00000000 00000010* +L0040992 00000011 00000001 00000011 00000001 00000001 00000001 00000000 00000011* +L0041056 00000011 00000000 00000011 00000001 00000001 00000001 00000000 00000011* +L0041120 00000011 00000001 00000011 00000000 00000001 00000001 00000000 00000011* +L0041184 000000 000000 000000 000000 000000 000000 000000 000000* +L0041232 000000 000000 000000 000000 000000 000000 000000 000000* +L0041280 000000 000000 000000 000000 000000 010000 000000 000000* +L0041328 000000 000000 000000 000000 000000 000000 000000 000000* +L0041376 000000 000000 000000 000000 000000 000000 000000 000000* +L0041424 000000 000000 000000 000000 000000 000000 000000 000000* +L0041472 00000001 00000000 00000001 00000000 00000010 00000010 00000000 10000011* +L0041536 00000011 00000001 00000011 00000000 00000000 10000001 00000000 00000010* +L0041600 00000011 00000001 00000011 00000000 00000000 10000010 00000000 00000001* +L0041664 00000011 00000000 00000011 00000000 00000000 10000011 00000000 00000011* +L0041728 00000010 00000000 00000011 00000000 00000001 10000011 00000000 00000011* +L0041792 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0041856 00000011 00000001 00000001 00000000 00000001 00001011 00000000 00000011* +L0041920 00000011 00000000 00000011 00000000 00000011 00001011 00000000 00000011* +L0041984 00000010 00000001 00000011 00000001 00000001 00001001 00000000 00000111* +L0042048 000000 000000 000000 000000 000000 000010 000000 000000* +L0042096 000000 000000 000000 000000 000000 000000 000000 010000* +L0042144 000000 000000 000000 000000 000000 000000 000000 010000* +L0042192 000000 000000 000000 000000 000000 000000 000000 000000* +L0042240 000000 000000 000000 000000 000000 000000 000000 000000* +L0042288 000000 000000 000000 000000 000000 000000 000000 000000* +L0042336 00000001 00000000 00000001 00000000 00000010 10000010 00000000 00000011* +L0042400 00000011 00000000 00000011 00000000 10000100 01000000 00000000 00000010* +L0042464 00000011 00000000 00000011 00000000 00000000 01000010 00000000 00000001* +L0042528 00000010 00000000 00000011 00000000 00000000 01000010 00000000 00000011* +L0042592 00000010 00000000 00000011 00000000 00000000 01000001 00000000 00000011* +L0042656 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0042720 00000011 00000000 00000001 00000000 00000001 00000001 00000000 00000011* +L0042784 00000011 00000000 00000011 00000000 00000101 00000001 00000000 00000011* +L0042848 00000010 00000001 00000011 00000000 00000001 00000001 00000001 00000011* +L0042912 000000 000000 000000 000000 000000 000000 000000 000000* +L0042960 000000 000000 000000 000000 000000 000000 000000 000000* +L0043008 000000 000000 000000 000000 000001 000000 000000 000000* +L0043056 000000 000000 000000 000000 000000 000000 000000 000000* +L0043104 000000 000000 000000 000000 000000 000000 000000 000000* +L0043152 000000 000000 000000 000000 000000 000000 000000 000000* +L0043200 00000000 00000010 00000000 00000000 00000000 00000010 00000000 00000010* +L0043264 00000011 00000010 00000011 00000010 00000000 00000001 00000000 00100101* +L0043328 00000000 00000000 00000010 00000010 00000010 00000010 00000000 00100000* +L0043392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043456 00000010 00000000 00000010 00000000 00000010 00000010 00000000 00000100* +L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0043712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0043776 000000 000000 000000 000000 000000 000000 000000 001000* +L0043824 000000 000000 000000 000000 000000 000000 000000 000000* +L0043872 000000 000000 000000 000000 000000 000000 000000 000000* +L0043920 000000 000000 000000 000000 000000 000000 000000 000000* +L0043968 000000 000000 000000 000000 000000 000000 000000 000000* +L0044016 000000 000000 000000 000000 000000 000000 000000 001000* +L0044064 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00100100* +L0044128 00000001 00000000 00000000 00000001 00000000 00000001 00000000 00000000* +L0044192 00000011 00000000 00000010 00000011 00000010 00000011 00000000 00000110* +L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0044320 00000001 00000000 00000000 00000001 00000010 00000001 00000000 00100000* +L0044384 00000000 00000000 00000000 00000000 10000000 10000000 00000000 10100000* +L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0044512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0044640 000000 000000 000000 000000 000000 000000 000000 100000* +L0044688 000000 000000 000000 000000 000000 000000 000000 000000* +L0044736 000000 000000 000000 000000 000000 000000 000000 100000* +L0044784 000000 000000 000000 000000 000000 000000 000000 001000* +L0044832 000000 000000 000000 000000 000000 000000 000000 001000* +L0044880 000100 000000 000000 000000 000000 000000 000000 000000* +L0044928 00000010 00000000 00000010 00000000 00000000 00000010 00000000 00000000* +L0044992 00000000 00000000 00000000 00000011 00000001 00000000 00000010 00000010* +L0045056 00000010 00000000 00000010 00000000 00000010 00000010 00000010 00000000* +L0045120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045184 00000000 00000000 00000010 00000011 00000011 00000010 00000010 00000010* +L0045248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045504 000000 000000 000000 000000 000000 000000 000000 000000* +L0045552 000000 000000 000000 000000 000000 000000 000000 000000* +L0045600 000000 000000 000000 000000 000000 000000 000000 000000* +L0045648 000000 000000 000000 000000 000000 000000 000000 000000* +L0045696 000000 000000 000000 000000 000000 000000 000000 000000* +L0045744 000000 000000 000000 000000 000000 000000 000000 000000* +L0045792 00000001 00000000 00000010 00000000 00000010 00000011 00000000 00000011* +L0045856 00000000 00000001 00000000 00000010 00000000 00000000 00000000 00000000* +L0045920 00000000 00000010 00000011 00000000 00000001 00000000 00000001 00000000* +L0045984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046048 00000010 00000011 00000010 00000010 00000010 00000010 00000000 00000000* +L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046368 000000 000000 000000 000000 000000 000000 000000 000000* +L0046416 000000 000000 000000 000000 000000 000000 000000 000000* +L0046464 000000 000000 000000 000000 000000 000000 000000 000000* +L0046512 000000 000000 000000 000000 000000 000000 000000 000000* +L0046560 000000 000000 000000 000000 000000 000000 000000 000000* +L0046608 000000 000000 000000 000000 000000 000000 000000 000000* +L0046656 10000010 00000000 00100000 00000010 00000010 00000010 00000000 10100100* +L0046720 00010000 00000010 00100010 00000000 00000000 10010000 00000010 00100110* +L0046784 01011001 00000010 00110010 00000010 00000010 10010010 00000000 00101110* +L0046848 00110000 00000000 00000000 00000000 00000000 10000000 00000000 00000100* +L0046912 01010001 00000000 00001010 00000010 00000000 10000110 00000000 00000110* +L0046976 00110000 00000000 10000000 00000000 00000000 00000000 00000000 10101000* +L0047040 00111000 00000000 10000000 00000000 00000000 00001000 00000000 10101000* +L0047104 11111000 00000000 00010000 00000000 00000000 00001000 00000000 10101000* +L0047168 11110000 00000000 00110000 00000000 00000000 00001000 00000000 00101100* +L0047232 111100 000000 001100 000000 000000 000010 000000 001010* +L0047280 010000 000000 101100 000000 000000 000000 000000 010010* +L0047328 010000 000000 111100 000000 000000 000001 000000 110010* +L0047376 010000 000000 011000 000000 000000 000001 000000 001000* +L0047424 111000 000000 001000 000000 000000 000001 000000 001100* +L0047472 111000 000000 001100 000000 000000 000000 000000 001100* +L0047520 00000001 00000000 00000011 00000000 00000010 01000001 00000000 01010011* +L0047584 00000001 00000001 00000001 00000000 00000010 00000001 00000000 00001001* +L0047648 00000101 00000000 01000011 00000000 00000010 00000001 00000000 00000011* +L0047712 00000100 00000000 01000000 00000000 00000000 00000000 00000000 01100000* +L0047776 00000101 00000001 01000001 00000000 00000000 00000001 00000000 00100001* +L0047840 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0048032 00000000 00000000 00001100 00000000 00000000 00000000 00000000 10000000* +L0048096 000000 000000 000011 000000 000000 000000 000000 100000* +L0048144 000010 000000 000001 000000 000000 000000 000000 000100* +L0048192 000000 000000 000000 000000 000000 000000 000000 000000* +L0048240 000000 000000 000000 000000 000000 000000 000000 000000* +L0048288 000000 000000 000000 000000 000000 000000 000000 000001* +L0048336 000000 000000 000000 000000 000000 000000 000000 000000* +L0048384 00010111 00000000 00000010 00000011 00000000 00000100 00000000 00000000* +L0048448 00010101 00000000 00000000 00000000 00000000 00000011 00000000 00000010* +L0048512 00010110 00000000 00000010 00000011 00000011 00000011 00000000 00000000* +L0048576 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0048640 00010101 00000010 00000001 00000011 00000010 00000011 00000000 00000011* +L0048704 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0048768 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0048832 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0048896 00010100 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0048960 000101 000000 000000 000000 000000 000000 000000 000000* +L0049008 100011 000000 000000 000000 000000 100000 000000 000000* +L0049056 000011 000000 000000 000000 000000 000000 000000 000000* +L0049104 001011 000000 000000 000000 000000 000000 000000 000000* +L0049152 001010 000000 000000 000001 000000 000000 000000 000000* +L0049200 000010 000000 000000 000000 000000 000000 000000 000000* +L0049248 00100000 00000000 00000010 00000010 00000000 00000000 00000000 00000010* +L0049312 00100010 00000000 00000000 00000000 00000000 00000010 00000010 00000010* +L0049376 00000010 00000000 00000000 00000010 00000010 00000010 00000010 00000010* +L0049440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049504 00000010 00000000 00000010 00000010 00000010 00000010 00000010 00000010* +L0049568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049632 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0049696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049824 000000 000000 000000 000000 000000 000000 000000 000000* +L0049872 001000 000000 000000 000000 000000 000000 000000 000000* +L0049920 001000 000000 000000 000000 000000 000000 000000 000000* +L0049968 000000 000000 000000 000000 000000 000000 000000 000000* +L0050016 000000 000000 000000 000000 000000 000000 000000 000000* +L0050064 000000 000000 000000 000000 000000 000000 000000 000000* +L0050112 00000001 00000000 00000010 00000000 00000001 00000000 00000000 00000010* +L0050176 00000000 00000000 00000001 00001100 00000000 00000000 00000000 00000010* +L0050240 00000001 00000000 00000001 00000101 00000011 00000000 00000000 00000010* +L0050304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050368 00000010 00000000 00000001 00000010 00000010 00000010 00000000 00000010* +L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050496 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0050560 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0050624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050688 000000 000000 000000 000000 000000 000000 000000 000000* +L0050736 000000 000000 000000 000000 000000 000000 000000 000000* +L0050784 000000 000000 000000 000000 000000 000000 000000 000000* +L0050832 000000 000000 000000 000000 000000 000000 000000 000000* +L0050880 000000 000000 000000 000000 000000 000000 000000 000000* +L0050928 000000 000000 000000 000000 000000 000000 000000 000000* +L0050976 00000000 00000000 00000010 01110010 01000000 00000010 00000000 00000000* +L0051040 00000000 00000000 00000010 00000000 00000010 00000001 00000010 00000010* +L0051104 00000010 00000000 00000000 00000010 00000000 00000000 00000010 00000010* +L0051168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051232 00000010 00000000 00000000 00000010 00000010 00000010 00000000 00000010* +L0051296 00000000 00000000 00000000 01100000 00000000 00000000 00000000 00000000* +L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051552 000000 000000 000000 000000 000000 000000 000000 000000* +L0051600 000000 000000 000000 100000 000000 000000 000000 000000* +L0051648 000000 000000 000000 000000 000000 000000 000000 000000* +L0051696 000000 000000 000000 000000 000000 000000 000000 000000* +L0051744 000000 000000 000000 000000 000000 000000 000000 000000* +L0051792 000000 000000 000000 000000 000000 000000 000000 000000* +L0051840 00000000 00000000 00001101 00000011 00000001 00000000 00000000 00000011* +L0051904 00000000 00000010 00101000 00000000 00000010 00000000 00000010 00000000* +L0051968 00000000 00000011 01001101 00000011 00000001 00000010 00000000 00000011* +L0052032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052096 00000010 00000011 00001100 00000011 00000010 00000000 00000010 00000010* +L0052160 00010000 00001000 10000000 00000000 00000000 00000000 00000000 00000000* +L0052224 00100000 00010100 01100000 00000000 00000000 00000000 00000000 00001000* +L0052288 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052352 00000000 00010000 00101100 00000000 00000000 00000000 00000000 00000000* +L0052416 000000 000000 000000 000000 000000 000000 000000 000000* +L0052464 000000 000000 100100 000000 100000 000000 000100 000000* +L0052512 000000 000100 010000 000000 000000 000000 000000 000000* +L0052560 000000 000000 110000 000000 000000 000000 000000 000000* +L0052608 000000 000100 000000 000000 000000 000000 000000 000000* +L0052656 000000 000000 100000 000000 000000 000000 000000 000000* +L0052704 00000000 00000000 00000001 00000001 00100000 00000010 00000000 00100101* +L0052768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100101* +L0052832 00000000 00000001 00000000 00000000 00000000 00000000 00000000 10110101* +L0052896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* +L0052960 00000000 00000001 00010001 00000011 00000000 00000000 00000000 10110111* +L0053024 00000100 00000000 00100000 00000000 00000000 00000000 00000000 10110000* +L0053088 00000100 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0053152 00000100 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0053216 00000100 00000000 01000000 00000000 00000000 00000000 00000000 10100000* +L0053280 000001 000000 010000 000000 000000 000000 000000 101000* +L0053328 000001 000000 000000 000000 000000 000000 000000 100000* +L0053376 000001 000000 000000 000000 000000 000100 000000 100000* +L0053424 000001 000000 000000 000000 000000 000000 000000 011000* +L0053472 000000 000000 000000 000000 000000 000000 000000 011000* +L0053520 000000 000000 000001 000000 000000 000000 000000 011000* +L0053568 00000000 00000000 00000010 00000011 00000000 00000010 00000000 00000001* +L0053632 00000001 00000000 00000001 00000000 00000001 00000001 00000010 00000000* +L0053696 00000010 00000000 00000010 00000011 00000000 00000000 00000000 00000001* +L0053760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053824 00000011 00000001 00000011 00000010 00000001 00000011 00000010 00000010* +L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054144 000000 000000 000000 000000 000000 000000 000000 000000* +L0054192 000000 000000 000000 000000 000000 000000 000000 000000* +L0054240 000000 000000 000000 000000 000000 000000 000000 000000* +L0054288 000000 000000 000000 000000 000000 000000 000000 000000* +L0054336 000100 000000 000000 000000 000000 000000 000000 000000* +L0054384 000100 000000 000000 000000 000000 000000 000000 000000* +L0054432 00000000 00000000 00000001 00000010 00000000 00000000 00000000 00000010* +L0054496 00000000 00000000 00000000 00000000 00000010 00000011 00000010 00000010* +L0054560 00000000 00000001 00000001 00000010 00000000 00000011 00000000 00000000* +L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054688 00000000 00000001 00000001 00000010 00000010 00000011 00000010 00000000* +L0054752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055008 000000 000000 000000 000000 000000 000000 000000 000000* +L0055056 000000 000000 000000 000000 000000 000000 000000 000000* +L0055104 000000 000000 000000 000000 000000 000000 000000 000000* +L0055152 000000 000000 000000 000000 000000 000000 000000 000000* +L0055200 000000 000000 000000 000000 000000 000000 000000 000000* +L0055248 000000 000000 000000 000000 000000 000000 000000 000000* +L0055296 00000000 00000000 00000000 00000000 00000011 00000001 00000000 00000001* +L0055360 00000000 00000000 00000010 00000011 00000000 00000001 00000000 00001011* +L0055424 00000110 00000000 00000010 00000011 00000011 00000000 00000000 00001010* +L0055488 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0055552 00000111 00000000 00000010 00000010 00000000 00000010 00000000 00001010* +L0055616 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0055680 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0055744 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0055808 00000100 00010000 00000000 00000000 00000000 00000000 00000000 00001000* +L0055872 000001 000000 000000 000000 000000 000000 000000 000010* +L0055920 000011 000000 000000 000000 000000 000000 000000 000110* +L0055968 000011 000000 000000 000000 000000 000000 000000 000110* +L0056016 000011 000000 000000 000000 000000 000000 000000 000100* +L0056064 000010 000100 000000 000000 000000 000000 000000 000100* +L0056112 000010 000000 000000 000000 000000 000000 000000 000100* +L0056160 00000001 00000000 00000000 00000011 00000000 00000001 00000000 00000010* +L0056224 01000000 00000000 00010001 00000000 00000010 00001010 00000010 00000001* +L0056288 00100000 00000010 00000001 00000011 00000000 00001011 00000000 00000011* +L0056352 00001000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0056416 00000010 00000010 00000001 00000011 00000010 00000011 00000010 00000011* +L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056544 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056608 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056736 000000 000000 000000 000000 000000 000000 000000 000000* +L0056784 000000 000000 000000 000000 000000 000000 000000 000000* +L0056832 000100 000000 000000 000000 000000 000000 000000 000000* +L0056880 001100 000100 000000 000000 000000 000000 000000 000000* +L0056928 000000 000000 000000 000000 000000 000000 000000 000000* +L0056976 000000 000000 000000 000000 000000 000000 000000 000000* +L0057024 00000000 00000010 00000010 00000000 00000000 01000000 00000000 00000010* +L0057088 00000010 00000011 00000000 00001100 00000000 11000111 00000010 00000001* +L0057152 00000000 00000000 00000000 00000100 00000000 11000111 00000010 00000011* +L0057216 00000000 00000000 00010000 00000000 00000000 11000100 00000000 00000000* +L0057280 00000010 00000011 00000010 00000000 00000000 11000111 00000000 00000011* +L0057344 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0057408 00000000 00000000 00000000 00001000 00000000 00001100 00000000 00000000* +L0057472 00000000 00000000 00000000 00001000 00000000 00001100 00000000 00000000* +L0057536 00000000 00000000 00000000 00000000 00000000 00001100 00000000 00000000* +L0057600 000000 000000 000000 000000 000000 000011 000000 000000* +L0057648 000000 000000 000000 000000 000000 000000 000000 000000* +L0057696 000000 000000 000000 000000 000000 100001 000000 000000* +L0057744 000000 000000 000000 000000 000000 100001 000000 000000* +L0057792 000000 000000 000000 000000 000000 100001 000000 000000* +L0057840 000000 000000 000000 000000 000000 100000 000000 000000* +L0057888 00000001 00000010 00000000 00000000 00000000 00000000 00000010 00000011* +L0057952 00000000 00000000 00000010 00000010 00000010 00000010 00000010 00001010* +L0058016 00000011 00000010 00000010 00000010 00000000 00000000 00000010 00000001* +L0058080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0058144 00000011 00000010 00000010 00000010 00000010 00000010 00000010 00000010* +L0058208 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0058272 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0058336 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0058400 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0058464 000000 000000 000000 000000 000000 000000 000000 000000* +L0058512 000000 000000 000000 000000 000000 000000 000000 000000* +L0058560 000000 000000 000000 000000 000000 000000 000000 000100* +L0058608 000000 000000 000000 000000 000000 000000 000000 000000* +L0058656 000000 000000 000000 000000 000000 000000 000000 000000* +L0058704 000100 000000 000000 000000 000000 000000 000000 000000* +L0058752 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000010* +L0058816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059328 000000 000000 000000 000000 000000 000000 000000 000000* +L0059376 000000 000000 000000 000000 000000 000000 000000 000000* +L0059424 000000 000000 000000 000000 000000 000000 000000 000000* +L0059472 000000 000000 000000 000000 000000 000000 000000 000000* +L0059520 000000 000000 000000 000000 000000 000000 000000 000000* +L0059568 000000 000000 000000 000000 000000 000000 000000 000000* +L0059616 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000001* +L0059680 00000011 00000000 00000010 00000000 00000010 00000010 00000000 00000010* +L0059744 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0059808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059872 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060192 000000 000000 000000 000000 000000 000000 000000 000000* +L0060240 000000 000000 000000 000000 000000 000000 000000 000000* +L0060288 000000 000000 000000 000000 000000 000000 000000 000000* +L0060336 000000 000000 000000 000000 000000 000000 000000 000000* +L0060384 000000 000000 000000 000000 000000 000000 000000 000000* +L0060432 000000 000000 000000 000000 000000 000000 000000 000000* +L0060480 00000001 00000000 01100001 00000000 00000001 00000000 00000000 00000001* +L0060544 00000000 00000000 00100010 00000000 00000000 00000001 00000000 00000000* +L0060608 00000001 00000001 01100011 00000000 00000000 00000000 00000000 00000001* +L0060672 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0060736 00000000 00000001 01000010 00000000 00000001 00000001 00000000 00000000* +L0060800 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0060864 00000000 00000000 11001000 00000000 00000000 00000000 00000000 00000000* +L0060928 00000000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* +L0060992 10110000 00000000 11100000 00000000 00000000 00000000 00000000 00000000* +L0061056 000000 000000 100000 000000 000000 000000 000000 000000* +L0061104 000000 000000 100000 000000 000000 000000 000000 000000* +L0061152 000000 000000 100000 000000 000000 000000 000000 000000* +L0061200 000000 000000 100000 000000 000000 000000 000000 000000* +L0061248 000000 000000 100000 000000 000000 000000 000000 000000* +L0061296 000000 000000 100000 000000 000000 000000 000000 000000* +L0061344 00000010 00000000 00000011 00000000 00000000 00000000 00000000 00000000* +L0061408 00000001 00000000 01000000 00000001 00000000 00000001 00000000 00000000* +L0061472 00000001 00000000 00000000 00000000 00000001 00000001 00000000 00000001* +L0061536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061600 00000001 00000000 00000000 00000001 00000001 00000001 00000000 00000000* +L0061664 00000000 00000000 00001100 10000000 00000000 00000000 00000000 00000000* +L0061728 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0061792 00000100 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0061856 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061920 000000 000000 000000 000000 000000 000000 000000 000000* +L0061968 000000 000000 000000 000000 010000 000000 000000 000000* +L0062016 000001 000000 000000 000000 000000 000000 000000 000000* +L0062064 000001 000000 000000 000000 000000 000000 000000 000000* +L0062112 000000 000000 000001 000000 000000 000000 000000 000000* +L0062160 000000 000000 000000 000000 000000 000000 000000 000000* +L0062208 00000000 00000000 00000000 00000001 00000000 00000001 00000000 00000001* +L0062272 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000001* +L0062336 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000001* +L0062400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0062464 00000001 00000000 00000001 00000001 00000001 00000001 00000000 00000001* +L0062528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0062592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0062656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0062720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0062784 000000 000000 000000 000000 000000 000000 000000 000000* +L0062832 000000 000000 000000 000000 000000 000000 000000 000000* +L0062880 000000 000000 000000 000000 000000 000000 000000 000000* +L0062928 000000 000000 000000 000000 000000 000000 000000 000000* +L0062976 000000 000000 000000 000000 000000 000000 000000 000000* +L0063024 000000 000000 000000 000000 000000 000000 000000 000000* +L0063072 00000001 00000000 00000000 00000000 00000001 00000001 00000000 00000000* +L0063136 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0063200 00000001 00000000 00000000 00000000 00000001 00000000 00000000 00000000* +L0063264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063328 00000001 00000001 00000000 00000000 00000000 00000000 00000000 00000000* +L0063392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063456 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0063520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063648 000000 000000 000000 000000 000000 000000 000000 000000* +L0063696 000000 000000 000000 000000 000000 000000 000000 000000* +L0063744 000000 000000 000000 000000 000000 000000 000000 000000* +L0063792 000000 000000 000000 000000 000000 000000 000000 000000* +L0063840 000000 000000 000000 000000 000000 000000 000000 000000* +L0063888 000000 000000 000000 000000 000000 000000 000000 000000* +L0063936 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000011* +L0064000 00000000 00001000 00000000 00000000 00000000 00000010 00000000 00100100* +L0064064 00000000 00000000 00000000 00000000 00000010 00000010 00000000 00100000* +L0064128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064192 00000000 00000000 00000000 00000000 00000010 00000010 00000000 00000110* +L0064256 00000000 01000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064320 00000000 00000000 00000000 00000100 00000000 00000000 00000000 10000000* +L0064384 00000000 00000000 00000000 00000100 00000000 00000000 00000000 10100000* +L0064448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0064512 000000 000000 001000 000000 000000 000000 000000 001000* +L0064560 000000 000001 000000 000000 000000 000000 000000 000010* +L0064608 000000 000010 000000 000000 000000 000000 000000 000000* +L0064656 000000 000010 000000 000000 000000 000000 000000 000000* +L0064704 000000 000000 000000 000000 000000 000000 000000 000000* +L0064752 000000 000000 000000 000000 000000 000000 000000 001000* +L0064800 00000010 00001001 00000001 00000000 00000000 00000010 00000000 00100100* +L0064864 00000010 00010100 00000000 00000000 00000000 00000000 00000000 10000000* +L0064928 00000000 00010101 00000001 00000001 00000010 00000000 00000000 00010110* +L0064992 00000000 00000100 00000000 00000000 00000000 00000000 00000000 10100100* +L0065056 00000011 00000000 00000001 00000000 00000000 00000000 00000000 00110000* +L0065120 00000000 00000000 00000000 00000100 00000000 00000000 00000000 10100000* +L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0065376 000000 000000 000000 000000 000000 000000 000000 100000* +L0065424 000000 000010 000000 000000 001000 000000 000000 000000* +L0065472 000000 000000 000000 000000 000000 000000 000000 100000* +L0065520 000000 000000 000000 000000 000000 000000 000000 011000* +L0065568 000000 000001 000000 000000 000000 000000 000000 001000* +L0065616 000000 000000 000000 000000 000000 000000 000000 010000* +L0065664 00000001 00000000 00000000 00000001 00000001 00000000 00000000 00000000* +L0065728 00000000 00000001 00000000 00000000 00000000 00000000 00000000 00000000* +L0065792 00000001 00000000 00000000 00000000 00000001 00000000 00000000 00000000* +L0065856 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0065920 00000000 00000001 00000000 00000001 00000000 00000000 00000000 00000000* +L0065984 00000000 01000000 00010000 10000000 00000000 00000000 00000000 00000000* +L0066048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066240 000000 000000 000000 000000 000000 000000 000000 000000* +L0066288 000000 000000 000000 000000 000000 000000 000000 000000* +L0066336 000000 000010 000000 000000 000000 000000 000000 000000* +L0066384 000000 000010 000000 000000 000000 000000 000000 000000* +L0066432 000000 000000 000000 000000 000000 000000 000000 000000* +L0066480 000000 000001 000000 000000 000000 000000 000000 000000* +L0066528 00000000 00010000 00000000 00000000 00000000 00000000 00000000 01100000* +L0066592 00000000 00000100 00000000 00000000 00000000 00000000 00000000 01100000* +L0066656 00000000 00000100 00000000 00000000 00000000 00000000 00000000 01100000* +L0066720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0066784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066912 00000000 00000000 00010000 10000000 00000000 00000000 00000000 01000000* +L0066976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0067104 000000 000000 000000 000000 000000 000000 000000 010000* +L0067152 000000 000000 000000 000000 000000 000000 000000 110000* +L0067200 000000 000000 000000 000000 000000 000000 000000 110000* +L0067248 000000 000000 000000 000000 000000 000000 000000 010000* +L0067296 000000 000000 000000 000000 000000 000000 000000 010000* +L0067344 000000 000000 000000 000000 000000 000000 000000 010000* +L0067392 00011010 00000010 00000010 00000010 00100010 10011010 00000010 00100110* +L0067456 00000010 00000000 00000010 00000000 00000010 00000110 00000000 10100110* +L0067520 00000000 00000000 00000000 00000000 00000000 00000100 00000000 10110100* +L0067584 00000000 00000000 00000000 00000000 00000000 00000100 00000000 10010100* +L0067648 00100000 00000000 00010000 00000000 00000000 00000000 00000000 10010100* +L0067712 00000000 01000000 00100000 00000000 00000000 00000000 00000000 10110000* +L0067776 00000010 00000010 00000010 00000010 00000010 00000010 00000010 10100010* +L0067840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0067904 00000000 00000000 01000000 00000000 00000000 00100000 00000000 00100000* +L0067968 000000 000000 010000 000000 000000 000000 000000 001000* +L0068016 000100 000000 000000 001110 000000 000000 000000 100000* +L0068064 100000 000000 000000 000000 000000 000000 000000 100000* +L0068112 000000 000000 000000 000000 000000 000000 000000 011000* +L0068160 000000 000000 000000 000000 000000 000000 000000 011000* +L0068208 000000 000000 000001 000000 000000 100001 000000 011000* +L0068256 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0068320 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0068384 00000000 00000000 00100000 00000000 00000000 10000000 00000000 00000000* +L0068448 00001000 00000000 01001100 00000000 00000000 00001000 00000000 00000000* +L0068512 00000100 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0068576 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0068640 00000100 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0068704 00001000 00000000 01100000 00000000 00000000 00001000 00000000 00000000* +L0068768 00000100 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0068832 000000 000000 000011 000000 000000 000010 000000 000010* +L0068880 000011 000000 000000 010000 000000 000000 000000 000000* +L0068928 000000 000000 100101 000000 000000 000001 000000 000000* +L0068976 000001 000000 000100 000000 000000 000000 000000 000000* +L0069024 000010 000000 100100 000000 000000 000001 000000 000000* +L0069072 000010 000000 000100 000000 000000 000000 000000 000000* +L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069184 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0069248 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0069312 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069440 00000000 01000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069696 000000 000000 000000 000000 000000 000000 000000 000000* +L0069744 000000 000001 000000 000000 000000 000000 000000 000000* +L0069792 000000 000011 000000 000000 000000 000000 000000 000000* +L0069840 000000 000010 000000 000000 000000 000000 000000 000000* +L0069888 000000 000000 000000 000000 000000 000000 000000 000000* +L0069936 000000 000001 000000 000000 000000 000000 000000 000000* +L0069984 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0070048 00000000 00010100 10100000 00000000 00000000 00000000 00000000 00000000* +L0070112 00000000 00010100 10100000 00000000 00000000 00000000 00000000 00000000* +L0070176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070240 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0070304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070368 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0070432 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0070496 00000000 00000000 11100000 00000000 00000000 00000000 00000000 00000000* +L0070560 000000 000000 110000 000000 000000 000000 000000 000000* +L0070608 000000 000000 000001 000000 000000 000000 000000 000000* +L0070656 000000 000000 000010 000000 000000 000000 000000 000000* +L0070704 000000 000000 000001 000000 000000 000000 000000 000000* +L0070752 000000 000001 000001 000000 000000 000000 000000 000000* +L0070800 000000 000000 000001 000000 000000 000000 000000 000000* +L0070848 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071040 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071232 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071424 000000 000000 000000 000000 000000 000000 000000 000000* +L0071472 000000 000000 000000 000000 000000 000000 000000 000000* +L0071520 000000 000000 000000 000000 000000 000000 000000 000000* +L0071568 000100 000000 000000 000000 000000 000000 000000 000000* +L0071616 000000 000000 000000 000000 000000 000000 000000 000000* +L0071664 000000 000000 000000 000000 000000 000000 000000 000000* +L0071712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0071904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0071968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0072032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072096 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0072160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0072224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0072288 000000 000000 000000 000000 000000 000000 000000 101000* +L0072336 000100 000000 000000 000000 000000 001000 000000 000000* +L0072384 000100 000000 000000 000000 010000 000000 000000 100000* +L0072432 000000 000000 000000 000000 000000 000000 000000 001000* +L0072480 000000 000000 000000 000000 000000 000000 000000 001000* +L0072528 000000 000000 000000 000000 000000 000000 000000 001000* +L0072576 10000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0072640 00010000 00000000 00101000 00001100 00000000 00000000 00000000 10000000* +L0072704 00001000 00000000 10101000 00000100 00000000 00000100 00000000 10010100* +L0072768 00000000 00000000 00001000 00000000 00000000 01000000 00000000 00010000* +L0072832 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* +L0072896 00100000 00000000 10000000 00000000 00000000 00000000 00000000 10100000* +L0072960 01001000 00000000 11000000 00001100 00000000 00000000 00000000 10000000* +L0073024 00001000 00000000 01000000 00001100 00000000 00000000 00000000 10100000* +L0073088 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0073152 000000 000000 100000 000000 000000 000000 000000 001000* +L0073200 010000 000000 000000 000000 000000 000000 000000 000000* +L0073248 000010 000000 001001 000000 000000 000000 000000 010000* +L0073296 000010 000000 010000 000000 000000 000000 000000 011000* +L0073344 100010 000000 000100 000000 000000 000000 000000 010000* +L0073392 001010 000000 000100 000000 000000 000000 000000 000100* +L0073440 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000100* +L0073504 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000100* +L0073568 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0073632 00010000 00000000 00000100 00000000 00000000 00000000 00000000 10000100* +L0073696 01010000 00000000 00000000 00000000 00000000 00000000 00000000 10010100* +L0073760 00011000 00000000 01000000 00000000 00000000 00000000 00000000 00010000* +L0073824 00110000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0073888 11110000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0073952 11110000 00000000 10100000 00000000 00000000 01000000 00000000 00100100* +L0074016 111101 000000 000100 000000 000000 000000 000000 000000* +L0074064 000001 000000 100100 100000 000000 000000 000000 110000* +L0074112 000001 000000 110100 000000 000000 000000 000000 000010* +L0074160 010001 000000 000100 000000 000000 000000 000000 000000* +L0074208 010000 000000 000000 000000 000000 000000 000000 001000* +L0074256 010000 000000 100000 000000 000000 000001 000000 011000* +L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0074368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10010000* +L0074432 00000000 00000000 00000000 00000000 00000000 00001000 00000000 10010000* +L0074496 00000000 00000000 00000000 00000000 00000000 00001000 00000000 11010000* +L0074560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11010000* +L0074624 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00010000* +L0074688 00000000 00011100 00000000 00000000 00000000 00000000 00000000 00000000* +L0074752 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000100* +L0074816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074880 000000 000000 000000 000000 000000 000000 000000 000001* +L0074928 000000 000000 000000 000000 000000 000000 000000 100000* +L0074976 000000 000100 000000 000000 000000 000000 000000 000000* +L0075024 000000 000100 000000 000000 000000 000000 000000 010000* +L0075072 000000 000000 000000 000000 000000 000000 000000 010000* +L0075120 000000 000000 000000 000000 000000 000000 000000 010000* +L0075168 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0075232 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0075296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0075488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075744 000000 000000 000000 000000 000000 000000 000000 100000* +L0075792 000000 000000 000000 000000 000000 000000 000000 000000* +L0075840 000000 000000 000000 000000 000000 000000 000000 000000* +L0075888 000000 000000 000000 000000 000000 000000 000000 000000* +L0075936 000000 000000 000000 000000 000000 000000 000000 000000* +L0075984 000000 000000 000000 000000 000000 000000 000000 000000* +L0076032 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076416 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076608 000000 000000 000000 000000 000000 000000 000000 000000* +L0076656 000000 000000 000000 000000 000000 000000 000000 000010* +L0076704 000000 000000 000000 000000 000000 000000 000000 000000* +L0076752 000000 000000 000000 000000 000000 000000 000000 000000* +L0076800 000000 000000 000000 000000 000000 000000 000000 000000* +L0076848 000000 000000 000000 000000 000000 000000 000000 000000* +L0076896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0076960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0077024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100100* +L0077088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00110100* +L0077152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100100* +L0077216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110000* +L0077280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0077344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0077408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0077472 000000 000000 000000 000000 000000 000000 000000 101000* +L0077520 000000 000000 000000 000000 000000 000000 000000 100000* +L0077568 000000 000000 000000 000000 000000 000000 000000 100000* +L0077616 000000 000000 000000 000000 000000 000000 000000 001000* +L0077664 000000 000000 000000 000000 000000 000000 000000 011000* +L0077712 000000 000000 000000 000000 000000 000000 000000 001000* +L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078336 000000 000000 000000 000000 000000 000000 000000 000000* +L0078384 000000 000000 000000 000000 000000 000000 000000 000000* +L0078432 000000 000000 000000 000000 000000 000000 000000 000000* +L0078480 000000 000000 000000 000000 000000 000000 000000 000000* +L0078528 000000 000000 000000 000000 000000 000000 000000 000000* +L0078576 000000 000000 000000 000000 000000 000000 000000 000000* +L0078624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079200 000000 000000 000000 000000 000000 000000 000000 000000* +L0079248 000000 000000 000000 000000 000000 000000 000000 000000* +L0079296 000000 000000 000000 000000 000000 000000 000000 000000* +L0079344 000000 000000 000000 000000 000000 000000 000000 000000* +L0079392 000000 000000 000000 000000 000000 000000 000000 000000* +L0079440 000000 000000 000000 000000 000000 000000 000000 000000* +L0079488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0080000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080064 000000 000000 000000 000000 000000 000000 000000 000000* +L0080112 000000 000000 000000 000000 000000 000000 000000 000000* +L0080160 000100 000000 000000 000000 000000 000000 000000 000000* +L0080208 000000 000000 000000 000000 000000 000000 000000 000000* +L0080256 000000 000000 000000 000000 000000 000000 000000 000000* +L0080304 000000 000000 000000 000000 000000 000000 000000 000000* +L0080352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0080416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100100* +L0080480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* +L0080544 00001000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* +L0080608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* +L0080672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110000* +L0080736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0080800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0080864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0080928 000000 000000 000000 000000 000000 000000 000000 101000* +L0080976 000000 000000 000000 000000 000000 000000 000000 100000* +L0081024 000000 000000 000000 000000 000000 001000 000000 100000* +L0081072 000100 000000 000000 000000 000000 000000 000000 011000* +L0081120 000000 000000 000000 000000 000000 000000 000000 011000* +L0081168 000000 000000 000000 000000 000000 000000 000000 011000* +L0081216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081280 00000000 00001000 00000000 00000000 00000000 00001000 00000000 00000000* +L0081344 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0081408 00010000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0081472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081536 00000000 01000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0081600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081664 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081792 000000 000000 000000 000000 000000 000000 000000 000000* +L0081840 000000 000000 000000 000000 000000 000000 000000 000000* +L0081888 000000 000011 000000 000000 000000 000000 000000 000000* +L0081936 010000 000010 001000 000000 000000 000000 000000 000000* +L0081984 000000 000000 000000 000000 000000 000000 000000 000000* +L0082032 000000 000000 000000 000000 000000 000000 000000 000000* +L0082080 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00100100* +L0082144 00000000 00010100 00000000 00000000 00000000 00000000 00000000 10100100* +L0082208 00000000 00010100 00000000 00000000 00000000 00000000 00000000 10110100* +L0082272 00000000 00000100 00000000 00000000 00000000 00000000 00000000 10110100* +L0082336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* +L0082400 00000100 00000000 00000000 00000000 00000000 00000000 00000000 10110000* +L0082464 00000100 00000000 00000000 00000000 00000000 01000000 00000000 10100000* +L0082528 00000100 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0082592 00000100 00000000 00000000 00000000 00100000 00000000 00000000 10100000* +L0082656 000001 000000 000000 000000 000000 000000 000000 101000* +L0082704 000001 000000 000010 000000 000000 000000 000000 100000* +L0082752 000001 000000 000000 000000 000000 000000 000000 100000* +L0082800 000001 000000 000000 000000 000000 000000 000000 011000* +L0082848 000000 000001 000000 000000 000000 000000 000000 011000* +L0082896 000000 000000 000000 000000 000000 000000 000000 011000* +L0082944 01000100 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0083008 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0083072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0083136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0083264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083328 00000000 00000000 00000000 00000000 00000000 00010000 00000000 10000000* +L0083392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0083456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0083520 000000 000000 000000 000000 000000 000000 000000 001000* +L0083568 000000 000000 000000 000000 000000 000000 000000 000000* +L0083616 000000 000000 000000 000000 000000 000010 000000 000000* +L0083664 000000 000000 000000 000000 000000 000000 000000 000000* +L0083712 000000 000000 000000 000000 000000 000000 000000 000000* +L0083760 000000 000000 000000 000000 000000 000000 000000 001000* +L0083808 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0083872 00010000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0083936 00110100 00000000 00000000 00000000 00000000 00001000 00000000 00000100* +L0084000 00111100 00000000 00000000 00000000 10000100 00001000 00000000 00100100* +L0084064 00110100 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0084128 00010100 00000000 00010000 00000000 00000000 00010000 00000000 10100000* +L0084192 00110100 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0084256 00110100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084320 00110100 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0084384 001101 000000 000000 000000 000001 000000 000000 100000* +L0084432 010011 000000 000000 000000 000000 000000 000000 000000* +L0084480 010111 000000 000000 000001 000000 000000 000000 100000* +L0084528 011111 000000 000000 000000 000000 000000 000000 001000* +L0084576 011010 000000 000000 000000 000001 000000 000000 001000* +L0084624 010010 000000 000000 000000 000000 000000 000000 000000* +L0084672 00000000 00000000 10101100 00000000 00000000 00000000 00000000 00000000* +L0084736 00000000 00000000 11101100 00000000 00000000 00000000 00000000 00000000* +L0084800 00000000 00000000 11101100 00000000 00000000 00000000 00000000 00000000* +L0084864 00000000 00000000 01001100 00000000 00000000 00000000 00000000 00000000* +L0084928 00000000 00000000 01001100 00000000 00000000 00000000 00000000 00000000* +L0084992 00000000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* +L0085056 00001000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* +L0085120 00001000 00000000 11001000 00000000 00000000 01000000 00000000 00000000* +L0085184 00000000 00000000 11101100 00000000 00000000 01000000 00000000 00000000* +L0085248 000000 000000 100011 000000 000000 000000 000000 000000* +L0085296 000000 000000 100101 001100 000000 000000 000000 000000* +L0085344 000000 000000 100101 000000 000000 000000 000000 000000* +L0085392 000000 000000 100101 000000 000000 000000 000000 000000* +L0085440 000000 000000 100100 000000 000000 000000 000000 000000* +L0085488 000000 000000 100101 000000 000000 000001 000000 000000* +L0085536 00000000 00000000 00000000 00000000 10000000 00000000 00000000 10000100* +L0085600 00000000 00000000 00000000 00000000 00000000 01000000 00000000 10000100* +L0085664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* +L0085728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* +L0085792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* +L0085856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* +L0085920 00000000 00000000 00101000 00000000 00000000 00000100 00000000 10000100* +L0085984 00000000 00000000 00100100 00000000 00000000 00000000 00000000 10000100* +L0086048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0086112 000000 000000 010000 000000 000000 000000 000000 000001* +L0086160 000000 000000 000000 000000 000000 000000 000000 000001* +L0086208 000000 000000 000000 000000 000000 000000 000000 000001* +L0086256 000000 000000 000000 000000 000000 000000 000000 000001* +L0086304 000000 000000 000000 000000 000000 000000 000000 000001* +L0086352 000000 000000 000000 000000 000000 000000 000000 000001* +L0086400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086464 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086720 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0086784 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086976 000000 000000 000000 000000 000000 000000 000000 000000* +L0087024 000000 000000 000000 000000 000000 000000 000000 000000* +L0087072 000000 000000 000000 000000 000000 000000 000000 000000* +L0087120 000000 000000 000000 000000 000000 000000 000000 000000* +L0087168 000000 000000 000000 000000 000000 000000 000000 000000* +L0087216 000000 000000 000000 000000 000000 000000 000000 000000* +L0087264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087776 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087840 000000 000000 000000 000000 000000 000000 000000 000000* +L0087888 000000 000000 000000 000000 000000 000100 000000 000000* +L0087936 000000 000000 000000 000000 001000 000000 000000 000000* +L0087984 000000 000000 000000 000000 000000 000000 000000 000000* +L0088032 000000 000100 000000 000000 000000 000000 000000 000000* +L0088080 000000 000000 000000 000000 000000 000000 000000 000000* +L0088128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0088704 000000 000000 000000 000000 000000 000000 000000 000000* +L0088752 000000 000000 000000 000000 000000 000000 000000 000000* +L0088800 000000 000000 000000 000000 000000 000000 000000 000000* +L0088848 000000 000000 000000 000000 000000 000000 000000 000000* +L0088896 000000 000000 001000 000000 000000 000000 000000 000000* +L0088944 000000 000000 000000 000000 000000 000000 000000 000000* +L0088992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0089056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100100* +L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* +L0089184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* +L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* +L0089312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110000* +L0089376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0089440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0089568 000000 000000 000000 000000 000000 000000 000000 101000* +L0089616 000000 000000 000000 000000 000000 010000 000000 100000* +L0089664 000000 000000 000000 000000 000000 000000 000000 100000* +L0089712 000000 000000 000000 000000 000000 000000 000000 011000* +L0089760 000000 000000 000000 000000 000000 000000 000000 011000* +L0089808 000000 000000 000000 000000 000000 000000 000000 011000* +L0089856 10000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* +L0089920 00001000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* +L0089984 00001000 00000000 01001100 00000000 00000000 00000000 00000000 00000000* +L0090048 00000000 00000000 01001100 00000000 00000000 00000000 00000000 00000000* +L0090112 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0090176 00000000 00100000 01000000 00000000 00000000 00000000 00000000 00000000* +L0090240 10000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0090304 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0090368 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* +L0090432 000000 000000 000011 000000 000000 000000 000000 000000* +L0090480 000000 001000 000000 001000 000000 000000 000000 000000* +L0090528 000000 000000 000001 000000 000000 000000 000000 000000* +L0090576 000000 000000 100100 000000 000000 000000 000000 000000* +L0090624 000000 000000 100100 000000 000000 000000 000000 000000* +L0090672 000000 000000 100000 000000 000000 000000 000000 000000* +L0090720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091296 000000 000000 000000 000000 000000 000000 000000 000000* +L0091344 000000 000000 000000 000000 000000 000000 000000 000000* +L0091392 000000 000000 000000 000000 000000 000000 000000 000000* +L0091440 000000 000000 000000 000000 000000 000000 000000 000000* +L0091488 000000 000000 000000 000000 000000 000000 000000 000000* +L0091536 000000 000000 000000 000000 000000 000000 000000 000000* +L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091712 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091776 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091904 00100000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091968 00001000 00011100 00000000 00000000 00000000 00000000 00000000 00000000* +L0092032 00001000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092160 000000 000000 000000 000000 000000 000000 000000 000000* +L0092208 000000 000000 000000 001100 000000 000000 000000 000000* +L0092256 000000 000100 000000 000000 000000 000000 000000 000000* +L0092304 000000 000100 000000 000000 000000 000000 000000 000000* +L0092352 001000 000000 000000 000000 000000 000000 000000 000000* +L0092400 001000 000000 000000 000000 000000 000000 000000 000000* +L0092448 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092576 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092768 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092832 01000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092896 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092960 00000100 00010000 00000000 00000000 00000000 00000000 00000000 00000000* +L0093024 000001 000000 000000 000000 000000 000000 000000 000000* +L0093072 000001 000000 000000 000000 000100 000000 000000 000000* +L0093120 000011 000000 000000 000000 000000 000000 000000 000000* +L0093168 000011 000000 000000 000000 000000 000000 000000 000000* +L0093216 000010 000100 000000 000000 000000 000000 000000 000000* +L0093264 000010 000000 000000 000000 000000 000000 000000 000000* +C3A8B* +2CE6 diff --git a/cpld/XC95144/MXSE.lfp b/cpld/XC95144/MXSE.lfp new file mode 100644 index 0000000..c87fa10 --- /dev/null +++ b/cpld/XC95144/MXSE.lfp @@ -0,0 +1,5 @@ +# begin LFP file C:\Users\zanek\Documents\GitHub\Warp-SE\cpld\XC95144\MXSE.lfp +designfile MXSE.v +parttype xc95144xl-tq100-10 +bus_delimiter 1; +set_busdelim_onsave 1; diff --git a/cpld/XC95144XL/MXSE.lso b/cpld/XC95144/MXSE.lso similarity index 100% rename from cpld/XC95144XL/MXSE.lso rename to cpld/XC95144/MXSE.lso diff --git a/cpld/XC95144XL/MXSE.mfd b/cpld/XC95144/MXSE.mfd similarity index 52% rename from cpld/XC95144XL/MXSE.mfd rename to cpld/XC95144/MXSE.mfd index 04543fc..5868a50 100644 --- a/cpld/XC95144XL/MXSE.mfd +++ b/cpld/XC95144/MXSE.mfd @@ -1,52 +1,55 @@ MDF Database: version 1.0 MDF_INFO | MXSE | XC95144XL-10-TQ100 -MACROCELL | 2 | 14 | nVMA_IOB_OBUF +MACROCELL | 0 | 10 | nVMA_IOB_OBUF ATTRIBUTES | 4621058 | 0 -OUTPUTMC | 3 | 2 | 14 | 2 | 13 | 2 | 15 -INPUTS | 15 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | IOACT | iobm/VPArf | iobm/VPArr | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> | A_FSB<22> | cs/nOverlay1 -INPUTMC | 10 | 2 | 14 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 4 | 0 | 1 | 1 | 1 | 0 | 3 | 2 -INPUTP | 5 | 147 | 136 | 105 | 109 | 15 -EXPORTS | 1 | 2 | 15 -EQ | 8 | - nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & +OUTPUTMC | 3 | 1 | 4 | 0 | 11 | 0 | 9 +INPUTS | 13 | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 | BERR_IOBS.EXP +INPUTMC | 5 | 0 | 8 | 5 | 4 | 5 | 14 | 5 | 7 | 0 | 11 +INPUTP | 8 | 127 | 134 | 147 | 136 | 145 | 102 | 19 | 153 +EXPORTS | 1 | 0 | 9 +IMPORTS | 1 | 0 | 11 +EQ | 16 | + nVMA_IOB.T = ;Imported pterms FB1_12 + !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & !iobm/ES<3> & !iobm/ES<4> # nVMA_IOB & iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & !iobm/ES<3> & !iobm/ES<4> & IOACT & iobm/VPArf & iobm/VPArr; nVMA_IOB.CLK = CLK2X_IOB; // GCK - nVMA_IOB_OBUF.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + nVMA_IOB_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 3 | 2 | cs/nOverlay1 +MACROCELL | 5 | 7 | cs/nOverlay1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 44 | 6 | 9 | 3 | 8 | 2 | 0 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 15 | 6 | 10 | 0 | 0 | 2 | 14 | 6 | 11 | 6 | 7 | 6 | 3 | 2 | 7 | 3 | 4 | 2 | 11 | 3 | 12 | 6 | 16 | 2 | 4 | 4 | 11 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 15 | 0 | 17 | 2 | 3 | 2 | 9 | 2 | 13 | 3 | 1 | 3 | 6 | 3 | 7 | 3 | 11 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 5 | 6 | 8 | 6 | 13 | 6 | 15 -INPUTS | 6 | cs/nOverlay0 | nAS_FSB | fsb/ASrf | A_FSB<10> | ram/RASEL | A_FSB<1> -INPUTMC | 3 | 6 | 3 | 2 | 6 | 3 | 0 -INPUTP | 3 | 21 | 143 | 144 -EXPORTS | 1 | 3 | 1 -EQ | 5 | +OUTPUTMC | 41 | 5 | 0 | 7 | 11 | 0 | 10 | 5 | 9 | 7 | 5 | 7 | 7 | 7 | 16 | 0 | 2 | 2 | 7 | 7 | 2 | 2 | 2 | 5 | 15 | 5 | 13 | 5 | 2 | 0 | 7 | 2 | 8 | 0 | 5 | 2 | 15 | 2 | 12 | 2 | 1 | 0 | 1 | 6 | 17 | 0 | 8 | 0 | 9 | 0 | 16 | 0 | 17 | 2 | 0 | 2 | 4 | 2 | 5 | 2 | 6 | 2 | 10 | 2 | 11 | 2 | 17 | 5 | 17 | 7 | 0 | 7 | 1 | 7 | 8 | 7 | 9 | 7 | 10 | 7 | 14 | 7 | 15 +INPUTS | 3 | cs/nOverlay0 | nAS_FSB | fsb/ASrf +INPUTMC | 2 | 0 | 14 | 4 | 2 +INPUTP | 1 | 112 +EQ | 3 | cs/nOverlay1.D = cs/nOverlay0; cs/nOverlay1.CLK = CLK_FSB; // GCK cs/nOverlay1.CE = nAS_FSB & !fsb/ASrf; - cs/nOverlay1.EXP = A_FSB<10> & !ram/RASEL - # ram/RASEL & A_FSB<1> GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 9 | ram/Once +MACROCELL | 5 | 0 | ram/Once ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 6 | 9 | 6 | 10 | 6 | 16 | 6 | 7 | 6 | 4 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 8 | 6 | 15 -INPUTS | 16 | ram/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefDone | ram/RAMDIS2 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<21> | RA_7_OBUF.EXP -INPUTMC | 12 | 6 | 9 | 2 | 6 | 3 | 2 | 6 | 12 | 6 | 7 | 6 | 4 | 1 | 9 | 6 | 10 | 6 | 0 | 6 | 15 | 6 | 13 | 6 | 8 -INPUTP | 4 | 21 | 105 | 15 | 109 -EXPORTS | 1 | 6 | 10 -IMPORTS | 1 | 6 | 8 -EQ | 26 | +OUTPUTMC | 10 | 5 | 0 | 2 | 7 | 2 | 2 | 5 | 13 | 5 | 3 | 2 | 11 | 2 | 12 | 2 | 1 | 2 | 6 | 2 | 17 +INPUTS | 10 | ram/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<21> +INPUTMC | 6 | 5 | 0 | 4 | 2 | 5 | 7 | 5 | 16 | 5 | 13 | 5 | 3 +INPUTP | 4 | 112 | 127 | 153 | 134 +EQ | 13 | ram/Once.T = ram/Once & nAS_FSB & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 -;Imported pterms FB7_9 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf # !A_FSB<23> & A_FSB<22> & A_FSB<21> & @@ -56,25 +59,13 @@ EQ | 26 | !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf; ram/Once.CLK = CLK_FSB; // GCK - ram/Once.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & - !cnt/RefDone & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 9 | cnt/RefDone +MACROCELL | 3 | 12 | cnt/RefDone ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 19 | 1 | 9 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 4 | 3 | 13 | 6 | 16 | 3 | 1 | 3 | 11 | 3 | 12 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 0 | 6 | 5 | 6 | 9 | 6 | 13 | 6 | 15 | 6 | 17 +OUTPUTMC | 19 | 3 | 12 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 3 | 2 | 16 | 2 | 12 | 2 | 0 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 6 | 2 | 11 | 2 | 13 | 2 | 14 | 2 | 15 | 2 | 17 | 5 | 4 | 5 | 17 INPUTS | 10 | cnt/RefDone | RefAck | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> -INPUTMC | 10 | 1 | 9 | 5 | 4 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 +INPUTMC | 10 | 3 | 12 | 5 | 17 | 4 | 4 | 3 | 4 | 3 | 3 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 | 3 | 2 EQ | 5 | !cnt/RefDone.D = !cnt/RefDone & !RefAck # !cnt/RefCnt<0> & !cnt/RefCnt<5> & !cnt/RefCnt<6> & @@ -83,99 +74,71 @@ EQ | 5 | cnt/RefDone.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 3 | 9 | iobs/Once +MACROCELL | 7 | 12 | iobs/Once ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 14 | 3 | 9 | 4 | 14 | 2 | 2 | 2 | 16 | 1 | 17 | 2 | 8 | 3 | 5 | 2 | 12 | 2 | 0 | 2 | 1 | 2 | 3 | 3 | 7 | 3 | 8 | 3 | 10 -INPUTS | 10 | A_FSB<22> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<20> | EXP28_.EXP | EXP29_.EXP -INPUTMC | 6 | 3 | 9 | 2 | 8 | 2 | 11 | 2 | 6 | 3 | 8 | 3 | 10 -INPUTP | 4 | 15 | 21 | 105 | 114 -IMPORTS | 2 | 3 | 8 | 3 | 10 +OUTPUTMC | 15 | 7 | 12 | 0 | 11 | 0 | 15 | 0 | 3 | 5 | 12 | 0 | 8 | 2 | 9 | 0 | 6 | 0 | 12 | 0 | 14 | 0 | 16 | 0 | 17 | 7 | 11 | 7 | 13 | 7 | 14 +INPUTS | 10 | A_FSB<22> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<20> | EXP33_.EXP | EXP34_.EXP +INPUTMC | 6 | 7 | 12 | 0 | 8 | 5 | 4 | 4 | 2 | 7 | 11 | 7 | 13 +INPUTP | 4 | 153 | 112 | 127 | 22 +IMPORTS | 2 | 7 | 11 | 7 | 13 EQ | 24 | !iobs/Once.D = nAS_FSB & !fsb/ASrf # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd2 # !A_FSB<23> & !A_FSB<20> & !iobs/Once # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd2 # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd1 -;Imported pterms FB4_9 +;Imported pterms FB8_12 # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & !iobs/Once -;Imported pterms FB4_8 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/Once - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/Once -;Imported pterms FB4_11 +;Imported pterms FB8_14 # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB; + # !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB +;Imported pterms FB8_15 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once; iobs/Once.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 4 | 14 | BERR_IOBS +MACROCELL | 0 | 11 | BERR_IOBS ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 4 | 14 | 1 | 8 | 0 | 14 | 0 | 1 | 0 | 5 | 0 | 3 | 0 | 12 | 0 | 13 | 0 | 16 -INPUTS | 8 | BERR_IOBS | nAS_FSB | fsb/ASrf | iobs/Once | IOBERR | iobs/PS_FSM_FFd2 | iobs/IOACTr | nADoutLE1 -INPUTMC | 7 | 4 | 14 | 2 | 6 | 3 | 9 | 4 | 17 | 2 | 8 | 2 | 3 | 2 | 10 -INPUTP | 1 | 21 -EQ | 8 | +OUTPUTMC | 10 | 0 | 11 | 3 | 10 | 7 | 17 | 7 | 4 | 0 | 1 | 0 | 0 | 0 | 12 | 7 | 1 | 7 | 6 | 0 | 10 +INPUTS | 18 | BERR_IOBS | nAS_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | IOACT | iobm/VPArf | iobm/VPArr | fsb/BERR0r.EXP +INPUTMC | 17 | 0 | 11 | 4 | 2 | 7 | 12 | 0 | 8 | 4 | 0 | 4 | 17 | 5 | 14 | 0 | 10 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 | 4 | 16 | 6 | 15 | 6 | 14 | 0 | 12 +INPUTP | 1 | 112 +EXPORTS | 1 | 0 | 10 +IMPORTS | 1 | 0 | 12 +EQ | 13 | BERR_IOBS.T = BERR_IOBS & nAS_FSB & !fsb/ASrf - # iobs/Once & BERR_IOBS & !IOBERR & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 - # iobs/Once & !BERR_IOBS & IOBERR & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 - # iobs/Once & !BERR_IOBS & IOBERR & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & fsb/ASrf & nADoutLE1; + # iobs/Once & BERR_IOBS & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & !IOBERR & nADoutLE1 + # iobs/Once & !BERR_IOBS & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & IOBERR & nADoutLE1 +;Imported pterms FB1_13 + # iobs/Once & !BERR_IOBS & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & IOBERR & fsb/ASrf & nADoutLE1; BERR_IOBS.CLK = CLK_FSB; // GCK + BERR_IOBS.EXP = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> + # nVMA_IOB & iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> & IOACT & iobm/VPArf & iobm/VPArr GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 4 | 17 | IOBERR +MACROCELL | 0 | 15 | IORW0 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 4 | 14 | 4 | 17 | 1 | 17 | 4 | 16 | 4 | 0 -INPUTS | 17 | nBERR_IOB | IOBERR | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr | EXP33_.EXP -INPUTMC | 15 | 4 | 17 | 4 | 15 | 2 | 13 | 4 | 8 | 4 | 6 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 1 | 4 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 | 4 | 16 -INPUTP | 2 | 28 | 42 -EXPORTS | 1 | 4 | 0 -IMPORTS | 1 | 4 | 16 -EQ | 24 | - IOBERR.T = nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/ETACK - # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/ETACK - # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/BERRrf & iobm/BERRrr -;Imported pterms FB5_17 - # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/DTACKrf & iobm/DTACKrr - # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/RESrf & iobm/RESrr - # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/BERRrf & iobm/BERRrr - # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/DTACKrf & iobm/DTACKrr - # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/RESrf & iobm/RESrr; - IOBERR.CLK = CLK2X_IOB; // GCK - IOBERR.EXP = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & - iobm/DTACKrf & iobm/DTACKrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & - iobm/RESrf & iobm/RESrr -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 2 | 2 | IORW0 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 2 | 2 | 1 | 13 | 1 | 10 | 1 | 11 | 2 | 0 | 2 | 1 | 2 | 3 -INPUTS | 12 | IORW0 | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | iobs/Once | nWE_FSB | nAS_FSB | fsb/ASrf | EXP23_.EXP | iobs/IOACTr.EXP -INPUTMC | 9 | 2 | 2 | 2 | 16 | 2 | 8 | 2 | 11 | 2 | 10 | 3 | 9 | 2 | 6 | 2 | 1 | 2 | 3 -INPUTP | 3 | 105 | 98 | 21 -IMPORTS | 2 | 2 | 1 | 2 | 3 +OUTPUTMC | 7 | 0 | 15 | 1 | 16 | 1 | 10 | 1 | 11 | 0 | 14 | 0 | 16 | 0 | 17 +INPUTS | 12 | IORW0 | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | iobs/Once | nWE_FSB | nAS_FSB | fsb/ASrf | cs/nOverlay0.EXP | EXP14_.EXP +INPUTMC | 9 | 0 | 15 | 0 | 3 | 0 | 8 | 5 | 4 | 5 | 14 | 7 | 12 | 4 | 2 | 0 | 14 | 0 | 16 +INPUTP | 3 | 127 | 97 | 112 +IMPORTS | 2 | 0 | 14 | 0 | 16 EQ | 60 | IORW0.T = IORW0 & !iobs/IORW1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1 @@ -187,7 +150,7 @@ EQ | 60 | !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 # A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB3_2 +;Imported pterms FB1_15 # A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & @@ -202,24 +165,7 @@ EQ | 60 | # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 -;Imported pterms FB3_1 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 -;Imported pterms FB3_4 +;Imported pterms FB1_17 # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & @@ -235,38 +181,65 @@ EQ | 60 | # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB1_18 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1; IORW0.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 3 | cs/nOverlay0 +MACROCELL | 0 | 14 | cs/nOverlay0 ATTRIBUTES | 4367104 | 0 -OUTPUTMC | 3 | 3 | 2 | 6 | 3 | 6 | 4 -INPUTS | 11 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay0 | nAS_FSB | fsb/ASrf | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cs/nOverlay1 -INPUTMC | 6 | 6 | 3 | 2 | 6 | 6 | 12 | 6 | 7 | 6 | 4 | 3 | 2 -INPUTP | 5 | 105 | 15 | 109 | 114 | 21 -EXPORTS | 1 | 6 | 4 -EQ | 12 | - cs/nOverlay0.T = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & +OUTPUTMC | 3 | 5 | 7 | 0 | 13 | 0 | 15 +INPUTS | 13 | A_FSB<23> | iobs/Once | IORW0 | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<22> | A_FSB<21> | A_FSB<20> | nAS_FSB | A_FSB_21_IBUF$BUF0.EXP +INPUTMC | 7 | 7 | 12 | 0 | 15 | 0 | 8 | 5 | 4 | 4 | 2 | 5 | 14 | 0 | 13 +INPUTP | 6 | 127 | 97 | 153 | 134 | 22 | 112 +EXPORTS | 1 | 0 | 15 +IMPORTS | 1 | 0 | 13 +EQ | 21 | + cs/nOverlay0.T = ;Imported pterms FB1_14 + !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay0 & !nAS_FSB # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay0 & fsb/ASrf; cs/nOverlay0.CLK = CLK_FSB; // GCK !cs/nOverlay0.AR = nRES; // GSR - cs/nOverlay0.EXP = A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !fsb/ASrf + cs/nOverlay0.EXP = A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 GLOBALS | 2 | 2 | CLK_FSB | 4 | nRES -MACROCELL | 5 | 15 | IOL0 +MACROCELL | 5 | 10 | IOL0 ATTRIBUTES | 8553280 | 0 OUTPUTMC | 1 | 1 | 10 INPUTS | 5 | iobs/IOL1 | nADoutLE1 | nLDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 5 | 7 | 2 | 10 | 2 | 8 | 2 | 11 -INPUTP | 1 | 37 +INPUTMC | 4 | 5 | 6 | 5 | 14 | 0 | 8 | 5 | 4 +INPUTP | 1 | 15 EQ | 4 | IOL0.D = !nLDS_FSB & nADoutLE1 # iobs/IOL1 & !nADoutLE1; @@ -274,12 +247,12 @@ EQ | 4 | IOL0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 5 | 13 | IOU0 +MACROCELL | 3 | 17 | IOU0 ATTRIBUTES | 8553280 | 0 OUTPUTMC | 1 | 1 | 11 INPUTS | 5 | iobs/IOU1 | nADoutLE1 | nUDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 5 | 6 | 2 | 10 | 2 | 8 | 2 | 11 -INPUTP | 1 | 82 +INPUTMC | 4 | 4 | 7 | 5 | 14 | 0 | 8 | 5 | 4 +INPUTP | 1 | 28 EQ | 4 | IOU0.D = !nUDS_FSB & nADoutLE1 # iobs/IOU1 & !nADoutLE1; @@ -287,12 +260,12 @@ EQ | 4 | IOU0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 15 | TimeoutA +MACROCELL | 3 | 16 | TimeoutA ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 8 | 1 | 15 | 0 | 11 | 0 | 9 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 17 +OUTPUTMC | 7 | 3 | 16 | 7 | 7 | 7 | 15 | 7 | 2 | 7 | 1 | 7 | 6 | 7 | 8 INPUTS | 10 | TimeoutA | nAS_FSB | fsb/ASrf | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> -INPUTMC | 9 | 1 | 15 | 2 | 6 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 -INPUTP | 1 | 21 +INPUTMC | 9 | 3 | 16 | 4 | 2 | 4 | 4 | 3 | 4 | 3 | 3 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 +INPUTP | 1 | 112 EQ | 8 | TimeoutA.T = TimeoutA & nAS_FSB & !fsb/ASrf # !TimeoutA & !nAS_FSB & !cnt/RefCnt<0> & @@ -304,12 +277,12 @@ EQ | 8 | TimeoutA.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 14 | TimeoutB +MACROCELL | 3 | 15 | TimeoutB ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 1 | 14 | 3 | 15 | 0 | 5 | 0 | 16 +OUTPUTMC | 9 | 3 | 15 | 0 | 12 | 7 | 17 | 7 | 4 | 0 | 1 | 7 | 1 | 7 | 3 | 7 | 6 | 7 | 16 INPUTS | 12 | TimeoutB | nAS_FSB | fsb/ASrf | cnt/TimeoutBPre | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> -INPUTMC | 11 | 1 | 14 | 2 | 6 | 1 | 12 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 -INPUTP | 1 | 21 +INPUTMC | 11 | 3 | 15 | 4 | 2 | 3 | 13 | 4 | 4 | 3 | 4 | 3 | 3 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 | 3 | 2 +INPUTP | 1 | 112 EQ | 8 | TimeoutB.T = TimeoutB & nAS_FSB & !fsb/ASrf # !TimeoutB & cnt/TimeoutBPre & !nAS_FSB & @@ -321,12 +294,12 @@ EQ | 8 | TimeoutB.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 12 | cnt/TimeoutBPre +MACROCELL | 3 | 13 | cnt/TimeoutBPre ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 2 | 1 | 14 | 1 | 12 +OUTPUTMC | 2 | 3 | 15 | 3 | 13 INPUTS | 11 | cnt/TimeoutBPre | nAS_FSB | fsb/ASrf | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> -INPUTMC | 10 | 1 | 12 | 2 | 6 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 -INPUTP | 1 | 21 +INPUTMC | 10 | 3 | 13 | 4 | 2 | 4 | 4 | 3 | 4 | 3 | 3 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 | 3 | 2 +INPUTP | 1 | 112 EQ | 8 | cnt/TimeoutBPre.T = cnt/TimeoutBPre & nAS_FSB & !fsb/ASrf # !cnt/TimeoutBPre & !nAS_FSB & !cnt/RefCnt<0> & @@ -338,42 +311,41 @@ EQ | 8 | cnt/TimeoutBPre.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 3 | 15 | fsb/BERR0r +MACROCELL | 0 | 12 | fsb/BERR0r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 3 | 15 | 0 | 14 | 0 | 1 | 0 | 5 | 0 | 3 | 0 | 12 | 0 | 13 | 0 | 16 | 3 | 16 -INPUTS | 9 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | fsb/BERR0r | TimeoutB | nAS_FSB | fsb/ASrf | nWE_FSB -INPUTMC | 3 | 3 | 15 | 1 | 14 | 2 | 6 -INPUTP | 6 | 105 | 15 | 109 | 114 | 21 | 98 -EXPORTS | 1 | 3 | 16 -EQ | 8 | +OUTPUTMC | 8 | 0 | 12 | 7 | 17 | 7 | 4 | 0 | 1 | 0 | 0 | 7 | 1 | 7 | 6 | 0 | 11 +INPUTS | 14 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | fsb/BERR0r | TimeoutB | nAS_FSB | fsb/ASrf | iobs/Once | BERR_IOBS | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 +INPUTMC | 9 | 0 | 12 | 3 | 15 | 4 | 2 | 7 | 12 | 0 | 11 | 0 | 8 | 4 | 0 | 4 | 17 | 5 | 14 +INPUTP | 5 | 127 | 153 | 134 | 22 | 112 +EXPORTS | 1 | 0 | 11 +EQ | 7 | !fsb/BERR0r.D = !TimeoutB & !fsb/BERR0r # nAS_FSB & !fsb/ASrf # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/BERR0r; fsb/BERR0r.CLK = CLK_FSB; // GCK - fsb/BERR0r.EXP = A_FSB<23> & nWE_FSB & !nAS_FSB - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & - !nAS_FSB + fsb/BERR0r.EXP = iobs/Once & !BERR_IOBS & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & IOBERR & fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 8 | fsb/BERR1r +MACROCELL | 3 | 10 | fsb/BERR1r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 1 | 8 | 0 | 14 | 0 | 1 | 0 | 5 | 0 | 3 | 0 | 12 | 0 | 13 | 0 | 16 +OUTPUTMC | 7 | 3 | 10 | 7 | 17 | 7 | 4 | 0 | 1 | 0 | 0 | 7 | 1 | 7 | 6 INPUTS | 4 | BERR_IOBS | fsb/BERR1r | nAS_FSB | fsb/ASrf -INPUTMC | 3 | 4 | 14 | 1 | 8 | 2 | 6 -INPUTP | 1 | 21 +INPUTMC | 3 | 0 | 11 | 3 | 10 | 4 | 2 +INPUTP | 1 | 112 EQ | 3 | !fsb/BERR1r.D = !BERR_IOBS & !fsb/BERR1r # nAS_FSB & !fsb/ASrf; fsb/BERR1r.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 6 | fsb/Ready0r +MACROCELL | 5 | 9 | fsb/Ready0r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 6 | 6 | 0 | 12 | 0 | 0 | 0 | 15 +OUTPUTMC | 4 | 5 | 9 | 7 | 16 | 7 | 0 | 7 | 5 INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | nAS_FSB | fsb/ASrf -INPUTMC | 4 | 3 | 2 | 6 | 6 | 6 | 16 | 2 | 6 -INPUTP | 4 | 105 | 15 | 109 | 21 +INPUTMC | 4 | 5 | 7 | 5 | 9 | 2 | 12 | 4 | 2 +INPUTP | 4 | 127 | 153 | 134 | 112 EQ | 6 | !fsb/Ready0r.D = nAS_FSB & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & @@ -383,15 +355,17 @@ EQ | 6 | fsb/Ready0r.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 0 | 6 | fsb/Ready1r +MACROCELL | 7 | 8 | fsb/Ready1r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 0 | 6 | 0 | 12 | 0 | 0 | 0 | 2 | 0 | 3 | 0 | 5 | 0 | 15 -INPUTS | 17 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | A_FSB<13> | nBERR_FSB_OBUF.EXP -INPUTMC | 5 | 2 | 6 | 0 | 6 | 1 | 17 | 3 | 2 | 0 | 5 -INPUTP | 12 | 21 | 105 | 15 | 109 | 114 | 147 | 117 | 123 | 155 | 153 | 98 | 136 -IMPORTS | 1 | 0 | 5 -EQ | 20 | +OUTPUTMC | 10 | 7 | 6 | 7 | 16 | 7 | 3 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 5 | 7 | 9 | 7 | 10 | 7 | 7 +INPUTS | 22 | nAS_FSB | fsb/ASrf | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | EXP32_.EXP +INPUTMC | 5 | 4 | 2 | 5 | 7 | 3 | 16 | 7 | 7 | 7 | 9 +INPUTP | 17 | 112 | 117 | 140 | 102 | 19 | 104 | 105 | 143 | 127 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 +EXPORTS | 1 | 7 | 7 +IMPORTS | 1 | 7 | 9 +EQ | 34 | !fsb/Ready1r.D = nAS_FSB & !fsb/ASrf +;Imported pterms FB8_10 # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & !iobs/IOReady @@ -401,25 +375,38 @@ EQ | 20 | # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady -;Imported pterms FB1_6 # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 +;Imported pterms FB8_11 # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1; fsb/Ready1r.CLK = CLK_FSB; // GCK + fsb/Ready1r.EXP = nAS_FSB & !fsb/ASrf + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 0 | 11 | fsb/Ready2r +MACROCELL | 7 | 7 | fsb/Ready2r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 0 | 11 | 0 | 9 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 17 -INPUTS | 21 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | A_FSB<8> | nOE_OBUF.EXP -INPUTMC | 4 | 3 | 2 | 1 | 15 | 0 | 11 | 0 | 10 -INPUTP | 17 | 19 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 103 -IMPORTS | 1 | 0 | 10 +OUTPUTMC | 6 | 7 | 7 | 7 | 15 | 7 | 2 | 7 | 1 | 7 | 6 | 7 | 8 +INPUTS | 21 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | A_FSB<8> | fsb/Ready1r.EXP +INPUTMC | 4 | 5 | 7 | 3 | 16 | 7 | 7 | 7 | 8 +INPUTP | 17 | 114 | 140 | 102 | 19 | 104 | 105 | 143 | 127 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 | 117 +IMPORTS | 1 | 7 | 8 EQ | 35 | !fsb/Ready2r.D = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & @@ -441,7 +428,7 @@ EQ | 35 | !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r -;Imported pterms FB1_11 +;Imported pterms FB8_9 # nAS_FSB & !fsb/ASrf # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & @@ -458,161 +445,191 @@ EQ | 35 | fsb/Ready2r.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 0 | 14 | fsb/VPA +MACROCELL | 7 | 17 | fsb/VPA ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 0 | 14 | 5 | 14 | 0 | 12 | 0 | 13 | 0 | 15 -INPUTS | 9 | fsb/BERR1r | fsb/VPA | nAS_FSB | fsb/VPA__or00001/fsb/VPA__or00001_D2 | BERR_IOBS | fsb/BERR0r | $OpTx$FX_DC$602 | EXP19_.EXP | EXP20_.EXP -INPUTMC | 8 | 1 | 8 | 0 | 14 | 0 | 9 | 4 | 14 | 3 | 15 | 0 | 16 | 0 | 13 | 0 | 15 -INPUTP | 1 | 21 -IMPORTS | 2 | 0 | 13 | 0 | 15 -EQ | 65 | - fsb/VPA.D = BERR_IOBS & fsb/VPA & !nAS_FSB - # fsb/BERR0r & fsb/VPA & !nAS_FSB - # fsb/BERR1r & fsb/VPA & !nAS_FSB - # fsb/VPA & !nAS_FSB & - fsb/VPA__or00001/fsb/VPA__or00001_D2 - # fsb/VPA & !nAS_FSB & !$OpTx$FX_DC$602 -;Imported pterms FB1_14 - # BERR_IOBS & fsb/VPA & fsb/ASrf - # fsb/BERR0r & fsb/VPA & fsb/ASrf - # fsb/BERR1r & fsb/VPA & fsb/ASrf - # fsb/VPA & fsb/ASrf & - fsb/VPA__or00001/fsb/VPA__or00001_D2 - # fsb/VPA & fsb/ASrf & !$OpTx$FX_DC$602 -;Imported pterms FB1_13 +OUTPUTMC | 6 | 7 | 17 | 6 | 11 | 7 | 0 | 7 | 1 | 7 | 15 | 7 | 16 +INPUTS | 10 | BERR_IOBS | fsb/VPA | $OpTx$$OpTx$FX_DC$355_INV$439 | fsb/BERR0r | fsb/BERR1r | A_FSB<20> | TimeoutB | A_FSB<23> | EXP26_.EXP | EXP37_.EXP +INPUTMC | 8 | 0 | 11 | 7 | 17 | 4 | 6 | 0 | 12 | 3 | 10 | 3 | 15 | 7 | 0 | 7 | 16 +INPUTP | 2 | 22 | 127 +IMPORTS | 2 | 7 | 0 | 7 | 16 +EQ | 95 | + fsb/VPA.D = BERR_IOBS & fsb/VPA & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # fsb/BERR0r & fsb/VPA & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # fsb/BERR1r & fsb/VPA & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<23> & TimeoutB & fsb/VPA & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # !A_FSB<20> & TimeoutB & fsb/VPA & + !$OpTx$$OpTx$FX_DC$355_INV$439 +;Imported pterms FB8_1 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !ram/RAMReady & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !nADoutLE1 & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !nADoutLE1 & !$OpTx$$OpTx$FX_DC$355_INV$439 +;Imported pterms FB8_2 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & fsb/Ready1r & !$OpTx$$OpTx$FX_DC$355_INV$439 # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 -;Imported pterms FB1_16 - # !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & - $OpTx$FX_DC$606 - # !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & - $OpTx$FX_DC$606 + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 +;Imported pterms FB8_17 + # !A_FSB<22> & TimeoutB & fsb/VPA & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<21> & TimeoutB & fsb/VPA & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<23> & !fsb/Ready1r & fsb/VPA & + !iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady -;Imported pterms FB1_17 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 & !$OpTx$FX_DC$606; + !fsb/Ready0r & fsb/VPA & !ram/RAMReady & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + fsb/VPA & !iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 +;Imported pterms FB8_16 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439; fsb/VPA.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 5 | 7 | iobs/IOL1 +MACROCELL | 5 | 6 | iobs/IOL1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 5 | 15 +OUTPUTMC | 1 | 5 | 10 INPUTS | 2 | nLDS_FSB | iobs/Load1 -INPUTMC | 1 | 3 | 5 -INPUTP | 1 | 37 +INPUTMC | 1 | 2 | 9 +INPUTP | 1 | 15 EQ | 3 | iobs/IOL1.D = !nLDS_FSB; iobs/IOL1.CLK = CLK_FSB; // GCK iobs/IOL1.CE = iobs/Load1; GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 16 | iobs/IORW1 +MACROCELL | 0 | 3 | iobs/IORW1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 3 | 2 | 2 | 2 | 16 | 2 | 15 -INPUTS | 9 | A_FSB<23> | A_FSB<21> | iobs/IORW1 | nADoutLE1 | iobs/Once | A_FSB<20> | nWE_FSB | EXP25_.EXP | ram/BACTr.EXP -INPUTMC | 5 | 2 | 16 | 2 | 10 | 3 | 9 | 2 | 15 | 2 | 17 -INPUTP | 4 | 105 | 109 | 114 | 98 -IMPORTS | 2 | 2 | 15 | 2 | 17 +OUTPUTMC | 3 | 0 | 15 | 0 | 3 | 0 | 2 +INPUTS | 9 | A_FSB<23> | A_FSB<21> | iobs/IORW1 | nADoutLE1 | iobs/Once | A_FSB<20> | nWE_FSB | EXP11_.EXP | nDinOE_OBUF.EXP +INPUTMC | 5 | 0 | 3 | 5 | 14 | 7 | 12 | 0 | 2 | 0 | 4 +INPUTP | 4 | 127 | 134 | 22 | 97 +IMPORTS | 2 | 0 | 2 | 0 | 4 EQ | 21 | !iobs/IORW1.T = iobs/Once # !nADoutLE1 # !A_FSB<23> & !A_FSB<20> # nWE_FSB & iobs/IORW1 # !A_FSB<23> & A_FSB<21> & !iobs/IORW1 -;Imported pterms FB3_16 +;Imported pterms FB1_3 # !nWE_FSB & !iobs/IORW1 # nAS_FSB & !fsb/ASrf # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 -;Imported pterms FB3_15 +;Imported pterms FB1_2 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> # !A_FSB<23> & A_FSB<22> & A_FSB<21> & cs/nOverlay1 -;Imported pterms FB3_18 +;Imported pterms FB1_5 # !A_FSB<23> & A_FSB<21> & !A_FSB<19> - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> # !A_FSB<23> & A_FSB<21> & !A_FSB<17> # !A_FSB<23> & A_FSB<21> & !A_FSB<16>; iobs/IORW1.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 17 | iobs/IOReady +MACROCELL | 5 | 12 | iobs/IOReady ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 0 | 6 | 0 | 12 | 1 | 17 | 0 | 0 | 0 | 2 | 0 | 3 | 0 | 5 | 0 | 15 | 0 | 16 -INPUTS | 8 | iobs/IOReady | nAS_FSB | fsb/ASrf | iobs/Once | IOBERR | iobs/PS_FSM_FFd2 | iobs/IOACTr | nADoutLE1 -INPUTMC | 7 | 1 | 17 | 2 | 6 | 3 | 9 | 4 | 17 | 2 | 8 | 2 | 3 | 2 | 10 -INPUTP | 1 | 21 +OUTPUTMC | 10 | 7 | 6 | 7 | 16 | 5 | 12 | 7 | 3 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 5 | 7 | 9 | 7 | 10 +INPUTS | 8 | iobs/IOReady | nAS_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 +INPUTMC | 7 | 5 | 12 | 4 | 2 | 7 | 12 | 0 | 8 | 4 | 0 | 4 | 17 | 5 | 14 +INPUTP | 1 | 112 EQ | 8 | iobs/IOReady.T = iobs/IOReady & nAS_FSB & !fsb/ASrf - # iobs/Once & IOBERR & iobs/IOReady & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 - # iobs/Once & !IOBERR & !iobs/IOReady & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 - # iobs/Once & !IOBERR & !iobs/IOReady & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & fsb/ASrf & nADoutLE1; + # iobs/Once & iobs/IOReady & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & IOBERR & nADoutLE1 + # iobs/Once & !iobs/IOReady & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !IOBERR & nADoutLE1 + # iobs/Once & !iobs/IOReady & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & !IOBERR & fsb/ASrf & nADoutLE1; iobs/IOReady.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 5 | 6 | iobs/IOU1 +MACROCELL | 4 | 7 | iobs/IOU1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 5 | 13 +OUTPUTMC | 1 | 3 | 17 INPUTS | 2 | nUDS_FSB | iobs/Load1 -INPUTMC | 1 | 3 | 5 -INPUTP | 1 | 82 +INPUTMC | 1 | 2 | 9 +INPUTP | 1 | 28 EQ | 3 | iobs/IOU1.D = !nUDS_FSB; iobs/IOU1.CLK = CLK_FSB; // GCK iobs/IOU1.CE = iobs/Load1; GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 10 | ram/RAMDIS2 +MACROCELL | 2 | 7 | ram/RAMDIS2 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 6 | 10 | 2 | 4 | 5 | 5 | 5 | 8 | 6 | 9 | 6 | 11 -INPUTS | 17 | ram/RAMDIS2 | nAS_FSB | fsb/ASrf | ram/Once | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | A_FSB<9> | ram/RASEL | ram/Once.EXP -INPUTMC | 13 | 6 | 10 | 2 | 6 | 6 | 9 | 1 | 9 | 6 | 12 | 6 | 7 | 6 | 4 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 2 | 3 | 0 | 6 | 9 -INPUTP | 4 | 21 | 105 | 15 | 19 -EXPORTS | 1 | 6 | 11 -IMPORTS | 1 | 6 | 9 +OUTPUTMC | 6 | 2 | 7 | 3 | 11 | 3 | 8 | 2 | 5 | 2 | 6 | 2 | 8 +INPUTS | 18 | ram/RAMDIS2 | nAS_FSB | fsb/ASrf | ram/Once | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | A_FSB<14> | A_FSB<13> | A_FSB<21> | EXP20_.EXP +INPUTMC | 12 | 2 | 7 | 4 | 2 | 5 | 0 | 3 | 12 | 5 | 16 | 5 | 13 | 5 | 3 | 3 | 4 | 3 | 3 | 3 | 2 | 5 | 7 | 2 | 6 +INPUTP | 6 | 112 | 127 | 153 | 102 | 19 | 134 +EXPORTS | 1 | 2 | 8 +IMPORTS | 1 | 2 | 6 EQ | 26 | ram/RAMDIS2.T = ram/RAMDIS2 & nAS_FSB & !fsb/ASrf # ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & @@ -625,7 +642,7 @@ EQ | 26 | !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -;Imported pterms FB7_10 +;Imported pterms FB3_7 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & @@ -639,61 +656,48 @@ EQ | 26 | !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf; ram/RAMDIS2.CLK = CLK_FSB; // GCK - ram/RAMDIS2.EXP = A_FSB<9> & ram/RASEL + ram/RAMDIS2.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 0 | 1 | nDTACK_FSB_OBUF +MACROCELL | 7 | 4 | nDTACK_FSB_OBUF ATTRIBUTES | 8815362 | 0 -OUTPUTMC | 5 | 0 | 1 | 0 | 0 | 0 | 2 | 0 | 3 | 0 | 17 -INPUTS | 9 | fsb/BERR1r | nDTACK_FSB | BERR_IOBS | fsb/BERR0r | $OpTx$FX_DC$602 | nAS_FSB | fsb/ASrf | EXP14_.EXP | EXP15_.EXP -INPUTMC | 8 | 1 | 8 | 0 | 1 | 4 | 14 | 3 | 15 | 0 | 16 | 2 | 6 | 0 | 0 | 0 | 2 -INPUTP | 1 | 21 -IMPORTS | 2 | 0 | 0 | 0 | 2 -EQ | 82 | +OUTPUTMC | 5 | 7 | 4 | 7 | 2 | 7 | 3 | 7 | 5 | 7 | 6 +INPUTS | 10 | BERR_IOBS | nDTACK_FSB | fsb/BERR0r | fsb/BERR1r | nAS_FSB | fsb/ASrf | A_FSB<20> | TimeoutB | EXP29_.EXP | EXP30_.EXP +INPUTMC | 8 | 0 | 11 | 7 | 4 | 0 | 12 | 3 | 10 | 4 | 2 | 3 | 15 | 7 | 3 | 7 | 5 +INPUTP | 2 | 112 | 22 +IMPORTS | 2 | 7 | 3 | 7 | 5 +EQ | 65 | nDTACK_FSB.D = BERR_IOBS & nDTACK_FSB # fsb/BERR0r & nDTACK_FSB # fsb/BERR1r & nDTACK_FSB # nAS_FSB & !fsb/ASrf - # nDTACK_FSB & !$OpTx$FX_DC$602 -;Imported pterms FB1_1 + # !A_FSB<20> & TimeoutB & nDTACK_FSB +;Imported pterms FB8_4 + # A_FSB<23> & TimeoutB & nDTACK_FSB + # !A_FSB<22> & TimeoutB & nDTACK_FSB + # A_FSB<21> & TimeoutB & nDTACK_FSB # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB +;Imported pterms FB8_3 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 +;Imported pterms FB8_6 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB -;Imported pterms FB1_18 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB -;Imported pterms FB1_3 # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB @@ -701,46 +705,42 @@ EQ | 82 | A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB & !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB & !nADoutLE1 +;Imported pterms FB8_7 # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB -;Imported pterms FB1_4 + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & $OpTx$FX_DC$602 + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & fsb/Ready1r # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & $OpTx$FX_DC$602; + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & iobs/IOReady; nDTACK_FSB.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 3 | 0 | ram/RASEL +MACROCELL | 2 | 3 | ram/RASEL ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 12 | 5 | 1 | 6 | 14 | 4 | 1 | 3 | 7 | 4 | 7 | 3 | 11 | 6 | 1 | 6 | 5 | 6 | 8 | 6 | 11 | 3 | 2 | 6 | 10 -INPUTS | 13 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | RA_0_OBUF.EXP | EXP32_.EXP -INPUTMC | 11 | 6 | 12 | 6 | 4 | 6 | 7 | 1 | 9 | 2 | 17 | 2 | 6 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 1 | 3 | 17 -INPUTP | 2 | 105 | 21 -IMPORTS | 2 | 3 | 1 | 3 | 17 +OUTPUTMC | 11 | 4 | 14 | 2 | 10 | 3 | 1 | 4 | 1 | 4 | 5 | 4 | 8 | 5 | 1 | 5 | 5 | 5 | 8 | 5 | 11 | 4 | 11 +INPUTS | 13 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | EXP18_.EXP | nRAS_OBUF.EXP +INPUTMC | 11 | 5 | 16 | 5 | 3 | 5 | 13 | 3 | 12 | 3 | 0 | 4 | 2 | 3 | 4 | 3 | 3 | 3 | 2 | 2 | 2 | 2 | 4 +INPUTP | 2 | 127 | 112 +IMPORTS | 2 | 2 | 2 | 2 | 4 EQ | 50 | ram/RASEL.D = !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & @@ -751,20 +751,7 @@ EQ | 50 | !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -;Imported pterms FB4_2 - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf - # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf -;Imported pterms FB4_18 +;Imported pterms FB3_3 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & @@ -775,7 +762,7 @@ EQ | 50 | !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB4_17 +;Imported pterms FB3_2 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 @@ -790,16 +777,29 @@ EQ | 50 | cnt/RefCnt<7> # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7>; + cnt/RefCnt<7> +;Imported pterms FB3_5 + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf + # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf; ram/RASEL.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 12 | ram/RS_FSM_FFd2 +MACROCELL | 5 | 16 | ram/RS_FSM_FFd2 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 17 | 6 | 9 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 7 | 6 | 4 | 5 | 4 | 3 | 13 | 6 | 13 | 3 | 1 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 3 | 6 | 5 | 6 | 8 | 6 | 17 -INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<6> | ram/BACTr | cnt/RefCnt<7> | cnt/RefCnt<5> | RA_8_OBUF.EXP | cnt/RefCnt<7>.EXP -INPUTMC | 10 | 6 | 12 | 6 | 4 | 1 | 9 | 6 | 7 | 6 | 15 | 2 | 17 | 6 | 13 | 6 | 0 | 6 | 11 | 6 | 13 -IMPORTS | 2 | 6 | 11 | 6 | 13 +OUTPUTMC | 16 | 5 | 0 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 13 | 5 | 3 | 5 | 17 | 2 | 16 | 2 | 6 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 13 | 2 | 17 | 5 | 2 | 5 | 4 +INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<6> | ram/BACTr | cnt/RefCnt<7> | cnt/RefCnt<5> | iobs/Clear1.EXP | RefAck.EXP +INPUTMC | 10 | 5 | 16 | 5 | 3 | 3 | 12 | 5 | 13 | 3 | 3 | 3 | 0 | 3 | 2 | 3 | 4 | 5 | 15 | 5 | 17 +IMPORTS | 2 | 5 | 15 | 5 | 17 EQ | 28 | !ram/RS_FSM_FFd2.T = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 # cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 @@ -809,7 +809,7 @@ EQ | 28 | !cnt/RefCnt<6> & ram/BACTr # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & ram/BACTr & !cnt/RefCnt<7> -;Imported pterms FB7_12 +;Imported pterms FB6_16 # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !cnt/RefCnt<5> & !fsb/ASrf # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & @@ -818,7 +818,7 @@ EQ | 28 | !cnt/RefCnt<7> & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 -;Imported pterms FB7_14 +;Imported pterms FB6_18 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf # !A_FSB<23> & A_FSB<22> & A_FSB<21> & @@ -831,12 +831,30 @@ EQ | 28 | ram/RS_FSM_FFd2.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 7 | ram/RS_FSM_FFd1 +MACROCELL | 4 | 13 | iobm/IOS_FSM_FFd4 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 14 | 4 | 13 | 4 | 15 | 4 | 9 | 4 | 10 | 4 | 16 | 4 | 17 | 4 | 12 | 1 | 13 | 6 | 1 | 1 | 16 | 1 | 10 | 1 | 11 | 4 | 0 | 4 | 14 +INPUTS | 6 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd4 | iobm/IOREQr | CLK_IOB +INPUTMC | 5 | 4 | 15 | 4 | 9 | 4 | 10 | 4 | 13 | 1 | 2 +INPUTP | 1 | 42 +EQ | 9 | + !iobm/IOS_FSM_FFd4.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 + # iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & + iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 & CLK_IOB + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 & !iobm/IOREQr; + iobm/IOS_FSM_FFd4.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 13 | ram/RS_FSM_FFd1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 22 | 6 | 9 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 7 | 6 | 4 | 5 | 4 | 3 | 13 | 6 | 16 | 3 | 1 | 3 | 11 | 3 | 12 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 3 | 6 | 5 | 6 | 8 | 6 | 11 | 6 | 13 | 6 | 15 | 6 | 17 +OUTPUTMC | 21 | 5 | 0 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 13 | 5 | 3 | 5 | 17 | 2 | 16 | 2 | 12 | 2 | 0 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 6 | 2 | 11 | 2 | 13 | 2 | 15 | 2 | 17 | 5 | 2 | 5 | 4 | 5 | 15 INPUTS | 10 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> -INPUTMC | 6 | 3 | 2 | 6 | 9 | 6 | 12 | 6 | 7 | 6 | 4 | 2 | 6 -INPUTP | 4 | 105 | 15 | 21 | 109 +INPUTMC | 6 | 5 | 7 | 5 | 0 | 5 | 16 | 5 | 13 | 5 | 3 | 4 | 2 +INPUTP | 4 | 127 | 153 | 112 | 134 EQ | 13 | ram/RS_FSM_FFd1.T = ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & @@ -853,13 +871,13 @@ EQ | 13 | ram/RS_FSM_FFd1.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 4 | ram/RS_FSM_FFd3 +MACROCELL | 5 | 3 | ram/RS_FSM_FFd3 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 15 | 6 | 9 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 7 | 6 | 4 | 3 | 13 | 6 | 13 | 3 | 1 | 3 | 14 | 6 | 3 | 6 | 5 | 6 | 8 | 6 | 11 | 6 | 17 -INPUTS | 11 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/Once | cnt/RefCnt<6> | cnt/RefCnt<7> | cnt/RefDone | cnt/RefCnt<5> | cs/nOverlay0.EXP | RA_6_OBUF.EXP -INPUTMC | 10 | 6 | 12 | 6 | 7 | 6 | 4 | 6 | 9 | 6 | 15 | 6 | 13 | 1 | 9 | 6 | 0 | 6 | 3 | 6 | 5 -INPUTP | 1 | 105 -IMPORTS | 2 | 6 | 3 | 6 | 5 +OUTPUTMC | 15 | 5 | 0 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 13 | 5 | 3 | 2 | 16 | 2 | 6 | 2 | 4 | 2 | 13 | 2 | 17 | 5 | 2 | 5 | 4 | 5 | 15 | 5 | 17 +INPUTS | 11 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/Once | cnt/RefCnt<6> | cnt/RefCnt<7> | cnt/RefDone | cnt/RefCnt<5> | ALE0S.EXP | iobs/PS_FSM_FFd1.EXP +INPUTMC | 10 | 5 | 16 | 5 | 13 | 5 | 3 | 5 | 0 | 3 | 3 | 3 | 2 | 3 | 12 | 3 | 4 | 5 | 2 | 5 | 4 +INPUTP | 1 | 127 +IMPORTS | 2 | 5 | 2 | 5 | 4 EQ | 27 | !ram/RS_FSM_FFd3.T = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 @@ -871,16 +889,16 @@ EQ | 27 | !ram/RS_FSM_FFd3 & !cnt/RefCnt<6> # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !cnt/RefCnt<7> -;Imported pterms FB7_4 +;Imported pterms FB6_3 # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !fsb/ASrf -;Imported pterms FB7_6 # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !fsb/ASrf +;Imported pterms FB6_5 # !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> @@ -890,14 +908,64 @@ EQ | 27 | ram/RS_FSM_FFd3.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 8 | iobs/PS_FSM_FFd2 +MACROCELL | 4 | 15 | iobm/IOS_FSM_FFd3 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 20 | 3 | 9 | 4 | 14 | 2 | 2 | 5 | 15 | 5 | 13 | 2 | 15 | 1 | 17 | 2 | 8 | 2 | 10 | 3 | 5 | 2 | 7 | 2 | 12 | 2 | 5 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 9 | 2 | 11 | 2 | 13 | 3 | 8 -INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | nAS_FSB | fsb/ASrf | ALE0S.EXP | EXP24_.EXP -INPUTMC | 8 | 2 | 8 | 2 | 11 | 2 | 3 | 3 | 9 | 2 | 10 | 2 | 6 | 2 | 7 | 2 | 9 -INPUTP | 3 | 105 | 114 | 21 -IMPORTS | 2 | 2 | 7 | 2 | 9 -EQ | 31 | +OUTPUTMC | 13 | 4 | 13 | 4 | 15 | 4 | 9 | 4 | 10 | 4 | 16 | 4 | 17 | 4 | 12 | 1 | 13 | 6 | 1 | 1 | 16 | 1 | 10 | 1 | 11 | 4 | 0 +INPUTS | 13 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobm/RESrf | iobm/RESrr | nCAS_OBUF.EXP +INPUTMC | 12 | 4 | 13 | 4 | 15 | 1 | 4 | 1 | 8 | 1 | 7 | 1 | 6 | 1 | 5 | 4 | 9 | 4 | 10 | 1 | 1 | 1 | 0 | 4 | 14 +INPUTP | 1 | 42 +EXPORTS | 1 | 4 | 16 +IMPORTS | 1 | 4 | 14 +EQ | 12 | + !iobm/IOS_FSM_FFd3.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 + # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/ETACK + # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/DTACKrf & + iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/BERRrf & + iobm/BERRrr +;Imported pterms FB5_15 + # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/RESrf & + iobm/RESrr; + iobm/IOS_FSM_FFd3.CLK = CLK2X_IOB; // GCK + iobm/IOS_FSM_FFd3.EXP = !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd2 & + iobm/IOS_FSM_FFd1 & CLK_IOB & iobm/RESrf & iobm/RESrr +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 4 | 9 | iobm/IOS_FSM_FFd2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 12 | 4 | 13 | 4 | 9 | 4 | 10 | 4 | 16 | 4 | 17 | 4 | 12 | 1 | 13 | 1 | 16 | 1 | 10 | 1 | 11 | 4 | 0 | 4 | 15 +INPUTS | 4 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 +INPUTMC | 4 | 4 | 13 | 4 | 15 | 4 | 10 | 4 | 9 +EQ | 5 | + !iobm/IOS_FSM_FFd2.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & + !iobm/IOS_FSM_FFd1; + iobm/IOS_FSM_FFd2.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 4 | 10 | iobm/IOS_FSM_FFd1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 12 | 4 | 13 | 4 | 9 | 4 | 10 | 4 | 16 | 4 | 17 | 4 | 12 | 1 | 13 | 6 | 1 | 1 | 10 | 1 | 11 | 4 | 0 | 4 | 15 +INPUTS | 4 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd3 +INPUTMC | 4 | 4 | 13 | 4 | 9 | 4 | 10 | 4 | 15 +EQ | 5 | + !iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd2 & + !iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2; + iobm/IOS_FSM_FFd1.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 0 | 8 | iobs/PS_FSM_FFd2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 22 | 7 | 12 | 0 | 11 | 0 | 15 | 5 | 10 | 3 | 17 | 0 | 2 | 5 | 12 | 0 | 8 | 5 | 4 | 2 | 9 | 5 | 2 | 0 | 6 | 5 | 15 | 0 | 5 | 0 | 7 | 0 | 9 | 0 | 10 | 0 | 12 | 0 | 14 | 0 | 16 | 0 | 17 | 7 | 11 +INPUTS | 10 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | A_FSB<21> | cs/nOverlay1 | EXP13_.EXP +INPUTMC | 7 | 0 | 8 | 5 | 4 | 4 | 0 | 7 | 12 | 5 | 14 | 5 | 7 | 0 | 9 +INPUTP | 3 | 127 | 22 | 134 +EXPORTS | 1 | 0 | 7 +IMPORTS | 1 | 0 | 9 +EQ | 33 | !iobs/PS_FSM_FFd2.D = iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & iobs/IOACTr # !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & @@ -906,9 +974,9 @@ EQ | 31 | nADoutLE1 # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB1_10 # nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !fsb/ASrf & nADoutLE1 -;Imported pterms FB3_8 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & @@ -917,7 +985,7 @@ EQ | 31 | !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 # !A_FSB<23> & A_FSB<21> & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB3_10 +;Imported pterms FB1_11 # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & @@ -929,13 +997,15 @@ EQ | 31 | # !A_FSB<23> & A_FSB<22> & A_FSB<21> & cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1; iobs/PS_FSM_FFd2.CLK = CLK_FSB; // GCK + iobs/PS_FSM_FFd2.EXP = !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay1 GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 5 | 12 | iobm/ES<0> +MACROCELL | 1 | 14 | iobm/ES<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 2 | 14 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 13 +OUTPUTMC | 7 | 1 | 4 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 | 0 | 11 INPUTS | 7 | iobm/ES<0> | iobm/Er | iobm/Er2 | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 5 | 12 | 7 | 17 | 5 | 0 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 +INPUTMC | 7 | 1 | 14 | 6 | 16 | 1 | 3 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 EQ | 6 | !iobm/ES<0>.T = iobm/ES<0> & !iobm/Er & iobm/Er2 # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & @@ -945,11 +1015,11 @@ EQ | 6 | iobm/ES<0>.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 10 | iobm/ES<1> +MACROCELL | 1 | 12 | iobm/ES<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 2 | 14 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 13 +OUTPUTMC | 7 | 1 | 4 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 | 0 | 11 INPUTS | 4 | iobm/ES<0> | iobm/ES<1> | iobm/Er | iobm/Er2 -INPUTMC | 4 | 5 | 12 | 5 | 10 | 7 | 17 | 5 | 0 +INPUTMC | 4 | 1 | 14 | 1 | 12 | 6 | 16 | 1 | 3 EQ | 4 | !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> # !iobm/ES<0> & !iobm/ES<1> @@ -957,29 +1027,11 @@ EQ | 4 | iobm/ES<1>.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 4 | 15 | iobm/IOS_FSM_FFd3 +MACROCELL | 1 | 17 | iobm/ES<2> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 4 | 17 | 4 | 15 | 4 | 0 | 4 | 13 | 4 | 12 | 1 | 16 | 7 | 14 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 16 -INPUTS | 10 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr -INPUTMC | 9 | 4 | 15 | 4 | 5 | 2 | 13 | 4 | 8 | 4 | 6 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 -INPUTP | 1 | 42 -EQ | 9 | - !iobm/IOS_FSM_FFd3.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 - # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/ETACK - # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/BERRrf & - iobm/BERRrr - # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/DTACKrf & - iobm/DTACKrr - # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/RESrf & - iobm/RESrr; - iobm/IOS_FSM_FFd3.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 5 | 17 | iobm/ES<2> -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 2 | 14 | 5 | 12 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 13 +OUTPUTMC | 6 | 1 | 4 | 1 | 14 | 1 | 17 | 1 | 9 | 1 | 15 | 0 | 11 INPUTS | 7 | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/Er | iobm/Er2 | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 5 | 12 | 5 | 17 | 5 | 10 | 7 | 17 | 5 | 0 | 5 | 9 | 5 | 16 +INPUTMC | 7 | 1 | 14 | 1 | 17 | 1 | 12 | 6 | 16 | 1 | 3 | 1 | 9 | 1 | 15 EQ | 6 | !iobm/ES<2>.D = !iobm/ES<0> & !iobm/ES<2> # !iobm/ES<1> & !iobm/ES<2> @@ -989,36 +1041,30 @@ EQ | 6 | iobm/ES<2>.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 2 | 11 | iobs/PS_FSM_FFd1 +MACROCELL | 5 | 4 | iobs/PS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 16 | 3 | 9 | 2 | 2 | 5 | 15 | 5 | 13 | 2 | 15 | 2 | 8 | 2 | 10 | 3 | 5 | 2 | 7 | 2 | 12 | 2 | 5 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 9 | 3 | 8 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/PS_FSM_FFd2 | nADoutLE1 | cs/nOverlay1 | A_FSB<19> | A_FSB<16> | nWE_FSB | nADoutLE1_OBUF.EXP -INPUTMC | 4 | 2 | 8 | 2 | 10 | 3 | 2 | 2 | 10 -INPUTP | 6 | 105 | 15 | 109 | 117 | 153 | 98 -EXPORTS | 1 | 2 | 12 -IMPORTS | 1 | 2 | 10 -EQ | 14 | - iobs/PS_FSM_FFd1.D = ;Imported pterms FB3_11 - iobs/PS_FSM_FFd2 +OUTPUTMC | 18 | 7 | 12 | 0 | 15 | 5 | 10 | 3 | 17 | 0 | 2 | 0 | 8 | 5 | 4 | 2 | 9 | 5 | 2 | 0 | 6 | 5 | 15 | 0 | 9 | 0 | 10 | 0 | 14 | 0 | 16 | 0 | 17 | 7 | 11 | 5 | 3 +INPUTS | 12 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf +INPUTMC | 11 | 0 | 8 | 5 | 4 | 4 | 0 | 3 | 12 | 5 | 16 | 5 | 13 | 5 | 3 | 3 | 4 | 3 | 3 | 3 | 2 | 4 | 2 +INPUTP | 1 | 112 +EXPORTS | 1 | 5 | 3 +EQ | 9 | + iobs/PS_FSM_FFd1.D = iobs/PS_FSM_FFd2 # iobs/PS_FSM_FFd1 & iobs/IOACTr; iobs/PS_FSM_FFd1.CLK = CLK_FSB; // GCK - iobs/PS_FSM_FFd1.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & - !iobs/PS_FSM_FFd2 & nADoutLE1 + iobs/PS_FSM_FFd1.EXP = !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 5 | 9 | iobm/ES<3> +MACROCELL | 1 | 9 | iobm/ES<3> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 2 | 14 | 5 | 12 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 13 +OUTPUTMC | 6 | 1 | 4 | 1 | 14 | 1 | 17 | 1 | 9 | 1 | 15 | 0 | 11 INPUTS | 6 | iobm/ES<3> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> -INPUTMC | 6 | 5 | 9 | 7 | 17 | 5 | 0 | 5 | 12 | 5 | 10 | 5 | 17 +INPUTMC | 6 | 1 | 9 | 6 | 16 | 1 | 3 | 1 | 14 | 1 | 12 | 1 | 17 EQ | 4 | iobm/ES<3>.T = iobm/ES<3> & !iobm/Er & iobm/Er2 # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & iobm/Er @@ -1026,11 +1072,11 @@ EQ | 4 | iobm/ES<3>.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 16 | iobm/ES<4> +MACROCELL | 1 | 15 | iobm/ES<4> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 2 | 14 | 5 | 12 | 5 | 17 | 5 | 16 | 2 | 13 +OUTPUTMC | 5 | 1 | 4 | 1 | 14 | 1 | 17 | 1 | 15 | 0 | 11 INPUTS | 7 | iobm/ES<4> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> -INPUTMC | 7 | 5 | 16 | 7 | 17 | 5 | 0 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 +INPUTMC | 7 | 1 | 15 | 6 | 16 | 1 | 3 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 EQ | 8 | iobm/ES<4>.T = iobm/ES<4> & !iobm/Er & iobm/Er2 # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & @@ -1042,306 +1088,242 @@ EQ | 8 | iobm/ES<4>.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 4 | 5 | iobm/IOS_FSM_FFd4 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 4 | 15 | 4 | 0 | 4 | 12 | 1 | 16 | 7 | 14 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 1 | 4 | 17 -INPUTS | 1 | iobm/IOS_FSM_FFd5 -INPUTMC | 1 | 4 | 4 -EQ | 2 | - iobm/IOS_FSM_FFd4.D = iobm/IOS_FSM_FFd5; - iobm/IOS_FSM_FFd4.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 4 | 4 | iobm/IOS_FSM_FFd5 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 4 | 5 | 4 | 0 | 4 | 12 | 1 | 16 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 1 | 4 | 17 -INPUTS | 1 | iobm/IOS_FSM_FFd6 -INPUTMC | 1 | 4 | 3 -EQ | 2 | - iobm/IOS_FSM_FFd5.D = iobm/IOS_FSM_FFd6; - iobm/IOS_FSM_FFd5.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 4 | 3 | iobm/IOS_FSM_FFd6 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 4 | 4 | 4 | 0 | 4 | 12 | 1 | 16 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 1 | 4 | 17 -INPUTS | 1 | iobm/IOS_FSM_FFd7 -INPUTMC | 1 | 4 | 2 -EQ | 2 | - iobm/IOS_FSM_FFd6.D = iobm/IOS_FSM_FFd7; - iobm/IOS_FSM_FFd6.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 4 | 2 | iobm/IOS_FSM_FFd7 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 4 | 3 | 4 | 0 | 4 | 12 | 1 | 16 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 1 | 4 | 17 -INPUTS | 3 | CLK_IOB | iobm/IOREQr | iobm/IOS_FSM_FFd8 -INPUTMC | 2 | 1 | 4 | 4 | 10 -INPUTP | 1 | 42 -EQ | 2 | - iobm/IOS_FSM_FFd7.D = !CLK_IOB & iobm/IOREQr & iobm/IOS_FSM_FFd8; - iobm/IOS_FSM_FFd7.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 4 | 9 | cnt/RefCnt<0> +MACROCELL | 4 | 4 | cnt/RefCnt<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 11 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 +OUTPUTMC | 11 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 3 | 4 | 3 | 3 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 | 3 | 2 INPUTS | 0 EQ | 2 | cnt/RefCnt<0>.T = Vcc; cnt/RefCnt<0>.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 0 | cnt/RefCnt<5> +MACROCELL | 3 | 4 | cnt/RefCnt<5> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 19 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 4 | 6 | 14 | 6 | 13 | 3 | 11 | 6 | 11 | 3 | 1 | 3 | 14 | 3 | 16 | 6 | 0 | 6 | 5 | 6 | 9 | 6 | 17 -INPUTS | 9 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> -INPUTMC | 9 | 4 | 9 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 1 | 9 | 6 | 0 | 6 | 15 | 6 | 13 -EXPORTS | 1 | 6 | 17 -EQ | 5 | +OUTPUTMC | 19 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 3 | 3 | 3 | 3 | 2 | 2 | 14 | 2 | 6 | 2 | 0 | 2 | 1 | 2 | 4 | 2 | 17 | 5 | 4 | 5 | 15 | 5 | 17 +INPUTS | 5 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> +INPUTMC | 5 | 4 | 4 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 +EQ | 3 | cnt/RefCnt<5>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; cnt/RefCnt<5>.CLK = CLK_FSB; // GCK - cnt/RefCnt<5>.EXP = !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 15 | cnt/RefCnt<6> +MACROCELL | 3 | 3 | cnt/RefCnt<6> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 18 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 4 | 6 | 13 | 3 | 11 | 6 | 11 | 3 | 1 | 3 | 14 | 3 | 16 | 6 | 0 | 6 | 5 | 6 | 9 | 6 | 16 -INPUTS | 11 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | ram/BACTr | A_FSB<21> | RA_9_OBUF.EXP -INPUTMC | 7 | 3 | 2 | 6 | 9 | 6 | 7 | 2 | 6 | 1 | 9 | 2 | 17 | 6 | 14 -INPUTP | 4 | 105 | 15 | 21 | 109 -EXPORTS | 1 | 6 | 16 -IMPORTS | 1 | 6 | 14 -EQ | 14 | - cnt/RefCnt<6>.T = ;Imported pterms FB7_15 - cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<1> & +OUTPUTMC | 18 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 3 | 3 | 2 | 2 | 14 | 2 | 6 | 2 | 0 | 2 | 1 | 2 | 4 | 2 | 17 | 5 | 4 | 5 | 15 | 5 | 17 +INPUTS | 6 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> +INPUTMC | 6 | 4 | 4 | 3 | 4 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 +EQ | 3 | + cnt/RefCnt<6>.T = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<1> & cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; cnt/RefCnt<6>.CLK = CLK_FSB; // GCK - cnt/RefCnt<6>.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !nAS_FSB & !ram/RS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd1 & fsb/ASrf GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 3 | iobs/IOACTr +MACROCELL | 4 | 0 | iobs/IOACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 4 | 14 | 1 | 17 | 2 | 8 | 2 | 10 | 2 | 12 | 2 | 2 -INPUTS | 18 | IOACT | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/Once | IORW0 | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | A_FSB<13> -INPUTMC | 8 | 4 | 0 | 3 | 2 | 3 | 9 | 2 | 2 | 2 | 8 | 2 | 11 | 2 | 10 | 2 | 6 -INPUTP | 10 | 147 | 15 | 114 | 117 | 123 | 155 | 153 | 98 | 21 | 136 -EXPORTS | 1 | 2 | 2 -EQ | 18 | +OUTPUTMC | 7 | 0 | 11 | 5 | 12 | 0 | 8 | 5 | 4 | 0 | 6 | 0 | 12 | 4 | 17 +INPUTS | 15 | IOACT | nBERR_IOB | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | IOBERR | CLK_IOB | iobm/ETACK | iobm/DTACKrf | iobm/DTACKrr | iobm/BERRrf | iobm/BERRrr | iobm/RESrf | iobm/RESrr +INPUTMC | 13 | 4 | 16 | 4 | 13 | 4 | 15 | 4 | 9 | 4 | 10 | 4 | 17 | 1 | 4 | 1 | 6 | 1 | 5 | 1 | 8 | 1 | 7 | 1 | 1 | 1 | 0 +INPUTP | 2 | 92 | 42 +EXPORTS | 1 | 4 | 17 +EQ | 14 | iobs/IOACTr.D = IOACT; iobs/IOACTr.CLK = CLK_FSB; // GCK - iobs/IOACTr.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & - !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & - !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & - !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & - !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + iobs/IOACTr.EXP = nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & + CLK_IOB & iobm/ETACK + # nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & + CLK_IOB & iobm/DTACKrf & iobm/DTACKrr + # nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & + CLK_IOB & iobm/BERRrf & iobm/BERRrr + # nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & + CLK_IOB & iobm/RESrf & iobm/RESrr GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 7 | cnt/RefCnt<1> +MACROCELL | 4 | 3 | cnt/RefCnt<1> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 +OUTPUTMC | 10 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 3 | 4 | 3 | 3 | 3 | 9 | 3 | 7 | 3 | 6 | 3 | 2 INPUTS | 1 | cnt/RefCnt<0> -INPUTMC | 1 | 4 | 9 +INPUTMC | 1 | 4 | 4 EQ | 2 | cnt/RefCnt<1>.T = cnt/RefCnt<0>; cnt/RefCnt<1>.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 6 | cnt/RefCnt<2> +MACROCELL | 3 | 9 | cnt/RefCnt<2> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 1 | 5 | 6 | 2 | 6 | 13 +OUTPUTMC | 9 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 3 | 4 | 3 | 3 | 3 | 7 | 3 | 6 | 3 | 2 INPUTS | 2 | cnt/RefCnt<0> | cnt/RefCnt<1> -INPUTMC | 2 | 4 | 9 | 1 | 7 +INPUTMC | 2 | 4 | 4 | 4 | 3 EQ | 2 | cnt/RefCnt<2>.T = cnt/RefCnt<0> & cnt/RefCnt<1>; cnt/RefCnt<2>.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 5 | cnt/RefCnt<3> +MACROCELL | 3 | 7 | cnt/RefCnt<3> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 8 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 6 | 2 | 6 | 13 +OUTPUTMC | 8 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 3 | 4 | 3 | 3 | 3 | 6 | 3 | 2 INPUTS | 3 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> -INPUTMC | 3 | 4 | 9 | 1 | 7 | 1 | 6 +INPUTMC | 3 | 4 | 4 | 4 | 3 | 3 | 9 EQ | 2 | cnt/RefCnt<3>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2>; cnt/RefCnt<3>.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 2 | cnt/RefCnt<4> +MACROCELL | 3 | 6 | cnt/RefCnt<4> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 6 | 13 +OUTPUTMC | 7 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 3 | 4 | 3 | 3 | 3 | 2 INPUTS | 4 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> -INPUTMC | 4 | 4 | 9 | 1 | 7 | 1 | 6 | 1 | 5 +INPUTMC | 4 | 4 | 4 | 4 | 3 | 3 | 9 | 3 | 7 EQ | 3 | cnt/RefCnt<4>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2> & cnt/RefCnt<3>; cnt/RefCnt<4>.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 13 | iobm/ETACK +MACROCELL | 2 | 9 | iobs/Load1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 4 | 17 | 4 | 15 | 4 | 0 | 4 | 13 | 2 | 12 -INPUTS | 16 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<17> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 -INPUTMC | 9 | 2 | 14 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 8 | 2 | 10 | 3 | 2 -INPUTP | 7 | 105 | 109 | 123 | 155 | 147 | 136 | 15 -EXPORTS | 1 | 2 | 12 -EQ | 11 | - iobm/ETACK.D = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & iobm/ES<4>; - iobm/ETACK.CLK = CLK2X_IOB; // GCK - iobm/ETACK.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1 -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 4 | iobm/IOREQr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 4 | 2 | 4 | 10 | 4 | 0 | 4 | 12 | 4 | 17 -INPUTS | 1 | IOREQ -INPUTMC | 1 | 2 | 12 -EQ | 2 | - iobm/IOREQr.D = IOREQ; - !iobm/IOREQr.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 4 | 10 | iobm/IOS_FSM_FFd8 -ATTRIBUTES | 8553220 | 0 -OUTPUTMC | 5 | 4 | 2 | 4 | 10 | 4 | 0 | 4 | 12 | 4 | 1 -INPUTS | 4 | iobm/IOS_FSM_FFd8 | iobm/IOS_FSM_FFd1 | CLK_IOB | iobm/IOREQr -INPUTMC | 3 | 4 | 10 | 7 | 16 | 1 | 4 -INPUTP | 1 | 42 -EQ | 3 | - !iobm/IOS_FSM_FFd8.D = !iobm/IOS_FSM_FFd8 & !iobm/IOS_FSM_FFd1 - # !CLK_IOB & iobm/IOREQr & !iobm/IOS_FSM_FFd1; - iobm/IOS_FSM_FFd8.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 3 | 5 | iobs/Load1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 7 | 5 | 6 | 2 | 10 -INPUTS | 10 | nADoutLE1 | iobs/Once | A_FSB<23> | A_FSB<20> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | EXP26_.EXP | EXP27_.EXP -INPUTMC | 7 | 2 | 10 | 3 | 9 | 2 | 8 | 2 | 11 | 2 | 6 | 3 | 4 | 3 | 6 -INPUTP | 3 | 105 | 114 | 21 -IMPORTS | 2 | 3 | 4 | 3 | 6 -EQ | 18 | +OUTPUTMC | 3 | 5 | 6 | 4 | 7 | 5 | 14 +INPUTS | 10 | nADoutLE1 | iobs/Once | A_FSB<23> | A_FSB<20> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | EXP21_.EXP | RA_0_OBUF.EXP +INPUTMC | 7 | 5 | 14 | 7 | 12 | 0 | 8 | 5 | 4 | 4 | 2 | 2 | 8 | 2 | 10 +INPUTP | 3 | 127 | 22 | 112 +IMPORTS | 2 | 2 | 8 | 2 | 10 +EQ | 19 | !iobs/Load1.D = iobs/Once # !nADoutLE1 # !A_FSB<23> & !A_FSB<20> # nAS_FSB & !fsb/ASrf # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 -;Imported pterms FB4_5 +;Imported pterms FB3_9 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> # !A_FSB<23> & A_FSB<21> & nWE_FSB -;Imported pterms FB4_7 +;Imported pterms FB3_8 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> +;Imported pterms FB3_11 # !A_FSB<23> & A_FSB<21> & !A_FSB<18> # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> # !A_FSB<23> & A_FSB<22> & A_FSB<21> & cs/nOverlay1; iobs/Load1.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 17 | ram/BACTr +MACROCELL | 3 | 0 | ram/BACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 3 | 0 | 6 | 12 | 3 | 13 | 6 | 16 | 3 | 1 | 3 | 12 | 3 | 14 | 3 | 17 | 6 | 15 | 6 | 17 | 2 | 16 -INPUTS | 8 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> -INPUTMC | 1 | 2 | 6 -INPUTP | 7 | 21 | 105 | 109 | 117 | 123 | 155 | 153 -EXPORTS | 1 | 2 | 16 -EQ | 6 | +OUTPUTMC | 10 | 2 | 3 | 5 | 16 | 2 | 16 | 2 | 12 | 2 | 2 | 2 | 4 | 2 | 11 | 2 | 13 | 2 | 15 | 2 | 17 +INPUTS | 2 | nAS_FSB | fsb/ASrf +INPUTMC | 1 | 4 | 2 +INPUTP | 1 | 112 +EQ | 2 | !ram/BACTr.D = nAS_FSB & !fsb/ASrf; ram/BACTr.CLK = CLK_FSB; // GCK - ram/BACTr.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 4 | 0 | IOACT +MACROCELL | 4 | 16 | IOACT ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 2 | 14 | 2 | 3 -INPUTS | 13 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/IOS_FSM_FFd8 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | RA_1_OBUF.EXP | IOBERR.EXP -INPUTMC | 12 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 1 | 4 | 4 | 10 | 2 | 13 | 4 | 8 | 4 | 6 | 4 | 1 | 4 | 17 +OUTPUTMC | 2 | 4 | 0 | 0 | 11 +INPUTS | 12 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOREQr | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/IOS_FSM_FFd3.EXP +INPUTMC | 11 | 4 | 13 | 4 | 15 | 4 | 10 | 4 | 9 | 1 | 2 | 1 | 4 | 1 | 8 | 1 | 7 | 1 | 6 | 1 | 5 | 4 | 15 INPUTP | 1 | 42 -IMPORTS | 2 | 4 | 1 | 4 | 17 -EQ | 33 | - !IOACT.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & - !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & - !iobm/IOREQr - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & - !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & - !iobm/IOS_FSM_FFd8 - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & iobm/ETACK & - !iobm/IOREQr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & iobm/ETACK & - !iobm/IOS_FSM_FFd8 - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & - iobm/BERRrf & iobm/BERRrr -;Imported pterms FB5_2 - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & - iobm/BERRrf & iobm/BERRrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & - iobm/DTACKrf & iobm/DTACKrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & - iobm/RESrf & iobm/RESrr -;Imported pterms FB5_18 - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & - iobm/DTACKrf & iobm/DTACKrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & - iobm/RESrf & iobm/RESrr; +IMPORTS | 1 | 4 | 15 +EQ | 14 | + !IOACT.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 & !iobm/IOREQr + # !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd2 & + iobm/IOS_FSM_FFd1 & CLK_IOB & iobm/ETACK + # !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd2 & + iobm/IOS_FSM_FFd1 & CLK_IOB & iobm/DTACKrf & iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd2 & + iobm/IOS_FSM_FFd1 & CLK_IOB & iobm/BERRrf & iobm/BERRrr +;Imported pterms FB5_16 + # !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd2 & + iobm/IOS_FSM_FFd1 & CLK_IOB & iobm/RESrf & iobm/RESrr; IOACT.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 13 | cnt/RefCnt<7> +MACROCELL | 4 | 17 | IOBERR ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 16 | 1 | 9 | 1 | 14 | 1 | 12 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 4 | 3 | 11 | 6 | 13 | 3 | 1 | 3 | 14 | 3 | 16 | 6 | 0 | 6 | 5 | 6 | 9 | 6 | 11 -INPUTS | 18 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | nAS_FSB | cnt/RefDone | ram/RS_FSM_FFd2 | cnt/RefCnt<7> -INPUTMC | 14 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 3 | 2 | 6 | 7 | 6 | 4 | 2 | 6 | 1 | 9 | 6 | 12 | 6 | 13 -INPUTP | 4 | 105 | 15 | 109 | 21 -EXPORTS | 1 | 6 | 12 -EQ | 12 | +OUTPUTMC | 5 | 0 | 11 | 5 | 12 | 4 | 17 | 0 | 12 | 4 | 0 +INPUTS | 15 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | IOBERR | nBERR_IOB | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr | iobs/IOACTr.EXP +INPUTMC | 13 | 4 | 13 | 4 | 15 | 4 | 9 | 4 | 10 | 4 | 17 | 1 | 4 | 1 | 8 | 1 | 7 | 1 | 6 | 1 | 5 | 1 | 1 | 1 | 0 | 4 | 0 +INPUTP | 2 | 92 | 42 +IMPORTS | 1 | 4 | 0 +EQ | 24 | + IOBERR.T = iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 & !iobm/IOS_FSM_FFd1 & IOBERR + # !nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & !IOBERR & CLK_IOB & iobm/ETACK + # !nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & !IOBERR & CLK_IOB & iobm/DTACKrf & iobm/DTACKrr + # !nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & !IOBERR & CLK_IOB & iobm/BERRrf & iobm/BERRrr + # !nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & !IOBERR & CLK_IOB & iobm/RESrf & iobm/RESrr +;Imported pterms FB5_1 + # nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & + CLK_IOB & iobm/ETACK + # nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & + CLK_IOB & iobm/DTACKrf & iobm/DTACKrr + # nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & + CLK_IOB & iobm/BERRrf & iobm/BERRrr + # nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & + CLK_IOB & iobm/RESrf & iobm/RESrr; + IOBERR.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 3 | 2 | cnt/RefCnt<7> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 16 | 3 | 12 | 3 | 15 | 3 | 13 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 3 | 2 | 14 | 2 | 6 | 2 | 0 | 2 | 1 | 2 | 4 | 2 | 17 | 5 | 4 | 5 | 15 | 5 | 17 +INPUTS | 7 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> +INPUTMC | 7 | 4 | 4 | 3 | 4 | 3 | 3 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 +EQ | 3 | cnt/RefCnt<7>.T = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<1> & cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; cnt/RefCnt<7>.CLK = CLK_FSB; // GCK - cnt/RefCnt<7>.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 16 | iobm/Er +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 | 1 | 3 +INPUTS | 1 | E_IOB +INPUTP | 1 | 86 +EQ | 2 | + iobm/Er.D = E_IOB; + !iobm/Er.CLK = CLK_IOB; // GCK +GLOBALS | 1 | 2 | CLK_IOB + +MACROCELL | 1 | 2 | iobm/IOREQr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 4 | 13 | 4 | 16 | 4 | 12 +INPUTS | 1 | IOREQ +INPUTMC | 1 | 0 | 6 +EQ | 2 | + iobm/IOREQr.D = IOREQ; + !iobm/IOREQr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 17 | RefAck +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 3 | 12 | 2 | 5 | 5 | 16 +INPUTS | 13 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | nAS_FSB | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> +INPUTMC | 9 | 5 | 16 | 5 | 13 | 5 | 7 | 5 | 3 | 4 | 2 | 3 | 12 | 3 | 4 | 3 | 3 | 3 | 2 +INPUTP | 4 | 127 | 153 | 134 | 112 +EXPORTS | 1 | 5 | 16 +EQ | 11 | + RefAck.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1; + RefAck.CLK = CLK_FSB; // GCK + RefAck.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 @@ -1352,68 +1334,62 @@ EQ | 12 | !fsb/ASrf GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 17 | iobm/Er +MACROCELL | 1 | 6 | iobm/DTACKrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 5 | 0 -INPUTS | 1 | E_IOB -INPUTP | 1 | 77 +OUTPUTMC | 4 | 4 | 15 | 4 | 16 | 4 | 17 | 4 | 0 +INPUTS | 1 | nDTACK_IOB +INPUTP | 1 | 30 EQ | 2 | - iobm/Er.D = E_IOB; - !iobm/Er.CLK = CLK_IOB; // GCK -GLOBALS | 1 | 2 | CLK_IOB + iobm/DTACKrf.D = !nDTACK_IOB; + !iobm/DTACKrf.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 4 | RefAck +MACROCELL | 1 | 5 | iobm/DTACKrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 1 | 9 | 2 | 4 -INPUTS | 2 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 -INPUTMC | 2 | 6 | 12 | 6 | 7 +OUTPUTMC | 4 | 4 | 15 | 4 | 16 | 4 | 17 | 4 | 0 +INPUTS | 1 | nDTACK_IOB +INPUTP | 1 | 30 EQ | 2 | - RefAck.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1; - RefAck.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB + iobm/DTACKrr.D = !nDTACK_IOB; + iobm/DTACKrr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 0 | iobm/Er2 +MACROCELL | 1 | 4 | iobm/ETACK ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 +OUTPUTMC | 4 | 4 | 15 | 4 | 16 | 4 | 17 | 4 | 0 +INPUTS | 6 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> +INPUTMC | 6 | 0 | 10 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 +EQ | 3 | + iobm/ETACK.D = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & iobm/ES<4>; + iobm/ETACK.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 3 | iobm/Er2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 INPUTS | 1 | iobm/Er -INPUTMC | 1 | 7 | 17 +INPUTMC | 1 | 6 | 16 EQ | 2 | iobm/Er2.D = iobm/Er; iobm/Er2.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 4 | 13 | iobm/IOS_FSM_FFd2 +MACROCELL | 6 | 15 | iobm/VPArf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 16 | 1 | 13 -INPUTS | 9 | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr -INPUTMC | 8 | 4 | 15 | 2 | 13 | 4 | 8 | 4 | 6 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 -INPUTP | 1 | 42 -EQ | 8 | - iobm/IOS_FSM_FFd2.D = iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/ETACK - # iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/BERRrf & - iobm/BERRrr - # iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/DTACKrf & - iobm/DTACKrr - # iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/RESrf & - iobm/RESrr; - iobm/IOS_FSM_FFd2.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 1 | iobm/VPArf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 2 | 14 +OUTPUTMC | 1 | 0 | 11 INPUTS | 1 | nVPA_IOB -INPUTP | 1 | 96 +INPUTP | 1 | 37 EQ | 2 | iobm/VPArf.D = !nVPA_IOB; !iobm/VPArf.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 0 | iobm/VPArr +MACROCELL | 6 | 14 | iobm/VPArr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 2 | 14 +OUTPUTMC | 1 | 0 | 11 INPUTS | 1 | nVPA_IOB -INPUTP | 1 | 96 +INPUTP | 1 | 37 EQ | 2 | iobm/VPArr.D = !nVPA_IOB; iobm/VPArr.CLK = CLK2X_IOB; // GCK @@ -1421,46 +1397,44 @@ GLOBALS | 1 | 2 | CLK2X_IOB MACROCELL | 4 | 12 | ALE0M ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 7 | 8 -INPUTS | 7 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/IOS_FSM_FFd8 -INPUTMC | 7 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 1 | 4 | 4 | 10 -EQ | 7 | - !ALE0M.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & - !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & - !iobm/IOREQr - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & - !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & - !iobm/IOS_FSM_FFd8; +OUTPUTMC | 1 | 6 | 7 +INPUTS | 5 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOREQr +INPUTMC | 5 | 4 | 13 | 4 | 15 | 4 | 10 | 4 | 9 | 1 | 2 +EQ | 5 | + !ALE0M.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 & !iobm/IOREQr; ALE0M.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 2 | 7 | ALE0S +MACROCELL | 5 | 2 | ALE0S ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 8 | 2 | 8 -INPUTS | 9 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | nADoutLE1 | cs/nOverlay1 | A_FSB<19> | nWE_FSB -INPUTMC | 4 | 2 | 8 | 2 | 11 | 2 | 10 | 3 | 2 -INPUTP | 5 | 105 | 15 | 109 | 117 | 98 -EXPORTS | 1 | 2 | 8 +OUTPUTMC | 2 | 6 | 7 | 5 | 3 +INPUTS | 10 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<22> | A_FSB<21> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cs/nOverlay1 | nAS_FSB | fsb/ASrf +INPUTMC | 7 | 0 | 8 | 5 | 4 | 5 | 16 | 5 | 13 | 5 | 3 | 5 | 7 | 4 | 2 +INPUTP | 3 | 153 | 134 | 112 +EXPORTS | 1 | 5 | 3 EQ | 10 | ALE0S.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; ALE0S.CLK = CLK_FSB; // GCK - ALE0S.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + ALE0S.EXP = A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !fsb/ASrf GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 12 | IOREQ +MACROCELL | 0 | 6 | IOREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 1 | 4 -INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | nAS_FSB | fsb/ASrf | iobs/PS_FSM_FFd1.EXP | iobm/ETACK.EXP -INPUTMC | 8 | 2 | 8 | 2 | 11 | 2 | 3 | 3 | 9 | 2 | 10 | 2 | 6 | 2 | 11 | 2 | 13 -INPUTP | 3 | 105 | 114 | 21 -IMPORTS | 2 | 2 | 11 | 2 | 13 +OUTPUTMC | 1 | 1 | 2 +INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | nAS_FSB | fsb/ASrf | EXP12_.EXP | nROMCS_OBUF.EXP +INPUTMC | 8 | 0 | 8 | 5 | 4 | 4 | 0 | 7 | 12 | 5 | 14 | 4 | 2 | 0 | 5 | 0 | 7 +INPUTP | 3 | 127 | 22 | 112 +IMPORTS | 2 | 0 | 5 | 0 | 7 EQ | 28 | !IOREQ.D = !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 # iobs/PS_FSM_FFd1 & iobs/IOACTr @@ -1469,7 +1443,7 @@ EQ | 28 | nADoutLE1 # nAS_FSB & !iobs/PS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 -;Imported pterms FB3_12 +;Imported pterms FB1_6 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/PS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & @@ -1480,7 +1454,7 @@ EQ | 28 | !iobs/PS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & A_FSB<21> & nWE_FSB & !iobs/PS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB3_14 +;Imported pterms FB1_8 # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/PS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & @@ -1492,69 +1466,39 @@ EQ | 28 | IOREQ.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 6 | fsb/ASrf +MACROCELL | 4 | 2 | fsb/ASrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 44 | 3 | 2 | 6 | 9 | 3 | 9 | 4 | 14 | 2 | 2 | 6 | 3 | 1 | 15 | 1 | 14 | 1 | 12 | 3 | 15 | 1 | 8 | 6 | 6 | 0 | 6 | 0 | 10 | 0 | 13 | 2 | 15 | 1 | 17 | 6 | 10 | 0 | 1 | 3 | 0 | 6 | 11 | 6 | 7 | 3 | 17 | 2 | 8 | 3 | 5 | 2 | 17 | 2 | 12 | 3 | 13 | 6 | 16 | 0 | 12 | 0 | 15 | 0 | 16 | 2 | 0 | 2 | 1 | 2 | 3 | 3 | 1 | 3 | 12 | 3 | 14 | 3 | 16 | 6 | 5 | 6 | 8 | 6 | 13 | 6 | 15 | 6 | 17 +OUTPUTMC | 41 | 5 | 7 | 5 | 0 | 7 | 12 | 0 | 11 | 0 | 15 | 0 | 13 | 3 | 16 | 3 | 15 | 3 | 13 | 0 | 12 | 3 | 10 | 5 | 9 | 7 | 8 | 5 | 17 | 0 | 2 | 5 | 12 | 2 | 7 | 7 | 4 | 2 | 3 | 5 | 15 | 5 | 13 | 5 | 2 | 4 | 6 | 2 | 9 | 3 | 0 | 0 | 6 | 2 | 16 | 2 | 12 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 17 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 6 | 2 | 11 | 2 | 13 | 2 | 15 | 2 | 17 | 5 | 4 INPUTS | 1 | nAS_FSB -INPUTP | 1 | 21 +INPUTP | 1 | 112 EQ | 2 | fsb/ASrf.D = !nAS_FSB; !fsb/ASrf.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 4 | 8 | iobm/BERRrf +MACROCELL | 1 | 8 | iobm/BERRrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 4 | 17 | 4 | 15 | 4 | 0 | 4 | 13 | 4 | 1 | 4 | 16 +OUTPUTMC | 4 | 4 | 15 | 4 | 16 | 4 | 17 | 4 | 0 INPUTS | 1 | nBERR_IOB -INPUTP | 1 | 28 +INPUTP | 1 | 92 EQ | 2 | iobm/BERRrf.D = !nBERR_IOB; !iobm/BERRrf.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 4 | 6 | iobm/BERRrr +MACROCELL | 1 | 7 | iobm/BERRrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 4 | 17 | 4 | 15 | 4 | 0 | 4 | 13 | 4 | 1 | 4 | 16 +OUTPUTMC | 4 | 4 | 15 | 4 | 16 | 4 | 17 | 4 | 0 INPUTS | 1 | nBERR_IOB -INPUTP | 1 | 28 +INPUTP | 1 | 92 EQ | 2 | iobm/BERRrr.D = !nBERR_IOB; iobm/BERRrr.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 3 | iobm/DTACKrf +MACROCELL | 1 | 1 | iobm/RESrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 4 | 16 | 4 | 15 | 4 | 13 | 4 | 1 | 4 | 17 -INPUTS | 1 | nDTACK_IOB -INPUTP | 1 | 88 -EQ | 2 | - iobm/DTACKrf.D = !nDTACK_IOB; - !iobm/DTACKrf.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 5 | 2 | iobm/DTACKrr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 4 | 16 | 4 | 15 | 4 | 13 | 4 | 1 | 4 | 17 -INPUTS | 1 | nDTACK_IOB -INPUTP | 1 | 88 -EQ | 2 | - iobm/DTACKrr.D = !nDTACK_IOB; - iobm/DTACKrr.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 7 | 16 | iobm/IOS_FSM_FFd1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 4 | 10 -INPUTS | 1 | iobm/IOS_FSM_FFd2 -INPUTMC | 1 | 4 | 13 -EQ | 2 | - iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd2; - iobm/IOS_FSM_FFd1.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 3 | iobm/RESrf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 4 | 16 | 4 | 15 | 4 | 13 | 4 | 1 | 4 | 17 +OUTPUTMC | 4 | 4 | 14 | 4 | 15 | 4 | 17 | 4 | 0 INPUTS | 1 | nRES INPUTP | 1 | 160 EQ | 2 | @@ -1562,9 +1506,9 @@ EQ | 2 | !iobm/RESrf.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 2 | iobm/RESrr +MACROCELL | 1 | 0 | iobm/RESrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 4 | 16 | 4 | 15 | 4 | 13 | 4 | 1 | 4 | 17 +OUTPUTMC | 4 | 4 | 14 | 4 | 15 | 4 | 17 | 4 | 0 INPUTS | 1 | nRES INPUTP | 1 | 160 EQ | 2 | @@ -1572,23 +1516,33 @@ EQ | 2 | iobm/RESrr.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 2 | 5 | iobs/Clear1 +MACROCELL | 5 | 15 | iobs/Clear1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 2 | 10 -INPUTS | 3 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 -INPUTMC | 3 | 2 | 8 | 2 | 11 | 2 | 10 -EQ | 2 | +OUTPUTMC | 2 | 5 | 14 | 5 | 16 +INPUTS | 13 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | fsb/ASrf | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 10 | 0 | 8 | 5 | 4 | 5 | 14 | 5 | 13 | 5 | 3 | 3 | 4 | 4 | 2 | 3 | 3 | 3 | 2 | 5 | 7 +INPUTP | 3 | 112 | 127 | 153 +EXPORTS | 1 | 5 | 16 +EQ | 10 | iobs/Clear1.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1; iobs/Clear1.CLK = CLK_FSB; // GCK + iobs/Clear1.EXP = nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<5> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<6> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<7> & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 3 | 13 | ram/RAMDIS1 +MACROCELL | 2 | 16 | ram/RAMDIS1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 2 | 4 | 5 | 5 | 5 | 8 -INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | EXP30_.EXP | EXP31_.EXP -INPUTMC | 8 | 6 | 12 | 6 | 7 | 6 | 4 | 1 | 9 | 2 | 17 | 2 | 6 | 3 | 12 | 3 | 14 -INPUTP | 2 | 105 | 21 -IMPORTS | 2 | 3 | 12 | 3 | 14 +OUTPUTMC | 3 | 3 | 11 | 3 | 8 | 2 | 5 +INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | EXP24_.EXP | EXP25_.EXP +INPUTMC | 8 | 5 | 16 | 5 | 13 | 5 | 3 | 3 | 12 | 3 | 0 | 4 | 2 | 2 | 15 | 2 | 17 +INPUTP | 2 | 127 | 112 +IMPORTS | 2 | 2 | 15 | 2 | 17 EQ | 38 | ram/RAMDIS1.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 @@ -1598,7 +1552,7 @@ EQ | 38 | !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf -;Imported pterms FB4_13 +;Imported pterms FB3_16 # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & @@ -1609,14 +1563,7 @@ EQ | 38 | !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB4_12 - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -;Imported pterms FB4_15 +;Imported pterms FB3_18 # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & @@ -1626,17 +1573,24 @@ EQ | 38 | # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf; + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf +;Imported pterms FB3_1 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7>; ram/RAMDIS1.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 16 | ram/RAMReady +MACROCELL | 2 | 12 | ram/RAMReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 6 | 6 | 0 | 12 | 0 | 0 | 0 | 15 -INPUTS | 12 | A_FSB<21> | cs/nOverlay1 | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | A_FSB<23> | A_FSB<22> | ram/Once | fsb/ASrf | cnt/RefCnt<6>.EXP | EXP34_.EXP -INPUTMC | 8 | 3 | 2 | 1 | 9 | 6 | 7 | 2 | 17 | 6 | 9 | 2 | 6 | 6 | 15 | 6 | 17 -INPUTP | 4 | 109 | 21 | 105 | 15 -IMPORTS | 2 | 6 | 15 | 6 | 17 +OUTPUTMC | 4 | 5 | 9 | 7 | 16 | 7 | 0 | 7 | 5 +INPUTS | 12 | A_FSB<21> | cs/nOverlay1 | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | A_FSB<23> | A_FSB<22> | ram/Once | fsb/ASrf | EXP22_.EXP | EXP23_.EXP +INPUTMC | 8 | 5 | 7 | 3 | 12 | 5 | 13 | 3 | 0 | 5 | 0 | 4 | 2 | 2 | 11 | 2 | 13 +INPUTP | 4 | 134 | 112 | 127 | 153 +IMPORTS | 2 | 2 | 11 | 2 | 13 EQ | 33 | !ram/RAMReady.D = A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr @@ -1648,7 +1602,7 @@ EQ | 33 | !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd1 -;Imported pterms FB7_16 +;Imported pterms FB3_12 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd1 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & @@ -1659,7 +1613,7 @@ EQ | 33 | !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd1 & fsb/ASrf -;Imported pterms FB7_18 +;Imported pterms FB3_14 # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 @@ -1667,91 +1621,263 @@ EQ | 33 | !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf -;Imported pterms FB7_1 +;Imported pterms FB3_15 # !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7>; ram/RAMReady.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 16 | nAS_IOB_OBUF +MACROCELL | 1 | 13 | nAS_IOB_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 5 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 -INPUTMC | 5 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 -EQ | 3 | - nAS_IOB.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & - !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7; +INPUTS | 4 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 +INPUTMC | 4 | 4 | 13 | 4 | 15 | 4 | 10 | 4 | 9 +EQ | 5 | + nAS_IOB.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1; !nAS_IOB.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 1 | nCAS_OBUF +MACROCELL | 4 | 14 | nCAS_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 1 | ram/RASEL -INPUTMC | 1 | 3 | 0 -EQ | 2 | +OUTPUTMC | 1 | 4 | 15 +INPUTS | 5 | ram/RASEL | iobm/IOS_FSM_FFd4 | CLK_IOB | iobm/RESrf | iobm/RESrr +INPUTMC | 4 | 2 | 3 | 4 | 13 | 1 | 1 | 1 | 0 +INPUTP | 1 | 42 +EXPORTS | 1 | 4 | 15 +EQ | 4 | nCAS.D = !ram/RASEL; !nCAS.CLK = CLK_FSB; // GCK + nCAS_OBUF.EXP = !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/RESrf & + iobm/RESrr GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 14 | nDinLE_OBUF +MACROCELL | 6 | 1 | nDinLE_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 2 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 -INPUTMC | 2 | 4 | 15 | 4 | 5 -EQ | 2 | - !nDinLE.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4; +INPUTS | 3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 +INPUTMC | 3 | 4 | 13 | 4 | 15 | 4 | 10 +EQ | 3 | + nDinLE.D = iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd3; !nDinLE.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 13 | nDoutOE_OBUF +MACROCELL | 1 | 16 | nDoutOE_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 7 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd2 -INPUTMC | 7 | 2 | 2 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 4 | 13 -EQ | 5 | +INPUTS | 4 | IORW0 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 +INPUTMC | 4 | 0 | 15 | 4 | 13 | 4 | 15 | 4 | 9 +EQ | 4 | nDoutOE.D = !IORW0 - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & - !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2; nDoutOE.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB MACROCELL | 1 | 10 | nLDS_IOB_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 7 | IOL0 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 -INPUTMC | 7 | 5 | 15 | 2 | 2 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 -EQ | 6 | +INPUTS | 6 | IOL0 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | IORW0 +INPUTMC | 6 | 5 | 10 | 4 | 13 | 4 | 15 | 4 | 10 | 4 | 9 | 0 | 15 +EQ | 8 | nLDS_IOB.D = !IOL0 - # IORW0 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & - !iobm/IOS_FSM_FFd5 - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & - !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7; + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 + # IORW0 & iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd2 & + !iobm/IOS_FSM_FFd1; !nLDS_IOB.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB MACROCELL | 1 | 11 | nUDS_IOB_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 7 | IOU0 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 -INPUTMC | 7 | 5 | 13 | 2 | 2 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 -EQ | 6 | +INPUTS | 6 | IOU0 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | IORW0 +INPUTMC | 6 | 3 | 17 | 4 | 13 | 4 | 15 | 4 | 10 | 4 | 9 | 0 | 15 +EQ | 8 | nUDS_IOB.D = !IOU0 - # IORW0 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & - !iobm/IOS_FSM_FFd5 - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & - !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7; + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 + # IORW0 & iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd2 & + !iobm/IOS_FSM_FFd1; !nUDS_IOB.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 3 | 1 | RA_0_OBUF +MACROCELL | 2 | 10 | RA_0_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 3 | 0 -INPUTS | 13 | A_FSB<22> | cs/nOverlay1 | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | ram/RS_FSM_FFd3 | cs/nOverlay1.EXP -INPUTMC | 11 | 3 | 2 | 1 | 9 | 6 | 12 | 6 | 7 | 2 | 17 | 2 | 6 | 6 | 0 | 6 | 15 | 6 | 13 | 6 | 4 | 3 | 2 -INPUTP | 2 | 15 | 21 -EXPORTS | 1 | 3 | 0 -IMPORTS | 1 | 3 | 2 -EQ | 15 | - RA<0> = ;Imported pterms FB4_3 - A_FSB<10> & !ram/RASEL +OUTPUTMC | 1 | 2 | 9 +INPUTS | 9 | A_FSB<10> | ram/RASEL | A_FSB<1> | A_FSB<23> | A_FSB<21> | A_FSB<18> | A_FSB<17> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 2 | 2 | 3 | 5 | 7 +INPUTP | 7 | 143 | 116 | 127 | 134 | 147 | 136 | 153 +EXPORTS | 1 | 2 | 9 +EQ | 6 | + RA<0> = A_FSB<10> & !ram/RASEL # ram/RASEL & A_FSB<1>; - RA_0_OBUF.EXP = A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + RA_0_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 + +MACROCELL | 3 | 1 | RA_1_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<11> | ram/RASEL | A_FSB<2> +INPUTMC | 1 | 2 | 3 +INPUTP | 2 | 105 | 90 +EQ | 2 | + RA<1> = A_FSB<11> & !ram/RASEL + # ram/RASEL & A_FSB<2>; + +MACROCELL | 4 | 1 | RA_2_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<12> | ram/RASEL | A_FSB<3> +INPUTMC | 1 | 2 | 3 +INPUTP | 2 | 104 | 107 +EQ | 2 | + RA<2> = A_FSB<12> & !ram/RASEL + # ram/RASEL & A_FSB<3>; + +MACROCELL | 4 | 5 | RA_3_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<13> | ram/RASEL | A_FSB<4> +INPUTMC | 1 | 2 | 3 +INPUTP | 2 | 19 | 96 +EQ | 2 | + RA<3> = A_FSB<13> & !ram/RASEL + # ram/RASEL & A_FSB<4>; + +MACROCELL | 4 | 8 | RA_4_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<14> | ram/RASEL | A_FSB<5> +INPUTMC | 1 | 2 | 3 +INPUTP | 2 | 102 | 24 +EQ | 2 | + RA<4> = A_FSB<14> & !ram/RASEL + # ram/RASEL & A_FSB<5>; + +MACROCELL | 5 | 1 | RA_5_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<15> | ram/RASEL | A_FSB<6> +INPUTMC | 1 | 2 | 3 +INPUTP | 2 | 140 | 157 +EQ | 2 | + RA<5> = A_FSB<15> & !ram/RASEL + # ram/RASEL & A_FSB<6>; + +MACROCELL | 5 | 5 | RA_6_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<16> | ram/RASEL | A_FSB<7> +INPUTMC | 1 | 2 | 3 +INPUTP | 2 | 145 | 123 +EQ | 2 | + RA<6> = A_FSB<16> & !ram/RASEL + # ram/RASEL & A_FSB<7>; + +MACROCELL | 5 | 8 | RA_7_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<17> | ram/RASEL | A_FSB<8> +INPUTMC | 1 | 2 | 3 +INPUTP | 2 | 136 | 117 +EQ | 2 | + RA<7> = A_FSB<8> & ram/RASEL + # A_FSB<17> & !ram/RASEL; + +MACROCELL | 5 | 11 | RA_8_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<18> | ram/RASEL | A_FSB<9> +INPUTMC | 1 | 2 | 3 +INPUTP | 2 | 147 | 114 +EQ | 2 | + RA<8> = A_FSB<9> & ram/RASEL + # A_FSB<18> & !ram/RASEL; + +MACROCELL | 4 | 11 | RA_9_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<19> | ram/RASEL | A_FSB<20> +INPUTMC | 1 | 2 | 3 +INPUTP | 2 | 98 | 22 +EQ | 2 | + RA<9> = A_FSB<20> & ram/RASEL + # A_FSB<19> & !ram/RASEL; + +MACROCELL | 0 | 1 | nBERR_FSB_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 0 | 2 +INPUTS | 13 | nAS_FSB | BERR_IOBS | TimeoutB | fsb/BERR0r | fsb/BERR1r | A_FSB<23> | A_FSB<21> | A_FSB<18> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 | EXP10_.EXP +INPUTMC | 6 | 0 | 11 | 3 | 15 | 0 | 12 | 3 | 10 | 5 | 7 | 0 | 0 +INPUTP | 7 | 112 | 127 | 134 | 147 | 102 | 19 | 153 +EXPORTS | 1 | 0 | 2 +IMPORTS | 1 | 0 | 0 +EQ | 9 | + nBERR_FSB = nAS_FSB + # !BERR_IOBS & !TimeoutB & !fsb/BERR0r & !fsb/BERR1r +;Imported pterms FB1_1 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & + !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r; + nBERR_FSB_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 + +MACROCELL | 3 | 5 | nOE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | nWE_FSB | nAS_FSB +INPUTP | 2 | 97 | 112 +EQ | 1 | + !nOE = nWE_FSB & !nAS_FSB; + +MACROCELL | 3 | 14 | nROMWE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | nWE_FSB | nAS_FSB +INPUTP | 2 | 97 | 112 +EQ | 1 | + !nROMWE = !nWE_FSB & !nAS_FSB; + +MACROCELL | 6 | 11 | nVPA_FSB_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | fsb/VPA | nAS_FSB +INPUTMC | 1 | 7 | 17 +INPUTP | 1 | 112 +EQ | 1 | + !nVPA_FSB = fsb/VPA & !nAS_FSB; + +MACROCELL | 6 | 7 | nADoutLE0_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | ALE0M | ALE0S +INPUTMC | 2 | 4 | 12 | 5 | 2 +EQ | 1 | + nADoutLE0 = !ALE0M & !ALE0S; + +MACROCELL | 0 | 4 | nDinOE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 0 | 3 +INPUTS | 9 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<17> | A_FSB<16> +INPUTP | 9 | 127 | 97 | 112 | 153 | 134 | 22 | 98 | 136 | 145 +EXPORTS | 1 | 0 | 3 +EQ | 6 | + nDinOE = A_FSB<23> & nWE_FSB & !nAS_FSB + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & + !nAS_FSB; + nDinOE_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> + +MACROCELL | 2 | 4 | nRAS_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 2 | 3 +INPUTS | 13 | A_FSB<22> | cs/nOverlay1 | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | ram/RS_FSM_FFd3 | EXP19_.EXP +INPUTMC | 11 | 5 | 7 | 3 | 12 | 5 | 16 | 5 | 13 | 3 | 0 | 4 | 2 | 3 | 4 | 3 | 3 | 3 | 2 | 5 | 3 | 2 | 5 +INPUTP | 2 | 153 | 112 +EXPORTS | 1 | 2 | 3 +IMPORTS | 1 | 2 | 5 +EQ | 18 | + !nRAS = ;Imported pterms FB3_6 + RefAck + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1; + nRAS_OBUF.EXP = A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr @@ -1764,770 +1890,187 @@ EQ | 15 | ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf -MACROCELL | 4 | 1 | RA_1_OBUF +MACROCELL | 2 | 14 | A_FSB_19_IBUF$BUF0 ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 0 -INPUTS | 15 | A_FSB<11> | ram/RASEL | A_FSB<2> | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | CLK_IOB | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd8 | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr -INPUTMC | 12 | 3 | 0 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 4 | 10 | 4 | 8 | 4 | 6 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 -INPUTP | 3 | 140 | 24 | 42 -EXPORTS | 1 | 4 | 0 -EQ | 11 | - RA<1> = A_FSB<11> & !ram/RASEL - # ram/RASEL & A_FSB<2>; - RA_1_OBUF.EXP = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & - iobm/BERRrf & iobm/BERRrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & - iobm/DTACKrf & iobm/DTACKrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & - iobm/RESrf & iobm/RESrr - -MACROCELL | 3 | 7 | RA_2_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 3 | 8 -INPUTS | 10 | A_FSB<12> | ram/RASEL | A_FSB<3> | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> | iobs/Once | A_FSB<22> | cs/nOverlay1 -INPUTMC | 3 | 3 | 0 | 3 | 9 | 3 | 2 -INPUTP | 7 | 146 | 127 | 147 | 136 | 105 | 109 | 15 -EXPORTS | 1 | 3 | 8 -EQ | 6 | - RA<2> = A_FSB<12> & !ram/RASEL - # ram/RASEL & A_FSB<3>; - RA_2_OBUF.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/Once - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/Once - -MACROCELL | 4 | 7 | RA_3_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<13> | ram/RASEL | A_FSB<4> -INPUTMC | 1 | 3 | 0 -INPUTP | 2 | 136 | 92 -EQ | 2 | - RA<3> = A_FSB<13> & !ram/RASEL - # ram/RASEL & A_FSB<4>; - -MACROCELL | 3 | 11 | RA_4_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 3 | 12 -INPUTS | 11 | A_FSB<14> | ram/RASEL | A_FSB<5> | A_FSB<22> | A_FSB<21> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | cs/nOverlay1 -INPUTMC | 7 | 3 | 0 | 1 | 9 | 6 | 7 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 2 -INPUTP | 4 | 147 | 23 | 15 | 109 -EXPORTS | 1 | 3 | 12 -EQ | 8 | - RA<4> = A_FSB<14> & !ram/RASEL - # ram/RASEL & A_FSB<5>; - RA_4_OBUF.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - -MACROCELL | 6 | 1 | RA_5_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<15> | ram/RASEL | A_FSB<6> -INPUTMC | 1 | 3 | 0 -INPUTP | 2 | 134 | 29 -EQ | 2 | - RA<5> = A_FSB<15> & !ram/RASEL - # ram/RASEL & A_FSB<6>; - -MACROCELL | 6 | 5 | RA_6_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 4 -INPUTS | 14 | A_FSB<16> | ram/RASEL | A_FSB<7> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefDone | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf -INPUTMC | 10 | 3 | 0 | 3 | 2 | 6 | 12 | 6 | 7 | 6 | 4 | 1 | 9 | 6 | 0 | 6 | 15 | 6 | 13 | 2 | 6 -INPUTP | 4 | 153 | 30 | 15 | 21 -EXPORTS | 1 | 6 | 4 -EQ | 10 | - RA<6> = A_FSB<16> & !ram/RASEL - # ram/RASEL & A_FSB<7>; - RA_6_OBUF.EXP = !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - fsb/ASrf - -MACROCELL | 6 | 8 | RA_7_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 9 -INPUTS | 13 | A_FSB<17> | ram/RASEL | A_FSB<8> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | nAS_FSB -INPUTMC | 7 | 3 | 0 | 3 | 2 | 6 | 9 | 6 | 12 | 6 | 7 | 6 | 4 | 2 | 6 -INPUTP | 6 | 155 | 103 | 105 | 15 | 109 | 21 -EXPORTS | 1 | 6 | 9 -EQ | 10 | - RA<7> = A_FSB<8> & ram/RASEL - # A_FSB<17> & !ram/RASEL; - RA_7_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & fsb/ASrf - -MACROCELL | 6 | 11 | RA_8_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 12 -INPUTS | 13 | A_FSB<18> | ram/RASEL | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | fsb/ASrf | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RAMDIS2.EXP -INPUTMC | 9 | 3 | 0 | 6 | 7 | 6 | 4 | 6 | 0 | 2 | 6 | 6 | 15 | 6 | 13 | 3 | 2 | 6 | 10 -INPUTP | 4 | 123 | 21 | 105 | 15 -EXPORTS | 1 | 6 | 12 -IMPORTS | 1 | 6 | 10 -EQ | 11 | - RA<8> = A_FSB<18> & !ram/RASEL -;Imported pterms FB7_11 - # A_FSB<9> & ram/RASEL; - RA_8_OBUF.EXP = nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<5> & !fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<6> & !fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<7> & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - -MACROCELL | 6 | 14 | RA_9_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 15 -INPUTS | 9 | A_FSB<19> | ram/RASEL | A_FSB<20> | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> -INPUTMC | 7 | 3 | 0 | 4 | 9 | 6 | 0 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 -INPUTP | 2 | 117 | 114 -EXPORTS | 1 | 6 | 15 -EQ | 4 | - RA<9> = A_FSB<20> & ram/RASEL - # A_FSB<19> & !ram/RASEL; - RA_9_OBUF.EXP = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<1> & - cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4> - -MACROCELL | 0 | 5 | nBERR_FSB_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 0 | 6 -INPUTS | 20 | nAS_FSB | BERR_IOBS | TimeoutB | fsb/BERR0r | fsb/BERR1r | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nADoutLE1 | A_FSB<13> -INPUTMC | 8 | 4 | 14 | 1 | 14 | 3 | 15 | 1 | 8 | 3 | 2 | 0 | 6 | 1 | 17 | 2 | 10 -INPUTP | 12 | 21 | 105 | 15 | 109 | 114 | 147 | 117 | 123 | 155 | 153 | 98 | 136 -EXPORTS | 1 | 0 | 6 -EQ | 12 | - nBERR_FSB = nAS_FSB - # !BERR_IOBS & !TimeoutB & !fsb/BERR0r & !fsb/BERR1r - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & - !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r; - nBERR_FSB_OBUF.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - !nADoutLE1 - -MACROCELL | 0 | 10 | nOE_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 0 | 11 -INPUTS | 21 | nWE_FSB | nAS_FSB | fsb/ASrf | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | TimeoutA | fsb/Ready2r -INPUTMC | 4 | 2 | 6 | 3 | 2 | 1 | 15 | 0 | 11 -INPUTP | 17 | 98 | 21 | 103 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 -EXPORTS | 1 | 0 | 11 -EQ | 14 | - !nOE = nWE_FSB & !nAS_FSB; - nOE_OBUF.EXP = nAS_FSB & !fsb/ASrf - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - -MACROCELL | 5 | 11 | nROMWE_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | nWE_FSB | nAS_FSB -INPUTP | 2 | 98 | 21 -EQ | 1 | - !nROMWE = !nWE_FSB & !nAS_FSB; - -MACROCELL | 5 | 14 | nVPA_FSB_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | fsb/VPA | nAS_FSB -INPUTMC | 1 | 0 | 14 -INPUTP | 1 | 21 -EQ | 1 | - !nVPA_FSB = fsb/VPA & !nAS_FSB; - -MACROCELL | 7 | 8 | nADoutLE0_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | ALE0M | ALE0S -INPUTMC | 2 | 4 | 12 | 2 | 7 -EQ | 1 | - nADoutLE0 = !ALE0M & !ALE0S; - -MACROCELL | 3 | 16 | nDinOE_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 3 | 17 -INPUTS | 14 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/BERR0r.EXP -INPUTMC | 10 | 3 | 2 | 6 | 9 | 6 | 12 | 6 | 7 | 2 | 6 | 1 | 9 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 15 -INPUTP | 4 | 105 | 15 | 109 | 21 -EXPORTS | 1 | 3 | 17 -IMPORTS | 1 | 3 | 15 -EQ | 19 | - nDinOE = ;Imported pterms FB4_16 - A_FSB<23> & nWE_FSB & !nAS_FSB - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & - !nAS_FSB; - nDinOE_OBUF.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - -MACROCELL | 2 | 4 | nRAS_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 8 | RefAck | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | A_FSB<21> -INPUTMC | 4 | 5 | 4 | 3 | 2 | 6 | 10 | 3 | 13 -INPUTP | 4 | 105 | 15 | 21 | 109 -EQ | 5 | - !nRAS = RefAck - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1; - -MACROCELL | 7 | 1 | A_FSB_19_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<19> -INPUTP | 1 | 117 -EQ | 1 | +OUTPUTMC | 1 | 2 | 13 +INPUTS | 5 | A_FSB<19> | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> +INPUTMC | 4 | 3 | 12 | 3 | 4 | 3 | 3 | 3 | 2 +INPUTP | 1 | 98 +EXPORTS | 1 | 2 | 13 +EQ | 3 | RA<11> = A_FSB<19>; + A_FSB_19_IBUF$BUF0.EXP = !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> -MACROCELL | 7 | 5 | A_FSB_21_IBUF$BUF0 +MACROCELL | 0 | 13 | A_FSB_21_IBUF$BUF0 ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<21> -INPUTP | 1 | 109 -EQ | 1 | - RA<10> = A_FSB<21>; - -MACROCELL | 2 | 10 | nADoutLE1_OBUF -ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 22 | 3 | 8 | 4 | 14 | 2 | 2 | 5 | 15 | 5 | 13 | 0 | 5 | 2 | 16 | 1 | 17 | 0 | 2 | 2 | 8 | 3 | 5 | 2 | 12 | 2 | 5 | 2 | 10 | 0 | 4 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 13 -INPUTS | 6 | iobs/Clear1 | nADoutLE1 | iobs/Load1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr -INPUTMC | 6 | 2 | 5 | 2 | 10 | 3 | 5 | 2 | 8 | 2 | 11 | 2 | 3 -EXPORTS | 1 | 2 | 11 +OUTPUTMC | 1 | 0 | 14 +INPUTS | 7 | A_FSB<21> | A_FSB<23> | A_FSB<22> | A_FSB<20> | cs/nOverlay0 | nAS_FSB | fsb/ASrf +INPUTMC | 2 | 0 | 14 | 4 | 2 +INPUTP | 5 | 134 | 127 | 153 | 22 | 112 +EXPORTS | 1 | 0 | 14 EQ | 5 | + RA<10> = A_FSB<21>; + A_FSB_21_IBUF$BUF0.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay0 & !nAS_FSB + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay0 & fsb/ASrf + +MACROCELL | 5 | 14 | nADoutLE1_OBUF +ATTRIBUTES | 8815366 | 0 +OUTPUTMC | 25 | 7 | 11 | 0 | 11 | 0 | 15 | 5 | 10 | 3 | 17 | 7 | 5 | 7 | 10 | 0 | 3 | 5 | 12 | 7 | 2 | 0 | 8 | 2 | 9 | 0 | 6 | 5 | 15 | 5 | 14 | 0 | 5 | 0 | 7 | 0 | 9 | 0 | 10 | 0 | 12 | 0 | 14 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 9 +INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 +INPUTMC | 3 | 5 | 15 | 5 | 14 | 2 | 9 +EQ | 3 | !nADoutLE1.D = iobs/Load1 # !iobs/Clear1 & !nADoutLE1; nADoutLE1.CLK = CLK_FSB; // GCK - nADoutLE1_OBUF.EXP = iobs/PS_FSM_FFd2 - # iobs/PS_FSM_FFd1 & iobs/IOACTr GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 5 | 5 | nRAMLWE_OBUF +MACROCELL | 3 | 8 | nRAMLWE_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 5 | nWE_FSB | nLDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 -INPUTMC | 2 | 6 | 10 | 3 | 13 -INPUTP | 3 | 98 | 37 | 21 +INPUTMC | 2 | 2 | 7 | 2 | 16 +INPUTP | 3 | 97 | 15 | 112 EQ | 2 | !nRAMLWE = !nWE_FSB & !nLDS_FSB & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1; -MACROCELL | 5 | 8 | nRAMUWE_OBUF +MACROCELL | 3 | 11 | nRAMUWE_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 5 | nWE_FSB | nUDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 -INPUTMC | 2 | 6 | 10 | 3 | 13 -INPUTP | 3 | 98 | 82 | 21 +INPUTMC | 2 | 2 | 7 | 2 | 16 +INPUTP | 3 | 97 | 28 | 112 EQ | 2 | !nRAMUWE = !nWE_FSB & !nUDS_FSB & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1; -MACROCELL | 4 | 11 | nROMCS_OBUF +MACROCELL | 0 | 7 | nROMCS_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 5 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay1 -INPUTMC | 1 | 3 | 2 -INPUTP | 4 | 105 | 15 | 109 | 114 -EQ | 3 | +OUTPUTMC | 1 | 0 | 6 +INPUTS | 12 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<18> | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<17> | A_FSB<14> | A_FSB<13> | cs/nOverlay1 | iobs/PS_FSM_FFd2.EXP +INPUTMC | 4 | 0 | 8 | 5 | 14 | 5 | 7 | 0 | 8 +INPUTP | 8 | 127 | 153 | 134 | 22 | 147 | 136 | 102 | 19 +EXPORTS | 1 | 0 | 6 +IMPORTS | 1 | 0 | 8 +EQ | 12 | !nROMCS = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> +;Imported pterms FB1_9 # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay1; - -MACROCELL | 7 | 11 | nAoutOE_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 0 -EQ | 1 | - nAoutOE = Gnd; - -MACROCELL | 0 | 9 | fsb/VPA__or00001/fsb/VPA__or00001_D2 -ATTRIBUTES | 133888 | 0 -OUTPUTMC | 4 | 0 | 14 | 0 | 12 | 0 | 13 | 0 | 16 -INPUTS | 21 | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | A_FSB<9> | EXP17_.EXP -INPUTMC | 4 | 3 | 2 | 1 | 15 | 0 | 11 | 0 | 8 -INPUTP | 17 | 103 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 19 -IMPORTS | 1 | 0 | 8 -EQ | 33 | - fsb/VPA__or00001/fsb/VPA__or00001_D2 = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r -;Imported pterms FB1_9 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r; - -MACROCELL | 0 | 16 | $OpTx$FX_DC$602 -ATTRIBUTES | 133888 | 0 -OUTPUTMC | 7 | 0 | 14 | 0 | 1 | 0 | 3 | 0 | 12 | 0 | 13 | 0 | 16 | 0 | 15 -INPUTS | 25 | TimeoutB | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r | iobs/IOReady | fsb/ASrf | fsb/VPA__or00001/fsb/VPA__or00001_D2 | $OpTx$FX_DC$602 | $OpTx$FX_DC$606 -INPUTMC | 9 | 1 | 14 | 4 | 14 | 3 | 15 | 1 | 8 | 1 | 17 | 2 | 6 | 0 | 9 | 0 | 16 | 0 | 3 -INPUTP | 16 | 105 | 15 | 109 | 114 | 19 | 103 | 134 | 147 | 136 | 146 | 140 | 143 | 117 | 123 | 155 | 153 -EXPORTS | 1 | 0 | 15 -EQ | 14 | - $OpTx$FX_DC$602 = !TimeoutB - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20>; - $OpTx$FX_DC$602.EXP = A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 - -MACROCELL | 0 | 3 | $OpTx$FX_DC$606 -ATTRIBUTES | 133888 | 0 -OUTPUTMC | 4 | 0 | 12 | 0 | 15 | 0 | 16 | 0 | 2 -INPUTS | 28 | A_FSB<9> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<13> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | A_FSB<15> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | TimeoutA | fsb/Ready2r | nDTACK_FSB | A_FSB<8> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | $OpTx$FX_DC$602 | iobs/IOReady | EXP16_.EXP -INPUTMC | 11 | 3 | 2 | 1 | 15 | 0 | 11 | 0 | 1 | 4 | 14 | 3 | 15 | 1 | 8 | 0 | 6 | 0 | 16 | 1 | 17 | 0 | 4 -INPUTP | 17 | 19 | 15 | 109 | 114 | 136 | 117 | 123 | 155 | 153 | 98 | 134 | 147 | 146 | 140 | 143 | 105 | 103 -EXPORTS | 1 | 0 | 2 -IMPORTS | 1 | 0 | 4 -EQ | 27 | - $OpTx$FX_DC$606 = A_FSB<22> & !A_FSB<21> & A_FSB<20> - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB -;Imported pterms FB1_5 - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !nADoutLE1; - $OpTx$FX_DC$606.EXP = A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & $OpTx$FX_DC$602 - -MACROCELL | 0 | 0 | EXP14_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 1 -INPUTS | 17 | A_FSB<23> | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<22> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | EXP21_.EXP -INPUTMC | 7 | 0 | 6 | 1 | 17 | 0 | 1 | 3 | 2 | 6 | 6 | 6 | 16 | 0 | 17 -INPUTP | 10 | 105 | 15 | 109 | 114 | 147 | 117 | 123 | 155 | 153 | 98 -EXPORTS | 1 | 0 | 1 -IMPORTS | 1 | 0 | 17 -EQ | 37 | - EXP14_.EXP = A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - !iobs/IOReady & nDTACK_FSB + nROMCS_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB -;Imported pterms FB1_18 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1 -MACROCELL | 0 | 2 | EXP15_ +MACROCELL | 7 | 10 | nAoutOE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 7 | 9 +INPUTS | 13 | A_FSB<13> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nADoutLE1 +INPUTMC | 4 | 5 | 7 | 7 | 8 | 5 | 12 | 5 | 14 +INPUTP | 9 | 19 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 +EXPORTS | 1 | 7 | 9 +EQ | 5 | + nAoutOE = Gnd; + nAoutOE_OBUF.EXP = A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1 + +MACROCELL | 4 | 6 | $OpTx$$OpTx$FX_DC$355_INV$439 +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 5 | 7 | 17 | 7 | 0 | 7 | 1 | 7 | 15 | 7 | 16 +INPUTS | 2 | nAS_FSB | fsb/ASrf +INPUTMC | 1 | 4 | 2 +INPUTP | 1 | 112 +EQ | 1 | + $OpTx$$OpTx$FX_DC$355_INV$439 = nAS_FSB & !fsb/ASrf; + +MACROCELL | 6 | 17 | $OpTx$FX_DC$360 +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 2 | 7 | 2 | 7 | 6 +INPUTS | 2 | A_FSB<22> | cs/nOverlay1 +INPUTMC | 1 | 5 | 7 +INPUTP | 1 | 153 +EQ | 2 | + !$OpTx$FX_DC$360 = A_FSB<22> + $ cs/nOverlay1; + +MACROCELL | 0 | 0 | EXP10_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 0 | 1 -INPUTS | 25 | A_FSB<13> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<14> | A_FSB<21> | nADoutLE1 | A_FSB<9> | A_FSB<15> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | TimeoutA | fsb/Ready2r | A_FSB<8> | $OpTx$FX_DC$606.EXP -INPUTMC | 8 | 3 | 2 | 0 | 6 | 1 | 17 | 0 | 1 | 2 | 10 | 1 | 15 | 0 | 11 | 0 | 3 -INPUTP | 17 | 136 | 15 | 114 | 117 | 123 | 155 | 153 | 98 | 147 | 109 | 19 | 134 | 146 | 140 | 143 | 105 | 103 +INPUTS | 7 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r +INPUTMC | 3 | 0 | 11 | 0 | 12 | 3 | 10 +INPUTP | 4 | 127 | 153 | 134 | 22 EXPORTS | 1 | 0 | 1 -IMPORTS | 1 | 0 | 3 -EQ | 37 | - EXP15_.EXP = A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB & !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB & !nADoutLE1 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB -;Imported pterms FB1_4 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & $OpTx$FX_DC$602 +EQ | 2 | + EXP10_.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & + !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r -MACROCELL | 0 | 4 | EXP16_ +MACROCELL | 0 | 2 | EXP11_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 0 | 3 -INPUTS | 12 | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | A_FSB<21> | nADoutLE1 | A_FSB<13> -INPUTMC | 2 | 3 | 2 | 2 | 10 -INPUTP | 10 | 147 | 15 | 114 | 117 | 123 | 155 | 153 | 98 | 109 | 136 +INPUTS | 11 | nWE_FSB | iobs/IORW1 | nAS_FSB | fsb/ASrf | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | nBERR_FSB_OBUF.EXP +INPUTMC | 6 | 0 | 3 | 4 | 2 | 0 | 8 | 5 | 4 | 5 | 7 | 0 | 1 +INPUTP | 5 | 97 | 112 | 127 | 153 | 134 EXPORTS | 1 | 0 | 3 -EQ | 8 | - EXP16_.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !nADoutLE1 - -MACROCELL | 0 | 8 | EXP17_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 9 -INPUTS | 20 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | A_FSB<8> -INPUTMC | 3 | 3 | 2 | 1 | 15 | 0 | 11 -INPUTP | 17 | 19 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 103 -EXPORTS | 1 | 0 | 9 -EQ | 12 | - EXP17_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - -MACROCELL | 0 | 12 | EXP18_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 13 -INPUTS | 30 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | fsb/VPA | fsb/ASrf | ram/RAMReady | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | nAS_FSB | fsb/VPA__or00001/fsb/VPA__or00001_D2 | $OpTx$FX_DC$602 | iobs/IOReady | $OpTx$FX_DC$606 -INPUTMC | 13 | 3 | 2 | 6 | 6 | 0 | 14 | 2 | 6 | 6 | 16 | 4 | 14 | 3 | 15 | 1 | 8 | 0 | 6 | 0 | 9 | 0 | 16 | 1 | 17 | 0 | 3 -INPUTP | 17 | 105 | 15 | 109 | 19 | 103 | 134 | 147 | 136 | 146 | 140 | 143 | 114 | 117 | 123 | 155 | 153 | 21 -EXPORTS | 1 | 0 | 13 -EQ | 26 | - EXP18_.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 - -MACROCELL | 0 | 13 | EXP19_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 14 -INPUTS | 8 | BERR_IOBS | fsb/VPA | fsb/ASrf | fsb/BERR0r | fsb/BERR1r | fsb/VPA__or00001/fsb/VPA__or00001_D2 | $OpTx$FX_DC$602 | EXP18_.EXP -INPUTMC | 8 | 4 | 14 | 0 | 14 | 2 | 6 | 3 | 15 | 1 | 8 | 0 | 9 | 0 | 16 | 0 | 12 -EXPORTS | 1 | 0 | 14 -IMPORTS | 1 | 0 | 12 -EQ | 33 | - EXP19_.EXP = BERR_IOBS & fsb/VPA & fsb/ASrf - # fsb/BERR0r & fsb/VPA & fsb/ASrf - # fsb/BERR1r & fsb/VPA & fsb/ASrf - # fsb/VPA & fsb/ASrf & - fsb/VPA__or00001/fsb/VPA__or00001_D2 - # fsb/VPA & fsb/ASrf & !$OpTx$FX_DC$602 -;Imported pterms FB1_13 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 - -MACROCELL | 0 | 15 | EXP20_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 14 -INPUTS | 13 | fsb/Ready1r | fsb/VPA | iobs/IOReady | nAS_FSB | $OpTx$FX_DC$606 | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<21> | $OpTx$FX_DC$602.EXP -INPUTMC | 9 | 0 | 6 | 0 | 14 | 1 | 17 | 0 | 3 | 2 | 6 | 3 | 2 | 6 | 6 | 6 | 16 | 0 | 16 -INPUTP | 4 | 21 | 105 | 15 | 109 -EXPORTS | 1 | 0 | 14 -IMPORTS | 1 | 0 | 16 -EQ | 23 | - EXP20_.EXP = !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & - $OpTx$FX_DC$606 - # !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & - $OpTx$FX_DC$606 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady -;Imported pterms FB1_17 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 - -MACROCELL | 0 | 17 | EXP21_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 0 -INPUTS | 21 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | nDTACK_FSB | A_FSB<8> -INPUTMC | 4 | 3 | 2 | 1 | 15 | 0 | 11 | 0 | 1 -INPUTP | 17 | 19 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 103 -EXPORTS | 1 | 0 | 0 -EQ | 25 | - EXP21_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - -MACROCELL | 2 | 0 | EXP22_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 1 -INPUTS | 18 | A_FSB<14> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/Once | IORW0 | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | A_FSB<13> -INPUTMC | 7 | 3 | 2 | 3 | 9 | 2 | 2 | 2 | 8 | 2 | 11 | 2 | 10 | 2 | 6 -INPUTP | 11 | 147 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 21 | 136 -EXPORTS | 1 | 2 | 1 -EQ | 16 | - EXP22_.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - -MACROCELL | 2 | 1 | EXP23_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 2 -INPUTS | 13 | A_FSB<23> | iobs/Once | IORW0 | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<22> | A_FSB<21> | A_FSB<20> | nAS_FSB | EXP22_.EXP -INPUTMC | 7 | 3 | 9 | 2 | 2 | 2 | 8 | 2 | 11 | 2 | 6 | 2 | 10 | 2 | 0 -INPUTP | 6 | 105 | 98 | 15 | 109 | 114 | 21 -EXPORTS | 1 | 2 | 2 -IMPORTS | 1 | 2 | 0 -EQ | 31 | - EXP23_.EXP = A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & - IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & - IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & - !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & - !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 -;Imported pterms FB3_1 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - -MACROCELL | 2 | 9 | EXP24_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 8 -INPUTS | 12 | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 -INPUTMC | 4 | 2 | 8 | 2 | 11 | 2 | 10 | 3 | 2 -INPUTP | 8 | 105 | 109 | 123 | 155 | 153 | 147 | 136 | 15 -EXPORTS | 1 | 2 | 8 +IMPORTS | 1 | 0 | 1 EQ | 10 | - EXP24_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + EXP11_.EXP = !nWE_FSB & !iobs/IORW1 + # nAS_FSB & !fsb/ASrf + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 +;Imported pterms FB1_2 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 + +MACROCELL | 0 | 5 | EXP12_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 6 +INPUTS | 9 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/PS_FSM_FFd2 | nADoutLE1 | cs/nOverlay1 | A_FSB<19> | A_FSB<16> | nWE_FSB +INPUTMC | 3 | 0 | 8 | 5 | 14 | 5 | 7 +INPUTP | 6 | 127 | 153 | 134 | 98 | 145 | 97 +EXPORTS | 1 | 0 | 6 +EQ | 10 | + EXP12_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & nADoutLE1 + +MACROCELL | 0 | 9 | EXP13_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 8 +INPUTS | 12 | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB<19> | nWE_FSB | nVMA_IOB_OBUF.EXP +INPUTMC | 6 | 0 | 8 | 5 | 4 | 4 | 2 | 5 | 14 | 5 | 7 | 0 | 10 +INPUTP | 6 | 112 | 127 | 153 | 134 | 98 | 97 +EXPORTS | 1 | 0 | 8 +IMPORTS | 1 | 0 | 10 +EQ | 21 | + EXP13_.EXP = nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + !fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB1_11 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 @@ -2538,144 +2081,119 @@ EQ | 10 | # !A_FSB<23> & A_FSB<22> & A_FSB<21> & cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -MACROCELL | 2 | 15 | EXP25_ +MACROCELL | 0 | 16 | EXP14_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 16 -INPUTS | 11 | nWE_FSB | iobs/IORW1 | nAS_FSB | fsb/ASrf | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | nVMA_IOB_OBUF.EXP -INPUTMC | 6 | 2 | 16 | 2 | 6 | 2 | 8 | 2 | 11 | 3 | 2 | 2 | 14 -INPUTP | 5 | 98 | 21 | 105 | 15 | 109 -EXPORTS | 1 | 2 | 16 -IMPORTS | 1 | 2 | 14 -EQ | 9 | - EXP25_.EXP = !nWE_FSB & !iobs/IORW1 - # nAS_FSB & !fsb/ASrf - # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 -;Imported pterms FB3_15 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 +OUTPUTMC | 1 | 0 | 15 +INPUTS | 19 | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/Once | IORW0 | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | A_FSB<13> | A_FSB<21> | EXP15_.EXP +INPUTMC | 8 | 5 | 7 | 7 | 12 | 0 | 15 | 0 | 8 | 5 | 4 | 5 | 14 | 4 | 2 | 0 | 17 +INPUTP | 11 | 102 | 153 | 22 | 98 | 147 | 136 | 145 | 97 | 112 | 19 | 134 +EXPORTS | 1 | 0 | 15 +IMPORTS | 1 | 0 | 17 +EQ | 33 | + EXP14_.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB1_18 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 -MACROCELL | 3 | 4 | EXP26_ +MACROCELL | 0 | 17 | EXP15_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 5 -INPUTS | 6 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB<19> | nWE_FSB -INPUTMC | 1 | 3 | 2 -INPUTP | 5 | 105 | 15 | 109 | 117 | 98 -EXPORTS | 1 | 3 | 5 -EQ | 4 | - EXP26_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> - # !A_FSB<23> & A_FSB<21> & nWE_FSB +OUTPUTMC | 1 | 0 | 16 +INPUTS | 18 | A_FSB<14> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/Once | IORW0 | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<13> | nAS_FSB +INPUTMC | 7 | 5 | 7 | 7 | 12 | 0 | 15 | 0 | 8 | 5 | 4 | 4 | 2 | 5 | 14 +INPUTP | 11 | 102 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 | 19 | 112 +EXPORTS | 1 | 0 | 16 +EQ | 12 | + EXP15_.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 -MACROCELL | 3 | 6 | EXP27_ +MACROCELL | 2 | 0 | EXP16_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 5 -INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 -INPUTMC | 1 | 3 | 2 -INPUTP | 8 | 105 | 109 | 123 | 155 | 153 | 147 | 136 | 15 -EXPORTS | 1 | 3 | 5 +OUTPUTMC | 1 | 2 | 17 +INPUTS | 8 | A_FSB<22> | A_FSB<21> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | cs/nOverlay1 +INPUTMC | 6 | 3 | 12 | 5 | 13 | 3 | 4 | 3 | 3 | 3 | 2 | 5 | 7 +INPUTP | 2 | 153 | 134 +EXPORTS | 1 | 2 | 17 EQ | 6 | - EXP27_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + EXP16_.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + +MACROCELL | 2 | 1 | EXP17_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 2 +INPUTS | 13 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> +INPUTMC | 9 | 5 | 7 | 5 | 0 | 5 | 16 | 5 | 13 | 4 | 2 | 3 | 12 | 3 | 4 | 3 | 3 | 3 | 2 +INPUTP | 4 | 127 | 153 | 134 | 112 +EXPORTS | 1 | 2 | 2 +EQ | 15 | + EXP17_.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 - -MACROCELL | 3 | 8 | EXP28_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 9 -INPUTS | 9 | A_FSB<23> | iobs/Once | iobs/PS_FSM_FFd1 | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | RA_2_OBUF.EXP -INPUTMC | 6 | 3 | 9 | 2 | 11 | 2 | 8 | 2 | 10 | 3 | 2 | 3 | 7 -INPUTP | 3 | 105 | 15 | 109 -EXPORTS | 1 | 3 | 9 -IMPORTS | 1 | 3 | 7 -EQ | 11 | - EXP28_.EXP = A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 - # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 - # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/Once -;Imported pterms FB4_8 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/Once - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/Once - -MACROCELL | 3 | 10 | EXP29_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 9 -INPUTS | 8 | A_FSB<23> | A_FSB<21> | A_FSB<19> | iobs/Once | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB -INPUTMC | 1 | 3 | 9 -INPUTP | 7 | 105 | 109 | 117 | 123 | 155 | 153 | 98 -EXPORTS | 1 | 3 | 9 -EQ | 5 | - EXP29_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB - -MACROCELL | 3 | 12 | EXP30_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 13 -INPUTS | 9 | A_FSB<22> | A_FSB<21> | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | cs/nOverlay1 | RA_4_OBUF.EXP -INPUTMC | 6 | 1 | 9 | 6 | 7 | 2 | 17 | 2 | 6 | 3 | 2 | 3 | 11 -INPUTP | 3 | 15 | 109 | 21 -EXPORTS | 1 | 3 | 13 -IMPORTS | 1 | 3 | 11 -EQ | 17 | - EXP30_.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/BACTr + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + fsb/ASrf # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB4_12 - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> -MACROCELL | 3 | 14 | EXP31_ +MACROCELL | 2 | 2 | EXP18_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 13 -INPUTS | 14 | A_FSB<23> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<22> | cs/nOverlay1 | ram/BACTr | fsb/ASrf | ram/Once | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB -INPUTMC | 11 | 1 | 9 | 6 | 7 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 2 | 2 | 17 | 2 | 6 | 6 | 9 | 6 | 12 | 6 | 4 -INPUTP | 3 | 105 | 15 | 21 -EXPORTS | 1 | 3 | 13 -EQ | 10 | - EXP31_.EXP = A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # ram/Once & !cnt/RefDone & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf - -MACROCELL | 3 | 17 | EXP32_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 0 -INPUTS | 12 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | A_FSB<21> | cnt/RefDone | ram/BACTr | nDinOE_OBUF.EXP -INPUTMC | 8 | 3 | 2 | 6 | 9 | 6 | 12 | 6 | 7 | 2 | 6 | 1 | 9 | 2 | 17 | 3 | 16 -INPUTP | 4 | 105 | 15 | 21 | 109 -EXPORTS | 1 | 3 | 0 -IMPORTS | 1 | 3 | 16 +OUTPUTMC | 1 | 2 | 3 +INPUTS | 12 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | A_FSB<21> | cnt/RefDone | ram/BACTr | EXP17_.EXP +INPUTMC | 8 | 5 | 7 | 5 | 0 | 5 | 16 | 5 | 13 | 4 | 2 | 3 | 12 | 3 | 0 | 2 | 1 +INPUTP | 4 | 127 | 153 | 112 | 134 +EXPORTS | 1 | 2 | 3 +IMPORTS | 1 | 2 | 1 EQ | 26 | - EXP32_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + EXP18_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf @@ -2685,7 +2203,7 @@ EQ | 26 | !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB4_17 +;Imported pterms FB3_2 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 @@ -2702,109 +2220,582 @@ EQ | 26 | !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -MACROCELL | 4 | 16 | EXP33_ +MACROCELL | 2 | 5 | EXP19_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 17 -INPUTS | 10 | nBERR_IOB | IOBERR | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr | iobm/BERRrf | iobm/BERRrr -INPUTMC | 8 | 4 | 17 | 4 | 15 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 | 4 | 8 | 4 | 6 -INPUTP | 2 | 28 | 42 -EXPORTS | 1 | 4 | 17 -EQ | 10 | - EXP33_.EXP = nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/DTACKrf & iobm/DTACKrr - # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/RESrf & iobm/RESrr - # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/BERRrf & iobm/BERRrr - # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/DTACKrf & iobm/DTACKrr - # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/RESrf & iobm/RESrr +OUTPUTMC | 1 | 2 | 4 +INPUTS | 8 | RefAck | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | A_FSB<21> +INPUTMC | 4 | 5 | 17 | 5 | 7 | 2 | 7 | 2 | 16 +INPUTP | 4 | 127 | 153 | 112 | 134 +EXPORTS | 1 | 2 | 4 +EQ | 5 | + EXP19_.EXP = RefAck + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 -MACROCELL | 6 | 17 | EXP34_ +MACROCELL | 2 | 6 | EXP20_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 6 | 16 -INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5>.EXP -INPUTMC | 7 | 6 | 12 | 6 | 4 | 6 | 7 | 1 | 9 | 2 | 17 | 2 | 6 | 6 | 0 -INPUTP | 2 | 105 | 21 -EXPORTS | 1 | 6 | 16 -IMPORTS | 1 | 6 | 0 +OUTPUTMC | 1 | 2 | 7 +INPUTS | 15 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | cnt/RefDone | ram/RAMDIS2 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf | A_FSB<21> | nAS_FSB +INPUTMC | 11 | 5 | 7 | 5 | 0 | 3 | 12 | 2 | 7 | 5 | 16 | 5 | 13 | 5 | 3 | 3 | 4 | 3 | 3 | 3 | 2 | 4 | 2 +INPUTP | 4 | 127 | 153 | 134 | 112 +EXPORTS | 1 | 2 | 7 +EQ | 12 | + EXP20_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & + !cnt/RefDone & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf + +MACROCELL | 2 | 8 | EXP21_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 9 +INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB<19> | A_FSB<16> | nWE_FSB | ram/RAMDIS2.EXP +INPUTMC | 2 | 5 | 7 | 2 | 7 +INPUTP | 6 | 127 | 153 | 134 | 98 | 145 | 97 +EXPORTS | 1 | 2 | 9 +IMPORTS | 1 | 2 | 7 +EQ | 7 | + EXP21_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> + # !A_FSB<23> & A_FSB<21> & nWE_FSB +;Imported pterms FB3_8 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + +MACROCELL | 2 | 11 | EXP22_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 12 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | ram/BACTr | A_FSB<21> +INPUTMC | 6 | 5 | 7 | 5 | 0 | 5 | 13 | 4 | 2 | 3 | 12 | 3 | 0 +INPUTP | 4 | 127 | 153 | 112 | 134 +EXPORTS | 1 | 2 | 12 EQ | 10 | - EXP34_.EXP = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + EXP22_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd1 & fsb/ASrf + +MACROCELL | 2 | 13 | EXP23_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 12 +INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | A_FSB_19_IBUF$BUF0.EXP +INPUTMC | 7 | 5 | 16 | 5 | 3 | 5 | 13 | 3 | 12 | 3 | 0 | 4 | 2 | 2 | 14 +INPUTP | 2 | 127 | 112 +EXPORTS | 1 | 2 | 12 +IMPORTS | 1 | 2 | 14 +EQ | 10 | + EXP23_.EXP = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 # A_FSB<23> & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf -;Imported pterms FB7_1 +;Imported pterms FB3_15 # !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -PIN | A_FSB<9> | 64 | 0 | N/A | 19 | 9 | 0 | 11 | 0 | 12 | 0 | 9 | 6 | 10 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 16 | 0 | 17 -PIN | A_FSB<8> | 64 | 0 | N/A | 103 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 6 | 8 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 -PIN | A_FSB<15> | 64 | 0 | N/A | 134 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 6 | 1 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 -PIN | A_FSB<14> | 64 | 0 | N/A | 147 | 21 | 3 | 7 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 14 | 0 | 0 | 2 | 3 | 2 | 13 | 2 | 9 | 3 | 11 | 0 | 9 | 0 | 2 | 0 | 3 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 6 -PIN | A_FSB<13> | 64 | 0 | N/A | 136 | 20 | 3 | 7 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 14 | 0 | 3 | 2 | 3 | 2 | 13 | 2 | 9 | 4 | 7 | 0 | 9 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 6 -PIN | A_FSB<12> | 64 | 0 | N/A | 146 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 3 | 7 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 -PIN | A_FSB<11> | 64 | 0 | N/A | 140 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 4 | 1 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 -PIN | A_FSB<10> | 64 | 0 | N/A | 143 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 0 | 17 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 3 | 2 -PIN | A_FSB<23> | 64 | 0 | N/A | 105 | 52 | 6 | 9 | 3 | 9 | 2 | 2 | 6 | 3 | 3 | 15 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 16 | 6 | 10 | 0 | 0 | 3 | 0 | 6 | 11 | 6 | 7 | 6 | 4 | 2 | 8 | 3 | 5 | 2 | 12 | 3 | 13 | 6 | 16 | 0 | 5 | 3 | 14 | 2 | 4 | 4 | 11 | 0 | 9 | 0 | 16 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 15 | 0 | 17 | 2 | 1 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 13 | 2 | 14 | 2 | 15 | 2 | 17 | 3 | 4 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 10 | 3 | 16 | 3 | 17 | 6 | 8 | 6 | 13 | 6 | 15 | 6 | 17 -PIN | A_FSB<22> | 64 | 0 | N/A | 15 | 48 | 6 | 9 | 3 | 9 | 2 | 1 | 6 | 3 | 3 | 15 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 15 | 6 | 10 | 0 | 0 | 2 | 14 | 6 | 11 | 6 | 7 | 3 | 17 | 2 | 7 | 3 | 4 | 2 | 11 | 3 | 12 | 6 | 16 | 0 | 5 | 3 | 14 | 2 | 4 | 4 | 11 | 0 | 9 | 0 | 16 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 8 | 0 | 10 | 0 | 15 | 0 | 17 | 2 | 0 | 2 | 3 | 2 | 9 | 2 | 13 | 3 | 1 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 11 | 3 | 16 | 6 | 5 | 6 | 8 | 6 | 13 | 6 | 15 -PIN | A_FSB<21> | 64 | 0 | N/A | 109 | 45 | 6 | 8 | 3 | 8 | 2 | 1 | 6 | 3 | 3 | 15 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 16 | 6 | 9 | 0 | 0 | 2 | 17 | 3 | 16 | 6 | 7 | 3 | 17 | 2 | 7 | 3 | 4 | 2 | 11 | 3 | 12 | 6 | 16 | 0 | 5 | 3 | 11 | 2 | 4 | 7 | 5 | 4 | 11 | 0 | 9 | 0 | 16 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 8 | 0 | 10 | 0 | 15 | 0 | 17 | 2 | 0 | 2 | 9 | 2 | 13 | 2 | 14 | 2 | 15 | 3 | 6 | 3 | 7 | 3 | 10 | 6 | 13 | 6 | 15 -PIN | A_FSB<20> | 64 | 0 | N/A | 114 | 25 | 3 | 9 | 2 | 1 | 6 | 3 | 3 | 15 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 16 | 0 | 0 | 2 | 8 | 3 | 5 | 2 | 12 | 6 | 14 | 0 | 5 | 2 | 3 | 4 | 11 | 0 | 9 | 0 | 16 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 8 | 0 | 10 | 0 | 17 | 2 | 0 -PIN | A_FSB<19> | 64 | 0 | N/A | 117 | 22 | 2 | 17 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 3 | 0 | 0 | 2 | 7 | 3 | 4 | 2 | 11 | 6 | 14 | 7 | 1 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 10 -PIN | A_FSB<18> | 64 | 0 | N/A | 123 | 21 | 3 | 6 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 13 | 0 | 0 | 2 | 3 | 2 | 17 | 2 | 9 | 6 | 11 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 10 -PIN | A_FSB<17> | 64 | 0 | N/A | 155 | 21 | 3 | 6 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 13 | 0 | 0 | 2 | 3 | 2 | 17 | 2 | 9 | 6 | 8 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 10 -PIN | A_FSB<16> | 64 | 0 | N/A | 153 | 21 | 3 | 6 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 9 | 0 | 0 | 2 | 3 | 2 | 17 | 2 | 11 | 6 | 5 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 10 -PIN | CLK2X_IOB | 4096 | 0 | N/A | 33 | 33 | 2 | 14 | 4 | 17 | 5 | 12 | 5 | 10 | 4 | 15 | 5 | 17 | 5 | 9 | 5 | 16 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 2 | 13 | 4 | 10 | 4 | 0 | 5 | 0 | 4 | 13 | 1 | 0 | 4 | 12 | 4 | 6 | 5 | 2 | 7 | 16 | 1 | 2 | 1 | 13 | 1 | 4 | 1 | 1 | 4 | 8 | 5 | 3 | 1 | 3 | 1 | 16 | 7 | 14 | 1 | 10 | 1 | 11 -PIN | CLK_FSB | 8192 | 0 | N/A | 35 | 50 | 3 | 2 | 6 | 9 | 1 | 9 | 3 | 9 | 4 | 14 | 2 | 2 | 6 | 3 | 5 | 15 | 5 | 13 | 1 | 15 | 1 | 14 | 1 | 12 | 3 | 15 | 1 | 8 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 14 | 5 | 7 | 2 | 16 | 1 | 17 | 5 | 6 | 6 | 10 | 0 | 1 | 3 | 0 | 6 | 12 | 6 | 7 | 6 | 4 | 2 | 8 | 2 | 11 | 4 | 9 | 6 | 0 | 6 | 15 | 2 | 3 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 3 | 5 | 2 | 17 | 6 | 13 | 5 | 4 | 2 | 7 | 2 | 12 | 2 | 5 | 3 | 13 | 6 | 16 | 2 | 10 | 2 | 6 | 5 | 1 -PIN | nAS_FSB | 64 | 0 | N/A | 21 | 50 | 3 | 2 | 6 | 9 | 3 | 9 | 4 | 14 | 2 | 2 | 6 | 3 | 1 | 15 | 1 | 14 | 1 | 12 | 3 | 15 | 1 | 8 | 6 | 6 | 0 | 6 | 5 | 8 | 0 | 14 | 2 | 15 | 1 | 17 | 6 | 10 | 0 | 1 | 3 | 0 | 6 | 11 | 6 | 7 | 3 | 17 | 2 | 8 | 3 | 5 | 2 | 17 | 2 | 12 | 2 | 6 | 3 | 13 | 6 | 16 | 0 | 5 | 0 | 10 | 5 | 11 | 5 | 14 | 3 | 14 | 2 | 4 | 5 | 5 | 0 | 12 | 0 | 15 | 2 | 0 | 2 | 1 | 2 | 3 | 3 | 1 | 3 | 12 | 3 | 16 | 6 | 5 | 6 | 8 | 6 | 13 | 6 | 15 | 6 | 17 -PIN | nWE_FSB | 64 | 0 | N/A | 98 | 25 | 2 | 15 | 2 | 2 | 0 | 6 | 0 | 11 | 2 | 16 | 0 | 0 | 2 | 7 | 3 | 4 | 2 | 11 | 0 | 10 | 5 | 11 | 3 | 15 | 5 | 5 | 5 | 8 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 17 | 2 | 0 | 2 | 1 | 2 | 3 | 3 | 10 -PIN | nBERR_IOB | 64 | 0 | N/A | 28 | 4 | 4 | 17 | 4 | 8 | 4 | 6 | 4 | 16 -PIN | CLK_IOB | 16448 | 0 | N/A | 42 | 9 | 4 | 17 | 4 | 15 | 4 | 2 | 4 | 10 | 4 | 0 | 4 | 13 | 4 | 1 | 4 | 16 | 7 | 17 -PIN | nRES | 65600 | 0 | N/A | 160 | 3 | 1 | 3 | 1 | 2 | 6 | 3 -PIN | nLDS_FSB | 64 | 0 | N/A | 37 | 3 | 5 | 15 | 5 | 7 | 5 | 5 -PIN | nUDS_FSB | 64 | 0 | N/A | 82 | 3 | 5 | 13 | 5 | 6 | 5 | 8 -PIN | E_IOB | 64 | 0 | N/A | 77 | 1 | 7 | 17 -PIN | nVPA_IOB | 64 | 0 | N/A | 96 | 2 | 1 | 1 | 1 | 0 -PIN | nDTACK_IOB | 64 | 0 | N/A | 88 | 2 | 5 | 3 | 5 | 2 -PIN | A_FSB<1> | 64 | 0 | N/A | 144 | 1 | 3 | 2 -PIN | A_FSB<2> | 64 | 0 | N/A | 24 | 1 | 4 | 1 -PIN | A_FSB<3> | 64 | 0 | N/A | 127 | 1 | 3 | 7 -PIN | A_FSB<4> | 64 | 0 | N/A | 92 | 1 | 4 | 7 -PIN | A_FSB<5> | 64 | 0 | N/A | 23 | 1 | 3 | 11 -PIN | A_FSB<6> | 64 | 0 | N/A | 29 | 1 | 6 | 1 -PIN | A_FSB<7> | 64 | 0 | N/A | 30 | 1 | 6 | 5 -PIN | nVMA_IOB | 536871040 | 0 | N/A | 56 -PIN | nDTACK_FSB | 536871040 | 0 | N/A | 18 -PIN | nAS_IOB | 536871040 | 0 | N/A | 17 -PIN | nCAS | 536871040 | 0 | N/A | 118 -PIN | nDinLE | 536871040 | 0 | N/A | 116 -PIN | nDoutOE | 536871040 | 0 | N/A | 13 +MACROCELL | 2 | 15 | EXP24_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 16 +INPUTS | 8 | A_FSB<22> | A_FSB<21> | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | cs/nOverlay1 +INPUTMC | 5 | 3 | 12 | 5 | 13 | 3 | 0 | 4 | 2 | 5 | 7 +INPUTP | 3 | 153 | 134 | 112 +EXPORTS | 1 | 2 | 16 +EQ | 10 | + EXP24_.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + +MACROCELL | 2 | 17 | EXP25_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 16 +INPUTS | 15 | A_FSB<23> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<22> | cs/nOverlay1 | ram/BACTr | fsb/ASrf | ram/Once | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB | EXP16_.EXP +INPUTMC | 12 | 3 | 12 | 5 | 13 | 3 | 4 | 3 | 3 | 3 | 2 | 5 | 7 | 3 | 0 | 4 | 2 | 5 | 0 | 5 | 16 | 5 | 3 | 2 | 0 +INPUTP | 3 | 127 | 153 | 112 +EXPORTS | 1 | 2 | 16 +IMPORTS | 1 | 2 | 0 +EQ | 17 | + EXP25_.EXP = A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # ram/Once & !cnt/RefDone & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf +;Imported pterms FB3_1 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + +MACROCELL | 7 | 0 | EXP26_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 17 +INPUTS | 20 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | fsb/VPA | ram/RAMReady | $OpTx$$OpTx$FX_DC$355_INV$439 | A_FSB<14> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | fsb/Ready1r | iobs/IOReady | A_FSB<13> | nADoutLE1 | EXP27_.EXP +INPUTMC | 9 | 5 | 7 | 5 | 9 | 7 | 17 | 2 | 12 | 4 | 6 | 7 | 8 | 5 | 12 | 5 | 14 | 7 | 1 +INPUTP | 11 | 127 | 153 | 134 | 102 | 22 | 98 | 147 | 136 | 145 | 97 | 19 +EXPORTS | 1 | 7 | 17 +IMPORTS | 1 | 7 | 1 +EQ | 45 | + EXP26_.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !ram/RAMReady & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !nADoutLE1 & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !nADoutLE1 & !$OpTx$$OpTx$FX_DC$355_INV$439 +;Imported pterms FB8_2 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & fsb/Ready1r & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 + +MACROCELL | 7 | 1 | EXP27_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 0 +INPUTS | 28 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | fsb/VPA | $OpTx$$OpTx$FX_DC$355_INV$439 | A_FSB<8> | BERR_IOBS | TimeoutB | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | iobs/IOReady +INPUTMC | 11 | 5 | 7 | 3 | 16 | 7 | 7 | 7 | 17 | 4 | 6 | 0 | 11 | 3 | 15 | 0 | 12 | 3 | 10 | 7 | 8 | 5 | 12 +INPUTP | 17 | 114 | 140 | 102 | 19 | 104 | 105 | 143 | 127 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 | 117 +EXPORTS | 1 | 7 | 0 +EQ | 25 | + EXP27_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & fsb/Ready1r & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 + +MACROCELL | 7 | 2 | EXP28_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 3 +INPUTS | 24 | A_FSB<13> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | nADoutLE1 | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | TimeoutA | fsb/Ready2r | $OpTx$FX_DC$360 +INPUTMC | 8 | 5 | 7 | 7 | 8 | 5 | 12 | 7 | 4 | 5 | 14 | 3 | 16 | 7 | 7 | 6 | 17 +INPUTP | 16 | 19 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 | 117 | 140 | 102 | 104 | 105 | 143 | 127 +EXPORTS | 1 | 7 | 3 +EQ | 9 | + EXP28_.EXP = A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 + +MACROCELL | 7 | 3 | EXP29_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 4 +INPUTS | 9 | A_FSB<23> | TimeoutB | nDTACK_FSB | A_FSB<22> | A_FSB<21> | fsb/Ready1r | iobs/IOReady | A_FSB<20> | EXP28_.EXP +INPUTMC | 5 | 3 | 15 | 7 | 4 | 7 | 8 | 5 | 12 | 7 | 2 +INPUTP | 4 | 127 | 153 | 134 | 22 +EXPORTS | 1 | 7 | 4 +IMPORTS | 1 | 7 | 2 +EQ | 17 | + EXP29_.EXP = A_FSB<23> & TimeoutB & nDTACK_FSB + # !A_FSB<22> & TimeoutB & nDTACK_FSB + # A_FSB<21> & TimeoutB & nDTACK_FSB + # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB +;Imported pterms FB8_3 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 + +MACROCELL | 7 | 5 | EXP30_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 4 +INPUTS | 19 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | fsb/Ready0r | nDTACK_FSB | ram/RAMReady | A_FSB<21> | A_FSB<14> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | fsb/Ready1r | iobs/IOReady | A_FSB<13> | nADoutLE1 | EXP31_.EXP +INPUTMC | 8 | 5 | 7 | 5 | 9 | 7 | 4 | 2 | 12 | 7 | 8 | 5 | 12 | 5 | 14 | 7 | 6 +INPUTP | 11 | 127 | 153 | 134 | 102 | 22 | 98 | 147 | 136 | 145 | 97 | 19 +EXPORTS | 1 | 7 | 4 +IMPORTS | 1 | 7 | 6 +EQ | 40 | + EXP30_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 +;Imported pterms FB8_7 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & fsb/Ready1r + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & iobs/IOReady + +MACROCELL | 7 | 6 | EXP31_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 5 +INPUTS | 27 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | TimeoutA | fsb/Ready2r | nDTACK_FSB | $OpTx$FX_DC$360 | A_FSB<8> | A_FSB<22> | BERR_IOBS | TimeoutB | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | iobs/IOReady +INPUTMC | 10 | 3 | 16 | 7 | 7 | 7 | 4 | 6 | 17 | 0 | 11 | 3 | 15 | 0 | 12 | 3 | 10 | 7 | 8 | 5 | 12 +INPUTP | 17 | 114 | 140 | 102 | 19 | 104 | 105 | 143 | 127 | 134 | 22 | 98 | 147 | 136 | 145 | 97 | 117 | 153 +EXPORTS | 1 | 7 | 5 +EQ | 25 | + EXP31_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & fsb/Ready1r + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & iobs/IOReady + +MACROCELL | 7 | 9 | EXP32_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 8 +INPUTS | 16 | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | A_FSB<13> | nADoutLE1 | nAoutOE_OBUF.EXP +INPUTMC | 5 | 7 | 8 | 5 | 12 | 5 | 7 | 5 | 14 | 7 | 10 +INPUTP | 11 | 127 | 153 | 134 | 22 | 102 | 98 | 147 | 136 | 145 | 97 | 19 +EXPORTS | 1 | 7 | 8 +IMPORTS | 1 | 7 | 10 +EQ | 18 | + EXP32_.EXP = A_FSB<23> & !fsb/Ready1r & !iobs/IOReady + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1 +;Imported pterms FB8_11 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1 + +MACROCELL | 7 | 11 | EXP33_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 12 +INPUTS | 8 | A_FSB<23> | iobs/Once | iobs/PS_FSM_FFd1 | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<21> | cs/nOverlay1 +INPUTMC | 5 | 7 | 12 | 5 | 4 | 0 | 8 | 5 | 14 | 5 | 7 +INPUTP | 3 | 127 | 153 | 134 +EXPORTS | 1 | 7 | 12 +EQ | 6 | + EXP33_.EXP = A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 + # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 + # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/Once + +MACROCELL | 7 | 13 | EXP34_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 12 +INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<19> | iobs/Once | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | EXP35_.EXP +INPUTMC | 2 | 7 | 12 | 7 | 14 +INPUTP | 7 | 127 | 134 | 98 | 147 | 136 | 145 | 97 +EXPORTS | 1 | 7 | 12 +IMPORTS | 1 | 7 | 14 +EQ | 10 | + EXP34_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB +;Imported pterms FB8_15 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once + +MACROCELL | 7 | 14 | EXP35_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 13 +INPUTS | 7 | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> | iobs/Once | A_FSB<22> | cs/nOverlay1 +INPUTMC | 2 | 7 | 12 | 5 | 7 +INPUTP | 5 | 102 | 19 | 127 | 134 | 153 +EXPORTS | 1 | 7 | 13 +EQ | 4 | + EXP35_.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once + +MACROCELL | 7 | 15 | EXP36_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 16 +INPUTS | 22 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | fsb/VPA | $OpTx$$OpTx$FX_DC$355_INV$439 | A_FSB<8> +INPUTMC | 5 | 5 | 7 | 3 | 16 | 7 | 7 | 7 | 17 | 4 | 6 +INPUTP | 17 | 114 | 140 | 102 | 19 | 104 | 105 | 143 | 127 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 | 117 +EXPORTS | 1 | 7 | 16 +EQ | 25 | + EXP36_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + +MACROCELL | 7 | 16 | EXP37_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 17 +INPUTS | 13 | A_FSB<22> | TimeoutB | fsb/VPA | $OpTx$$OpTx$FX_DC$355_INV$439 | A_FSB<21> | A_FSB<23> | fsb/Ready1r | iobs/IOReady | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<20> | EXP36_.EXP +INPUTMC | 9 | 3 | 15 | 7 | 17 | 4 | 6 | 7 | 8 | 5 | 12 | 5 | 7 | 5 | 9 | 2 | 12 | 7 | 15 +INPUTP | 4 | 153 | 134 | 127 | 22 +EXPORTS | 1 | 7 | 17 +IMPORTS | 1 | 7 | 15 +EQ | 37 | + EXP37_.EXP = !A_FSB<22> & TimeoutB & fsb/VPA & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<21> & TimeoutB & fsb/VPA & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<23> & !fsb/Ready1r & fsb/VPA & + !iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & !ram/RAMReady & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + fsb/VPA & !iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 +;Imported pterms FB8_16 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + +PIN | A_FSB<9> | 64 | 0 | N/A | 114 | 5 | 7 | 7 | 7 | 15 | 7 | 1 | 5 | 11 | 7 | 6 +PIN | A_FSB<8> | 64 | 0 | N/A | 117 | 7 | 7 | 7 | 7 | 15 | 7 | 2 | 5 | 8 | 7 | 1 | 7 | 6 | 7 | 8 +PIN | A_FSB<15> | 64 | 0 | N/A | 140 | 7 | 7 | 7 | 7 | 15 | 7 | 2 | 5 | 1 | 7 | 1 | 7 | 6 | 7 | 8 +PIN | A_FSB<14> | 64 | 0 | N/A | 102 | 17 | 7 | 9 | 0 | 10 | 7 | 6 | 7 | 7 | 7 | 15 | 0 | 1 | 7 | 2 | 0 | 7 | 2 | 7 | 4 | 8 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 14 +PIN | A_FSB<13> | 64 | 0 | N/A | 19 | 18 | 7 | 10 | 0 | 10 | 7 | 6 | 7 | 7 | 7 | 15 | 0 | 1 | 7 | 2 | 0 | 7 | 2 | 7 | 4 | 5 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 14 +PIN | A_FSB<12> | 64 | 0 | N/A | 104 | 7 | 7 | 7 | 7 | 15 | 7 | 2 | 4 | 1 | 7 | 1 | 7 | 6 | 7 | 8 +PIN | A_FSB<11> | 64 | 0 | N/A | 105 | 7 | 7 | 7 | 7 | 15 | 7 | 2 | 3 | 1 | 7 | 1 | 7 | 6 | 7 | 8 +PIN | A_FSB<10> | 64 | 0 | N/A | 143 | 7 | 7 | 7 | 7 | 15 | 7 | 2 | 2 | 10 | 7 | 1 | 7 | 6 | 7 | 8 +PIN | A_FSB<23> | 64 | 0 | N/A | 127 | 51 | 5 | 0 | 7 | 12 | 0 | 15 | 0 | 13 | 0 | 12 | 5 | 9 | 7 | 6 | 7 | 7 | 7 | 17 | 0 | 3 | 2 | 7 | 7 | 3 | 2 | 3 | 5 | 15 | 5 | 13 | 5 | 3 | 0 | 8 | 2 | 9 | 0 | 6 | 2 | 16 | 2 | 12 | 0 | 0 | 0 | 4 | 2 | 2 | 0 | 7 | 0 | 1 | 0 | 2 | 0 | 5 | 0 | 9 | 0 | 10 | 0 | 14 | 2 | 1 | 2 | 5 | 2 | 6 | 2 | 8 | 2 | 10 | 2 | 11 | 2 | 13 | 2 | 17 | 5 | 17 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 11 | 7 | 13 | 7 | 14 | 7 | 15 | 7 | 16 +PIN | A_FSB<22> | 64 | 0 | N/A | 153 | 48 | 5 | 0 | 7 | 12 | 0 | 14 | 0 | 13 | 0 | 12 | 5 | 9 | 7 | 6 | 7 | 7 | 7 | 16 | 0 | 2 | 2 | 7 | 7 | 3 | 2 | 2 | 5 | 15 | 5 | 13 | 5 | 2 | 0 | 1 | 2 | 8 | 0 | 5 | 2 | 15 | 2 | 12 | 0 | 0 | 0 | 4 | 2 | 1 | 0 | 7 | 6 | 17 | 0 | 9 | 0 | 10 | 0 | 16 | 0 | 17 | 2 | 0 | 2 | 4 | 2 | 5 | 2 | 6 | 2 | 10 | 2 | 11 | 2 | 17 | 5 | 17 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 10 | 7 | 11 | 7 | 14 | 7 | 15 +PIN | A_FSB<21> | 64 | 0 | N/A | 134 | 46 | 5 | 0 | 7 | 11 | 0 | 14 | 0 | 10 | 0 | 12 | 5 | 9 | 7 | 6 | 7 | 7 | 7 | 16 | 0 | 3 | 2 | 6 | 7 | 3 | 2 | 2 | 2 | 11 | 5 | 13 | 5 | 2 | 0 | 2 | 2 | 8 | 0 | 5 | 2 | 15 | 2 | 12 | 0 | 0 | 0 | 4 | 2 | 1 | 0 | 13 | 0 | 7 | 0 | 1 | 0 | 8 | 0 | 9 | 0 | 16 | 0 | 17 | 2 | 0 | 2 | 5 | 2 | 7 | 2 | 10 | 5 | 17 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 10 | 7 | 13 | 7 | 14 | 7 | 15 +PIN | A_FSB<20> | 64 | 0 | N/A | 22 | 28 | 7 | 12 | 0 | 14 | 0 | 13 | 0 | 12 | 7 | 6 | 7 | 7 | 7 | 17 | 0 | 3 | 7 | 4 | 0 | 8 | 2 | 9 | 0 | 6 | 4 | 11 | 0 | 0 | 0 | 4 | 0 | 7 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 3 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 10 | 7 | 15 | 7 | 16 +PIN | A_FSB<19> | 64 | 0 | N/A | 98 | 19 | 7 | 10 | 0 | 9 | 7 | 6 | 7 | 7 | 7 | 15 | 2 | 14 | 7 | 2 | 0 | 4 | 2 | 8 | 0 | 5 | 4 | 11 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 13 +PIN | A_FSB<18> | 64 | 0 | N/A | 147 | 18 | 7 | 10 | 0 | 10 | 7 | 6 | 7 | 7 | 7 | 15 | 0 | 1 | 7 | 2 | 0 | 7 | 0 | 17 | 5 | 11 | 0 | 16 | 2 | 10 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 13 +PIN | A_FSB<17> | 64 | 0 | N/A | 136 | 18 | 7 | 10 | 0 | 10 | 7 | 6 | 7 | 7 | 7 | 15 | 5 | 8 | 7 | 2 | 0 | 7 | 0 | 17 | 0 | 4 | 0 | 16 | 2 | 10 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 13 +PIN | A_FSB<16> | 64 | 0 | N/A | 145 | 18 | 7 | 10 | 0 | 10 | 7 | 6 | 7 | 7 | 7 | 15 | 5 | 5 | 7 | 2 | 0 | 4 | 2 | 8 | 0 | 5 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 13 +PIN | nBERR_IOB | 64 | 0 | N/A | 92 | 4 | 4 | 17 | 1 | 8 | 1 | 7 | 4 | 0 +PIN | CLK2X_IOB | 4096 | 0 | N/A | 33 | 29 | 0 | 10 | 4 | 13 | 4 | 15 | 4 | 9 | 4 | 10 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 | 4 | 16 | 4 | 17 | 1 | 5 | 1 | 4 | 1 | 3 | 6 | 14 | 4 | 12 | 1 | 7 | 1 | 0 | 1 | 16 | 1 | 2 | 1 | 6 | 6 | 15 | 1 | 8 | 1 | 1 | 1 | 13 | 6 | 1 | 1 | 10 | 1 | 11 +PIN | CLK_FSB | 8192 | 0 | N/A | 35 | 50 | 5 | 7 | 5 | 0 | 3 | 12 | 7 | 12 | 0 | 11 | 0 | 15 | 0 | 14 | 5 | 10 | 3 | 17 | 3 | 16 | 3 | 15 | 3 | 13 | 0 | 12 | 3 | 10 | 5 | 9 | 7 | 8 | 7 | 7 | 7 | 17 | 5 | 6 | 0 | 3 | 5 | 12 | 4 | 7 | 2 | 7 | 7 | 4 | 2 | 3 | 5 | 16 | 5 | 13 | 5 | 3 | 0 | 8 | 5 | 4 | 4 | 4 | 3 | 4 | 3 | 3 | 4 | 0 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 | 2 | 9 | 3 | 0 | 3 | 2 | 5 | 17 | 5 | 2 | 0 | 6 | 5 | 15 | 2 | 16 | 2 | 12 | 5 | 14 | 4 | 2 | 4 | 14 +PIN | nAS_FSB | 64 | 0 | N/A | 112 | 50 | 5 | 7 | 5 | 0 | 7 | 12 | 0 | 11 | 0 | 15 | 0 | 13 | 3 | 16 | 3 | 15 | 3 | 13 | 0 | 12 | 3 | 10 | 5 | 9 | 7 | 8 | 5 | 17 | 0 | 2 | 5 | 12 | 2 | 7 | 7 | 4 | 2 | 3 | 5 | 15 | 5 | 13 | 5 | 2 | 4 | 6 | 2 | 9 | 3 | 0 | 0 | 6 | 4 | 2 | 2 | 16 | 2 | 12 | 0 | 1 | 3 | 5 | 3 | 14 | 6 | 11 | 0 | 4 | 2 | 2 | 3 | 8 | 3 | 11 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 17 | 2 | 1 | 2 | 4 | 2 | 5 | 2 | 6 | 2 | 11 | 2 | 13 | 2 | 15 | 2 | 17 | 5 | 4 +PIN | nWE_FSB | 64 | 0 | N/A | 97 | 25 | 7 | 10 | 0 | 15 | 7 | 6 | 7 | 7 | 7 | 15 | 0 | 3 | 7 | 2 | 0 | 2 | 2 | 8 | 0 | 5 | 3 | 5 | 3 | 14 | 0 | 4 | 3 | 8 | 3 | 11 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 13 +PIN | nRES | 65600 | 0 | N/A | 160 | 3 | 1 | 1 | 1 | 0 | 0 | 14 +PIN | nLDS_FSB | 64 | 0 | N/A | 15 | 3 | 5 | 10 | 5 | 6 | 3 | 8 +PIN | nUDS_FSB | 64 | 0 | N/A | 28 | 3 | 3 | 17 | 4 | 7 | 3 | 11 +PIN | CLK_IOB | 16448 | 0 | N/A | 42 | 7 | 4 | 13 | 4 | 15 | 4 | 16 | 4 | 17 | 4 | 0 | 4 | 14 | 6 | 16 +PIN | E_IOB | 64 | 0 | N/A | 86 | 1 | 6 | 16 +PIN | nDTACK_IOB | 64 | 0 | N/A | 30 | 2 | 1 | 6 | 1 | 5 +PIN | nVPA_IOB | 64 | 0 | N/A | 37 | 2 | 6 | 15 | 6 | 14 +PIN | A_FSB<1> | 64 | 0 | N/A | 116 | 1 | 2 | 10 +PIN | A_FSB<2> | 64 | 0 | N/A | 90 | 1 | 3 | 1 +PIN | A_FSB<3> | 64 | 0 | N/A | 107 | 1 | 4 | 1 +PIN | A_FSB<4> | 64 | 0 | N/A | 96 | 1 | 4 | 5 +PIN | A_FSB<5> | 64 | 0 | N/A | 24 | 1 | 4 | 8 +PIN | A_FSB<6> | 64 | 0 | N/A | 157 | 1 | 5 | 1 +PIN | A_FSB<7> | 64 | 0 | N/A | 123 | 1 | 5 | 5 +PIN | nVMA_IOB | 536871040 | 0 | N/A | 26 +PIN | nDTACK_FSB | 536871040 | 0 | N/A | 103 +PIN | nAS_IOB | 536871040 | 0 | N/A | 13 +PIN | nCAS | 536871040 | 0 | N/A | 72 +PIN | nDinLE | 536871040 | 0 | N/A | 79 +PIN | nDoutOE | 536871040 | 0 | N/A | 17 PIN | nLDS_IOB | 536871040 | 0 | N/A | 11 PIN | nUDS_IOB | 536871040 | 0 | N/A | 12 -PIN | RA<0> | 536871040 | 0 | N/A | 141 -PIN | RA<1> | 536871040 | 0 | N/A | 58 -PIN | RA<2> | 536871040 | 0 | N/A | 145 -PIN | RA<3> | 536871040 | 0 | N/A | 62 -PIN | RA<4> | 536871040 | 0 | N/A | 149 -PIN | RA<5> | 536871040 | 0 | N/A | 79 -PIN | RA<6> | 536871040 | 0 | N/A | 86 -PIN | RA<7> | 536871040 | 0 | N/A | 90 -PIN | RA<8> | 536871040 | 0 | N/A | 95 -PIN | RA<9> | 536871040 | 0 | N/A | 97 -PIN | nBERR_FSB | 536871040 | 0 | N/A | 22 -PIN | nOE | 536871040 | 0 | N/A | 26 -PIN | nROMWE | 536871040 | 0 | N/A | 135 -PIN | nVPA_FSB | 536871040 | 0 | N/A | 139 -PIN | nADoutLE0 | 536871040 | 0 | N/A | 107 -PIN | nDinOE | 536871040 | 0 | N/A | 157 +PIN | RA<0> | 536871040 | 0 | N/A | 47 +PIN | RA<1> | 536871040 | 0 | N/A | 141 +PIN | RA<2> | 536871040 | 0 | N/A | 58 +PIN | RA<3> | 536871040 | 0 | N/A | 60 +PIN | RA<4> | 536871040 | 0 | N/A | 63 +PIN | RA<5> | 536871040 | 0 | N/A | 118 +PIN | RA<6> | 536871040 | 0 | N/A | 125 +PIN | RA<7> | 536871040 | 0 | N/A | 130 +PIN | RA<8> | 536871040 | 0 | N/A | 135 +PIN | RA<9> | 536871040 | 0 | N/A | 68 +PIN | nBERR_FSB | 536871040 | 0 | N/A | 18 +PIN | nOE | 536871040 | 0 | N/A | 144 +PIN | nROMWE | 536871040 | 0 | N/A | 155 +PIN | nVPA_FSB | 536871040 | 0 | N/A | 95 +PIN | nADoutLE0 | 536871040 | 0 | N/A | 88 +PIN | nDinOE | 536871040 | 0 | N/A | 21 PIN | nRAS | 536871040 | 0 | N/A | 36 -PIN | RA<11> | 536871040 | 0 | N/A | 102 -PIN | RA<10> | 536871040 | 0 | N/A | 104 -PIN | nADoutLE1 | 536871040 | 0 | N/A | 47 -PIN | nRAMLWE | 536871040 | 0 | N/A | 125 -PIN | nRAMUWE | 536871040 | 0 | N/A | 130 -PIN | nROMCS | 536871040 | 0 | N/A | 68 -PIN | nAoutOE | 536871040 | 0 | N/A | 112 +PIN | RA<11> | 536871040 | 0 | N/A | 56 +PIN | RA<10> | 536871040 | 0 | N/A | 29 +PIN | nADoutLE1 | 536871040 | 0 | N/A | 139 +PIN | nRAMLWE | 536871040 | 0 | N/A | 146 +PIN | nRAMUWE | 536871040 | 0 | N/A | 149 +PIN | nROMCS | 536871040 | 0 | N/A | 23 +PIN | nAoutOE | 536871040 | 0 | N/A | 109 diff --git a/cpld/XC95144/MXSE.nga b/cpld/XC95144/MXSE.nga new file mode 100644 index 0000000..ca7838f --- /dev/null +++ b/cpld/XC95144/MXSE.nga @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$4ga4`<,Fz_t)*@pUz'B]YCK-9>9RGAV%60=+B582.D|Yv+$NrW|!@_WMI/?8;PIOT'06?)L88;7)ATy&'KuR,ORTHN*<54]JJS"3;0$O>85#_=1:&LtQ~#,Fz_t)HW_EA'703XAG\/8>7!UHO25>"Hx]r/JUQKC%154+B6:2.D|Yv+FY]GG!518'N:=?5+OqV{ C^XLJ.8:= K2038 JvSp-LSSIM+372-U45<,Fz_t)HW_EA'736)]@G>7)\jv%58,5<729#m7%>50;2*$-6=83:"==5'1123>46783;;<='>0:*2356=9>:;6<9?0(33?-7?890:4=>51923-46< ;2;<7<70181<56.991#?=>?:2234?5789#9=6&<012975672::;<$.'3423>637839><='>0:*0156=;<:;6>;?0(f8,1672=:;69>?)028,13783>><=4;512*55=/>9:;6;>?0;4345/682"<<=>57123>2678 ;;7%7?018:456=19:;%<5?1:31?46538;97<<>2:31>JSSX\^1^L2>1;2=6>74:2;?>6?:2:356>70:2;3>6?62:036>45:288=95=3;KMTPR=IVLXN1<<:0<1?7253;?97?9=;3;1?6553:?97>9=;521?1453=<978>=;411?0253=80:8<46708224<>1;0;?58039457=0:;1>7:22:>66=2::66>>1:22<>6661:;1?<6530;974<=;811?<2530?9748=;851?1J@H>B.P;8EIC7E'XNK85NLD2P3>GKM9Y%H55NLD2P*A7?3HFN<^ K289BH@6T&LR[i6OCE1Q-A]VXX]U;i6OCE1Q-A]VXX]U:i6OCE1Q-A]VXX]U9i6OCE1Q-A]VXX]U8=l5NLD2P*@^WW}ybakaalgg[kcsW>1J@H>\.P;8EIC7['XNK95NLD30?DHC12KEBBZNTDF:?DYA[K6:<3j4A^DPF9776'ZJH45N_GQA8479l2KTJ^L310<-TDB>3HUM_O2>2?f8EZ@TJ5;92#^ND89B[CUE4895h6OPFR@?568)XHN27LQISC>20;b/RB@<=FWOYI0<;1d:C\BVD;9<4%\LJ6;@]EWG:6>7n0MRH\B=35:+VFL01JSK]M<05=`>GXNZH7=:0!P@F:?DYA[K6:43j4A^DPF97?6'ZJH45N_GQA84?9l2KTJ^L318<-TDB?3HUM_O2>>b9B[CUE484%\LJ6;@]EWG:587n0MRH\B=03:+VFL01JSK]M<33=`>GXNZH7><0!P@F:?DYA[K69>3j4A^DPF9456'ZJHo5N_GQA875=9730MRH\B=00:a=FWOYI0?=1.QCG<>GXNZH7>3m4A^DPF949&YKO46OPFR@?7;eGXNZH783m4A^DPF929&YKO46OPFR@?1;eGXNZH7:3m4A^DPF909&YKO46OPFR@?3;eGXNZH743m4A^DPF9>9&YKO46OPFR@?=;eGXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]O;97LQISC]2=ZOE]O.IYK>>4:C\BVDX90UBNXH+BTD3*A723HUM_OQ>9^KAQC"E]O:%H9^KAQC"E]O:%IU^=7:C\BVDX90UBNXH+BTD3*@^WW}ybakaalgg[kcsW8>0MRH\B^3:[LDRN-H^J= ^c:C\BVDX9VCIYKj4A^DPFZ47W@H^Ji5N_GQA[77XAK_M=?5N_GQA[77XAK_M(O[I0068EZ@TJV8:SDLZF%@VB5(C9<1JSK]M_33\MGSA,K_M<#J>149B[CUEW;;TEO[I$CWE4+B59?1JSK]M_33\MGSA,K_M<#KWP0`8EZ@TJV8:SDLZF%@VB5(BPYU[XR>>b:C\BVDX:8UBNXH+BTD3*@^WWY^T=?94A^DPFZ46W@H^J)LZF1,F\UYs{`gyicobee]maqY6<2KTJ^LP20]JFP@#J\L;"\j4A^DPFZ45W@H^Ji5N_GQA[75XAK_Mo6OPFR@\6ZOE]Oi0MRH\B^1\MGSAk2KTJ^LP4^KAQCeGXNZHT:RGMUGa8EZ@TJV=TEO[Ic:C\BVDX0VCIYKm4A^DPFZ?XAK_M56LJRS]JKGUe3KOY^RG@BR,Gg>DBZ[UBCO]!D0a8F@TUW@EI_#J=109AAWTXAFHX"I2:@FVWYNGKY%IU^PPU]357=EM[XTEBL\.DZS[URX98o0NH\]_HMAW+C_XV~xe`|jn`of`Zhb|Vh0NH\]_HMAW+Wc3KOY^RG@BR,QAB7f3KOY^RG@BR]wwlkdzlkiiQwos]0?GSA02H^_RGAFN08G@5EKCVCDN#^NDb9@HNYNGKUBNXH6;BMNILRSMM=0O_KNTDF:?FjhkbY{in64D`vbWucd=2Nn`lwn;DZS55Y)MQZ:n6KWP02\*@^WW}ybakaalgg[kcsWh1NT]?>_/G[Tc=BPY;:S#KWP^RW[5`_/G[TZVSW=l0IU^>1^,F\UYW\V?:n6KWP03\*@^WW}ybakaalgg[kcsWh1NT]?=_/G[Tc=BPY;9S#KWP^RW[5`R JXQ]SPZ7a3LR[=?Q!EYR\TQY5n2OS\<C_X89T"HV__QV\4c=BPY;8S#KWP^RW[4`Q!EYR\TQY4n2OS\<=P.DZS[URXC_X8>T"HV__QV\4c=BPY;?S#KWP^RW[4`C_X8?T"HV__QV\4c=BPY;>S#KWP^RW[4`V$NT]Q_T^3e?@^W9?U%IU^PPU]15g=BPY;=S#KWP^vpmhtbfhgnhR`jt^c8A]V6?V$NT]h4EYR23Z(BPYU[XR>i;DZS52Y)MQZT\YQ>f:G[T41X&LR[S]ZP2g9F\U70W'OS\R^[_2d8A]V6?V$NT]Q_T^62f>C_X8=T"HV__uqjiwciidooSck{_`9F\U7?W'OS\k5JXQ3;[+C_XVZ_S=h4EYR2X&LR[S]ZP3g9F\U7?W'OS\R^[_5d8A]V60V$NT]Q_T^72f>C_X82T"HV__uqjiwciidooSck{_`9F\U7>W'OS\k5JXQ3:[+C_XVZ_S=h4EYR2=Z(BPYU[XR?i;DZS5W'OS\Rz|ilpfjdkblVdnxRo4EYR14Z(BPYl0IU^=0^,F\UYW\V:m7HV_21]-A]VXX]U:j6KWP32\*@^WWY^T>_/G[TZVSW;l0IU^=1^,F\UYW\V9m7HV_20]-A]VXX]U?j6KWP33\*@^WWY^T9?Q!EYR\TQY7n2OS\?>Q!EYR\TQY6n2OS\?=P.DZS[URX:o1NT]<<_/G[TZVSW:l0IU^=3^,F\UYW\V>m7HV_22]-A]VXX]U>=o5JXQ00[+C_XV~xe`|jn`of`Zhb|Vk0IU^=4^,F\U`9Q!EYR\TQY6n2OS\?:P.DZS[URX:o1NT]<;_/G[TZVSW:l0IU^=4^,F\UYW\V>:n6KWP36\*@^WW}ybakaalgg[kcsWh1NT]<:_/G[Tc=BPY8>S#KWP^RW[5`8Q!EYR\TQY5n2OS\?;P.DZS[URX;o1NT]<:_/G[TZVSW=l0IU^=5^,F\UYW\V?:n6KWP37\*@^WW}ybakaalgg[kcsWh1NT]<9_/G[Tc=BPY8=S#KWP^RW[5`;Q!EYR\TQY5n2OS\?8P.DZS[URX;o1NT]<9_/G[TZVSW=l0IU^=6^,F\UYW\V?:n6KWP34\*@^WW}ybakaalgg[kcsWh1NT]<8_/G[Tc=BPY8:Q!EYR\TQY5n2OS\?9P.DZS[URX;o1NT]<8_/G[TZVSW=;i7HV_26]-A]VX|zcf~h`nmdf\j`rXi2OS\?6P.DZSb>C_X;2T"HV__QV\4c=BPY83S#KWP^RW[47e3LR[>5Q!EYR\pvojzldjahjPndv\e>C_X;3T"HV_f:G[T7?X&LR[S]ZP0g9F\U4>W'OS\R^[_0d8A]V51V$NT]Q_T^0e?@^W:0U%IU^PPU]0b>C_X;3T"HV__QV\0c=BPY82S#KWP^RW[07e3LR[>4Q!EYR\pvojzldjahjPndv\e>C_X::T"HV_f:G[T66X&LR[S]ZP0g9F\U57W'OS\R^[_0d8A]V48V$NT]Q_T^0e?@^W;9U%IU^PPU]0b>C_X::T"HV__QV\0c=BPY9;S#KWP^RW[07e3LR[?=Q!EYR\pvojzldjahjPndv\e>C_X:;T"HV_f:G[T67X&LR[S]ZP0g9F\U56W'OS\R^[_0d8A]V49V$NT]Q_T^0e?@^W;8U%IU^PPU]0b>C_X:;T"HV__QV\04dC_X:8T"HV__QV\14dR JXQ]wwlkumgkfiiQaeu]b?@^W;:U%IU^i;DZS76Y)MQZT\YQ?f:G[T65X&LR[S]ZP1g9F\U54W'OS\R^[_3d8A]V4;V$NT]Q_T^1e?@^W;:U%IU^PPU]75g=BPY98S#KWP^vpmhtbfhgnhR`jt^c8A]V4i;DZS71Y)MQZT\YQ>f:G[T62X&LR[S]ZP2g9F\U53W'OS\R^[_2d8A]V4i;DZS70Y)MQZT\YQ>1c9F\U52W'OS\Rz|ilpfjdkblVdnxRo4EYR02Z(BPYl0IU^<6^,F\UYW\V:m7HV_37]-A]VXX]U:j6KWP24\*@^WWY^T>k5JXQ15[+C_XVZ_S>h4EYR02Z(BPYU[XR:>b:G[T60X&LR[Sy}fmsgmehccWgoSl5JXQ14[+C_Xo1NT]=8_/G[TZVSW9l0IU^<7^,F\UYW\V;m7HV_36]-A]VXX]U9j6KWP25\*@^WWY^T?k5JXQ14[+C_XVZ_S9h4EYR03Z(BPYU[XR;>b:G[T61X&LR[Sy}fmsgmehccWgoS85J_HMA=>CXAFH%\LJn;D]JKGYNJ\L<7HjheWco=>@DDB'BCR?6;GAOO(OHW:30JNBD-HM\0==AKEABCR>7;GAOOLIX:;1MJ55ISS/JKZ343Ndo>6GA3:KM46=NF8>0EC??4:KM542OI9>>0EC?74:KM5<595FN317?LH5<=1BB?;;;HL121=NF;=?7D@=859JJ7?43@D886GA3168MK56;2CE8>5FN418MK043@DOHIJ^%H?Q_T^3g?LIFK]$O>R^[_3f8MJGD\'N9S]ZP3e9JKDES&M8T\YQ;d:KLEFR)L;U[XR;8;HMBGQ(V12CDMNZ!RDE5?LIEM[X37DAMESP-@<=NGKOY^#J>9:KLF@TU&M8n7DAMESP-@7YW\V:n7DAMESP-@7YW\V;n7DAMESP-@7YW\V8n7DAMESP-@7YW\V9n7DAMESP-@7YW\V>n7DAMESP-@7YW\V?37DAMESP-Ud=NGKOY^#\JG058MJDBZ[UdclrdcwaaYg{U?7DAC069JKI6)KL<0EBB?.E58MJJ7&M;<7DAC0/F1g>OHD9$O>R^[_1a8MJJ7&M8T\YQ>6:KLH5(V02CD@= ]EF78MJTBY>1BC_K^.E:8MJTBY'N:46G@RDS-@7bOHZL[%H?Q_T^0g?LIUMX$O>R^[_2f8MJTBY'N9S]ZP4e9JKWCV&M8T\YQ:d:KLV@W)L;U[XR88;HMQAT(V12CD^H_!RDE6?LIU_9=0EB\X0/F;?LIU_9$O=55FOSU3*A4c3@EY[= K2^RW[5bOHZ^:%H?Q_T^1g?LIU_9$O>R^[_5f8MJTP8'N9S]ZP5e9JKWQ7&M8T\YQ97:KLVR6)Y01BC_Y?.SGD53=NG[];Sy}fmbpfeqccWqeyS95FOT24?LIR8'IN:6G@U1,G3>OH]9$O=:5FOT2-@7eOH]9$O>R^[_048MJS7&X20EB[?.SGD<>Oi|Mogmt=4LNA4?II@AJKG86CWSD:8I]UB&gmjo6CnjnpUawunggi0Ad``rWgqwlii?2DNXZA]K29LJ@4VFZ]=0\D@AALGb?UOIWK_XEIVm;QKM[GSTFHGN:6^jrhmg<>Vhz}Zjxe;4R@>3:<=UI5:5"]OK6:PB8469i2XJ0<>1.QCG<>TF48;1<384R@>25;gTF4;427_O32?,SEA389QE939&YKO96\N<7<:?WG;>7$[MI;4R@>4:<=UI5=5"]OK5:PB8=8>3[K743 _AE78VD:>601YM171.QCG=>TFW9UDNXHm;SC\4ZIE]O$Oo6\N_1]LFP@)L8i0^LQ?_N@VB+B5981YMR>POCWE*A4XX]U;=<5]A^2\KGSA&M8T\YQ>d:PB[5YHJ\L%IU^>2:PB[5YHJ\L%IU^PPU]357=UIV:TCO[I.DZS[URX9880^LQ?_N@VB+C_XVZ_S??j;SC\4ZIE]O$NT]Q{shoqakgjmmUeiyQm;SC\4ZIE]O$Z56\N_0]LFP@e3[KT=RAMUG,Gg>TFW8UDNXH!D0a8VDY6WFH^J#J=109QEZ7XGK_M"ITFW:UDNXH!D332?WGX;VEIYK K2^RW[5763[KT?RAMUG,G6ZVSW8h0^LQ<_N@VB+W>3[KT8RAMUG`8VDY3WFH^J#Jl;SC\0ZIE]O$O=n5]A^6\KGSA&M8:=6\N_5]LFP@)L;U[XR>>1:PB[1YHJ\L%H?Q_T^3a?WGXSBLZF/F154=UIV?TCO[I.E0\TQY7981YMR;POCWE*A4XX]U:n6\N_4]LFP@)Y01YMR8POCWEf>TFW?UDNXH!Db9QEZ0XGK_M"I?l;SC\2ZIE]O$O>XGK_M"I<>1:PB[=YHJ\L%H?Q_T^225>TFW1UDNXH!D3]SPZ7e3[KT4RAMUG,R=>TFW0UDNXHm;SC\=ZIE]O$Oo6\N_8]LFP@)L8i0^LQ6_N@VB+B5981YMR7POCWE*A4XX]U;=<5]A^;\KGSA&M8T\YQ>b:PB[5]SU48V``Fkb20^hhNcj,G=>TbnHi`"I?6;SgeEfm)L;k0^hhNcj,F\U`Rnele~xLo4ThofkprF&Mh0XdcjotvB*A7e3]cfib{{A/F155=SadodyyO!D3]SPZ6682^bahazt@,G6ZVSW8;;7YgbenwwE+B5WY^T>l5[ilglqqG)Yj1_e`k`uuC-V@A612^bahazt@]wwlkdzlkiiQwos];?QojmfNl5[ilglqqD)Lk1_e`k`uu@-@4d<\`gncxzM.E024>Rnele~xO K2^RW[5773]cfib{{B/F1[URX98:0XdcjotvA*A4XX]U9m6ZfmdmvpG(Vk2^bahaztC,QAB7>3]cfib{{B^vpmheumh~nhRv`r^a8QVCUW_CXEOBJ9:TJARYSQYO87[ml5:Uj`qn5k2RH58?;4YN.55+SY8:;,%]edf#Bljb2)\n~~g`n!1-4,2^c`VZye`Xjrrklj==_laU_e`kk;Yfk[QojmJbnofj4Xej\PlkbLlfju<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl7?_CUGm1hby!]egAmp969n2iex"\jfBlw858)L8:0ocz Rdd@jq:76'N:==5lnu-QacEi|5:5"I1.SGD5`=df}%YikMat=2=[qunejxnmykk_ymq[a=df}%YikMat=3=b>ei|&XnjN`{<0<-@462:+B6991hby!]egAmp979&M8m7n`{/SgeGkr;97$Z=<5lnu-QacEi|5;5"_KH1d9`jq)UmoIex1?1_uqjiftbi}ooSua}_e9`jq)UmoIex1<1f:amp*TbnJd0?0!D028gkr(ZllHby2=>/F255=df}%YikMat=0=*A4a3jd#_kiCov?6;(V981hby!]egAmp949&[OL=h5lnu-QacEi|585Sy}fmbpfeqccWqeySi5lnu-QacEi|595j6mat.PfbFhs4:4%H<>4cov,V``Df}682#J>119`jq)UmoIex1=1.E0e?fhs'[omOcz33?,R54=df}%YikMat=1=*WC@9l1hby!]egAmp959W}yban|jaugg[}iuWm1hby!]egAmp929n2iex"\jfBlw818)L8:0ocz Rdd@jq:36'N:==5lnu-QacEi|5>5"Iei|&XnjN`{<4<-@466:+B6991hby!]egAmp939&M8m7n`{/SgeGkr;=7$Z=<5lnu-QacEi|5?5"_KH1d9`jq)UmoIex1;1_uqjiftbi}ooSua}_e9`jq)UmoIex181f:amp*TbnJd0;0!D028gkr(ZllHby29>/F255=df}%YikMat=4=*A4a3jd#_kiCov?2;(V981hby!]egAmp909&[OL=h5lnu-QacEi|5<5Sy}fmbpfeqccWqeySi5lnu-QacEi|5=5j6mat.PfbFhs4>4%H<>4cov,V``Df}6<2#J>119`jq)UmoIex191.E0e?fhs'[omOcz37?,R54=df}%YikMat=5=*WC@9l1hby!]egAmp919W}yban|jaugg[}iuWk1hby!]egFlj`b6mat.Vji`ir|KZyi#J=169`jq)SadodyyL_rd,G6ZVSW9;<7n`{/UknajssJYxn"I;blw+QojmfN]|j.P30?fhs']cfib{{BQpf*WC@:91hby![ilglqqDWzlUdclrdcwaaYg{Uh7n} nNtfvig~8l1h"`@vdpoe|6)Lo1h"`@vdpoe|6)L8l0o~!aOwgqhd7&M8:<6m|/oMuawjfq9$NT]?:;bq,jJpbzekr<#KWP^RW[5723jy$bBxjrmcz4+C_XVZ_S?:;bq,jJpbzekr<#KWP^RW[1463jy$bBxjrmcz4+C_XV~xe`|jn`of`Zhb|Vo0o~!aOwgqhd7&X;;7n} nNtfvig~8'XNK0:ap+kIqm{fju< ]EF68aaab02lxn"O\rgc8bvd(IZxm"Il4fr`,EVta&M;i7k}m/@Qqb+B5i2lxn"O\rg,Rg>`tj&KX~k ]EFc8bvd(JLXY<m4fr`,F@TU8{$Oh6h|b.@FVW6u&M;o7k}m/CGQV5t)L;;97k}m/CGQV5t)L;U[XR>>2:dpf*DBZ[:y"Ir/Fg?cue'KOY^<|!D0f8bvd(JLXY= K2008bvd(JLXY= K2^RW[5753oyi#OK]R0p-@7YW\V;h7k}m/CGQV4t)Yl1mo!MESP2v+TBOk1mo!]e`fz4wb`tj&Xnmiw>r/Ff?cue'[ojht?}.E3f?cue'[ojht?}.E027>`tj&Xnmiw>r/F1[URX8890j~l Rdcg}4t)L;U[XR?i;gqa+Wcflp;y"HV_159ewg)Umhnr= JXQ]SPZ66<2lxn"\jae{2v+C_XVZ_S=5isc-Qadb~9{$NT]Q{shoqakgjmmUeiyQk;gqa+Wcflp;y"\h4fr`,V`gcq8x%^HIm;gqa+Wcflp8yh6h|b.Pfea5z'Nn7k}m/Sgb`|4u&M;n7k}m/Sgb`|4u&M8:?6h|b.Pfea5z'N9S]ZP0018bvd(Zlkou?|!D3]SPZ76;2lxn"\jae{1v+B5WY^T><=4fr`,V`gcq;x%H?Q_T^127>`tj&Xnmiw=r/F1[URX<890j~l Rdcg}7t)L;U[XR;k;gqa+Wcflp8y"\h4fr`,V`gcq;x%^HI8;gqa+SVF12lxn"X_A/Fb?cue'_ZJ"I?n;gqa+SVF&M8m7k}m/WRB*A4XX]U;j6h|b.TSE+B5WY^T=k5isc-UTD(C:VZ_S?h4fr`,RUG)L;U[XR=i;gqa+SVF&M8T\YQ;f:dpf*PWI'N9S]ZP5g9ewg)QXH$O>R^[_7;8bvd(^YK%]o5isc-UTD(UMNh0eblb/CGQVw`c3`eia"LJRSpe*Acohjd%OXLMDrs,G6`=ngkg$HYOLKsp-U46ohjd%N_1>1.Ef8mjdj'LY7<3 K1e9jkgk(MZ6;2#J=139jkgk(MZ6;2#J=_QV\444>/F2`>ohjd%N_1?1.E026>ohjd%N_1?1.E0\TQY79;1bcoc ER>2:+B5WY^T=<<4in`n+@U;97$O>R^[_3a8mjdj'LY7=3 ^e:klfh)B[5;5"_KHa:klfh)B[585o6g`bl-FW949&Mn0eblb/DQ?6;(C9m1bcoc ER>1:+B59;1bcoc ER>1:+B5WY^T<<<4in`n+@U;:7$O>R^[_031?liee&OX0?0!D3]SPZ46:2cdn`!JS=0=*A4XX]U8=?5foco,AV:56'N9S]ZP4b9jkgk(MZ692#_j;hmai*CT4;4%^HIn;hmai*CT4:4h7damm.GP868)Lm1bcoc ER>0:+B6l2cdn`!JS=1=*A46:2cdn`!JS=1=*A4XX]U;=?5foco,AV:46'N9S]ZP1008mjdj'LY7?3 K2^RW[7eohjd%N_1=1.SGD5g=ngkg$I^2<>^vpmheumh~nhRv`r^c8mjdj'LY783m4in`n+@U;<7$Oh6g`bl-FW929&M;o7damm.GP818)L;;97damm.GP818)L;U[XR>>2:klfh)B[5>5"I/F1[URX:880eblb/DQ?0;(C:VZ_S>m4in`n+@U;<7$Zi6g`bl-FW929&[OL=o5foco,AV:36V~xe`m}e`vf`Z~hzVk0eblb/DVBGNeohjd%NXLMD.E3g?liee&O_MNE!D3a8mjdj'L^JOF ^e:klfh)B\HI@"_KH7:klfh)Bz01bcoc Es,Ge>ohjd%N~#J>a:klfh)Bz'N956g`bl-Fv+We3`eia"K}.SGD<>ohjd%N~?o4in`n+@t5&Mh0eblb/Dp1*A7e3`eia"K}2/F1e>ohjd%N~? ^c:klfh)Bz;$YIJl4in`n+LIUMXxo7damm.KLV@Wu&Mo0eblb/HMQATt)L8o0eblb/HMQATt)L;n0eblb/HMQATt)Yo1bcoc INPFUw(UMN;:7damm.KLWZ@TEVLMh5foco,MJUXNZGTJKj=.E37?liee&CD_RH\M^DE`7(C98>0eblb/HMP[CUJWOLo>#J=189jkgk(AFYTJ^CPFGf1*A4XX]U;=45foco,MJUXNZGTJKj=.E0\TQY69:1bcoc INQ\BVKXNOn9"\?:;hmai*OH[VLXARHId3,QAB763`eia"G@S^DPIZ@Al:;87damm.KLWZ@TEVLMh> K159jkgk(AFYTJ^CPFGf0*A76<2cdn`!FOR]EWHYANm9%H??6;hmai*OH[VLXARHId2,G6ZVSW9;27damm.KLWZ@TEVLMh> K2^RW[47>3`eia"G@S^DPIZ@Al:$O>R^[_33:?liee&CD_RH\M^DE`6(C:VZ_S>?6;hmai*OH[VLXARHId2,G6ZVSW=;>7damm.KLWZ@TEVLMh> JXQ05?liee&CD_RH\M^DE`6(BPYUdc}eocnaaYim}U:?6g`bl-JKVYA[DUMJi=!Q078mjdj'@EXSK]B_GDg7+TBO8;0eblb/HMP[CUJWOLo8<=4in`n+LITWOYFSKHk4/F20>ohjd%BC^QISL]EBa2)L8;?7damm.KLWZ@TEVLMh9 K20;8mjdj'@EXSK]B_GDg0+B5WY^T<<74in`n+LITWOYFSKHk4/F1[URX9830eblb/HMP[CUJWOLo8#J=_QV\64?%^HIn;hmai*TB[{lh7damm.PFWw`)Lm1bcoc RDQqb+B6l2cdn`!]ERpe*A4d3`eia"\JSsd-U`=ngkg$^H]}f/PFCd=ngkg$^H]}rb9jkgk(ZLYy~#Jk;hmai*TB[{x%Hn5foco,V@Uuz'[n7damm.PFWwt)ZLMj7damm.TSEw`d3`eia"X_Asd-@a=ngkg$Z]O}f/F2`>ohjd%]\L|i.E0`?liee&\[Mh!Qd9jkgk(^YKyj#\JG`9jkgk(^YKy~n5foco,RUGuz'No7damm.TSEwt)L8n0eblb/WRBvw(C:j1bcoc VQCqv+Wb3`eia"X_Asp-V@Ae3`ei"Mce`p2`>ohjz%H`ho}1/Ff?lie{&Igil|>.E3f?lie{&Igil|>.E0e?lie{&Igil|>.DZS51=ngky$Oaknr0,F\UYW\V::86g`br-@h`gu9'OS\R^[_037?lie{&Igil|>.DZS[URX:8>0ebl|/Bnfew7)MQZT\YQ<219jkgu(Keoj~< JXQ]wwlkumgkfiiQaeu]g?lie{&Igil|>.Pd8mjdt'Jfnm?!RDEa?lie{&CDMNZ}d:klfv)NGHI_~#Jj;hmaw*OHIJ^y"I?j;hmaw*OHIJ^y"I=5focq,MJGD\{$NT]Q{shoqakgjmmUeiyQk;hmaw*OHIJ^y"\h4in`p+LIFK]x%^HI6;hmaw*OHD8i0ebl|/HMO5+EBj2cdn~!FOM3-@f=ngky$EBB>.E3`?lie{&CD@< K2c9jkgu(AFF:"\j4in`p+LIK9'XNKl5focq,MJTP9j1bco} INPT5+Bc3`ei"G@RV3-@4b2:klfv)NG[]:"Iohjz%BC_Y>.E0\TQY1k2cdn~!FOSU2*Tcohjz%BC_kndxg8mjdt'@EYiljv.Ed8mjdt'@EYiljv.E3e?lie{&CD^hoky/F151=ngky$EB\jae{-@7YW\V::86g`br-JKWcflp$O>R^[_037?lie{&CD^hoky/F1[URX:8>0ebl|/HMQadb~&M8T\YQohjz%BC_kndx]wwlkdzlkiiQwos]:?lie{&CDY.Pf8mjdt'@E^=#\JG`9jkgu(Dfko=n5focq,Hjgc9'No7dams.Nlea7)L8n0ebl|/Mmb`4(C:880ebl|/Mmb`4(C:VZ_S=?=;hmaw*Jhim;%H?Q_T^326>ohjz%Gclj>.E0\TQY59;1bco} Lncg5+B5WY^T?<<4in`p+Iifl8$O>R^[_531?lie{&Fdmi?!D3]SPZ36:2cdn~!Co`f2*A4XX]U=o6g`br-Okdb6&Xo0ebl|/Mmb`4(UMN30ebl|/Nl`ag=ngky$Ccmj.Ea8mjdt'Fdhi#J>c:klfv)Hfjo%H??>;hmaw*Iikl$O>R^[_132?lie{&Eeoh K2^RW[4763`ei"Aacd,G6ZVSW;;:7dams.Mmg`(C:VZ_S>?>;hmaw*Iikl$O>R^[_532?lie{&Eeoh K2^RW[0763`ei"Aacd,G6ZVSW?h0ebl|/Nl`a+Wc3`ei"Aacd,QAB773`ei"^\_GQN[C@c9880ebl|/QQ\BVKXNOn:"I?<;hmaw*VTWOYFSKHk1/F256=ngky$\^QISL]EBa7)L;;37dams.RP[CUJWOLo=#J=_QV\44>159jkgu(XZUM_@QIFe3-A]V612cdn~!_S^DPIZ@Al8$NT]Q_T^22=>ohjz%[_RH\M^DE`4(BPYU[XR?=5:klfv)W[VLXARHId0,F\UYs{`gyicobee]maqY6:2cdn~!_S^DPIZ@Al8$Z=95focq,TVYA[DUMJi?!RDE24>ohjz%[_RH\M^DE`7753`ei"^\_GQN[C@c:'N:?6g`br-SWZ@TEVLMh? K1018mjdt'YYTJ^CPFGf1*A4602cdn~!_S^DPIZ@Al;$O>R^[_13;?lie{&ZXSK]B_GDg6+B5WY^T=<64in`p+UUXNZGTJKj=.E0\TQY5911bco} PR]EWHYANm8%H?Q_T^12<>ohjz%[_RH\M^DE`7(C:VZ_S9?;;hmaw*VTWOYFSKHk2/G[T73hFLf@H>!P@Ff?kGCg|~GI=Q@BTD24>hFLf@H>POCWE*A763gKOcxzCE1]LFP@)L8;:7cOKotvOA5YHJ\L%H???;oCGkprKM9UDNXH!Q89mEAir|EO:h6`NDnwwH@7)XHNn7cOKotvOA4YHJ\L:<6`NDnwwH@7XGK_M"I?>;oCGkprKM8UDNXH!D032?kGCg|~GI>6:lB@jssDL;TCO[I.E0\TQY6991eMIaztMG2[JDRN'[:>6`NDnwwH@7XGK_M"_KH7:lBWZ@TJk1eM^QISC,SEAehF[VCDNo5aAR]JKG(WIMi0bL]PIN@\KGSAm2dJ_RG@B^MAQC(Cn2dJ_RG@B^MAQC(C9o1eM^QFOC]LFP@)L;;?7cO\_HMA[JDRN'N9S]ZP0068jDUXAFHTCO[I.E0\TQY6m2dJ_RG@B^MAQC(V991eM^QFOC]LFP@)ZLM<7cO`uuMFf>hFg|~DI#^NDd9mEjssGLUDNXH+Qd9mEjssGLUDNXH!Dg9mEjssGLUDNXH!D0d8jDir|FOTCO[I.E024>hFg|~DIRAMUG,F\U463gKdyyAJ_N@VB+C_XV~xe`|jn`of`Zhb|Vo0bLaztNG\KGSA&X30bOK]R^DPFa=iJLXYSK]M.QCGa>hEM[XTJ^LPOCWE55=iJLXYSK]M_N@VB+B692dII_\PFR@\KGSA&M;:=6`MESP\BVDXGK_M"I<>6:lAAWTXNZHTCO[I.E0\TQY79?1eNH\]_GQA[JDRN'N9S]ZP1048jGCUZVLXNRAMUG,G6ZVSW;;97cLJRS]EWGYHJ\L%IU^>7:lAAWTXNZHTCO[I.DZS[URX88=0bOK]R^DPFZIE]O$NT]Q_T^323>hEM[XTJ^LPOCWE*@^WWY^T>?=4nCGQVZ@TJVEIYK JXQ]wwlkumgkfiiQaeu]24>hEM[XTJ^LPOCWE*T?hDIZUDNXH!EYR2a>hDIZUDNXH!EYR\pvojzldjahjPndv\f>hDIZUDNXH!Qe9mGDUXGK_M"_KHa:lGPDELWOYIi6`KT@AH[CUE&YKOj6`KT@AH[CUEWFH^J7:lGPDELWOYISBLZF/F1[URX:8=0bIZNCJ]EWGYHJ\L%H?Q_T^123>hC\HI@SK]M_N@VB+B5WY^T8<94nEVBGNYA[KUDNXH!D3]SPZ36?2dOXLMD_GQA[JDRN'N9S]ZP6038jARFKBUM_OQ@BTD-U45=5aDhlLAZIE]O$NT]Q{shoqakgjmmUeiyQk;oFjjJCXGK_M"\94nEmvpJCe3gNdyyAJ.QCGg>hCg|~DIRAMUGg8jAir|FOTCO[I.Ed8jAir|FOTCO[I.E3e?kBh}}ENSBLZF/F151=iLfCHQ@BTD-@7YW\V::86`KotvLAZIE]O$O>R^[_0g8jAir|FOTCO[I.P33?kBh}}ENSBLZF/PFC==iDMYTJ^Ll;oNGWZ@TJ'ZJHi5aLEQ\BVDXAK_M46`CDR]JKGehKLZUBCOQ@BTDe?kJC[VCDNRAMUG,G55=iDMYTEBLPOCWE*A7682dGH^QFOC]LFP@)L;;>7cBKS^KLFZIE]O$O>R^[_136?kJC[VCDNRAMUG,G6ZVSW8;>7cBKS^KLFZIE]O$O>R^[_336?kJC[VCDNRAMUG,G6ZVSW:l0bAJ\_HMA[JDRN'[:=6`CDR]JKGYHJ\L%^HI<;oMF3>hHM'ZJH55aOD]LFP@f3gENSBLZF/Fa?kIBWFH^J#J>b:lLAZIE]O$O>l5aOD]LFP@)Y>1e^LCCWD`8jWGJD^O%\LJl;oPBIIQBWFH^Jh5aR@OOS@YHJ\L%Hk5aR@OOS@YHJ\L%HhUID_\IRAMUG,G6`=iZHG^[HQ@BTD-U1=iZHY37c\NS/RB@<=iZHYTCO[Ib:lQEVYHJ\L%Hn5aR@Q\KGSA&M;h7c\NS^MAQC(C:m1e^L]POCWE*@^W9;1e^L]POCWE*@^WWY^T<<<4nSCP[JDRN'OS\R^[_031?kTF[VEIYK JXQ]SPZ46:2dYM^Q@BTD-A]VXX]U8=?5aR@Q\KGSA&LR[S]ZP40g8jWGTWFH^J#KWP^vpmhtbfhgnhR`jt^`8jWGTWFH^J#_;;oPFW==iZLY%\LJ6;oPFWZOE]O<0b_ABCRc8jWIJKZ$[MIl4nSMNGVYHJ\Lo7c\@MBQ\KGSA&Mo0b_ABCR]LFP@)L8o0b_ABCR]LFP@)L;;87c\@MBQ\KGSA&M8T\YQ?129mVJKD[VEIYK K2^RW[4`hUGDIXSBLZF/G[TZrtadxnblcjd^lfpZbhRLZUM_Om4nTFP[CUE&YKOh6`ZDR]EWGYNJ\L37c[KS^KLFf=i]MYTEBL!P@Fg?kSC[VCDNRAMUGd8jPBTW@EISBLZF/F24>hRLZUBCOQ@BTD-@4773g_O_RG@B^MAQC(C:8?0bXJ\_HMA[JDRN'N9S]ZP0078jPBTW@EISBLZF/F1[URX98?0bXJ\_HMA[JDRN'N9S]ZP2078jPBTW@EISBLZF/F1[URX;o1eYI]PIN@\KGSA&X;:7c[KS^KLFZIE]O$YIJ64nWOB[LIEk2d]ALQFOC,SEAbhQEHUBCOQ@BTD-A]VXX]U9=;5aVLC\MJDXGK_M"HV__QV\7401eijkkgd58tjublno27ob/CC@Pwd5}al-QEHBN[8$O>R^[_130?wgj'[KFHD]>.E0\TQY69:1ym`!]ALFJW4(C:VZ_S??<;scn+WGJL@Y:"I#KWP328vdk(ZHGOE^tfe&XJAIG\2/Se?wgj'[KFHD]=.SGD5f=uid%YM@JFS3]wwlkdzlkiiQwos]`?wgj'[KF^hokyd9qeh)UIDXnmiw!Dg9qeh)UIDXnmiw!D0d8vdk(ZHGYiljv.E020>tfe&XJA_kndx,G6ZVSW9;?7ob/SCNV`gcq'N9S]ZP1068vdk(ZHGYiljv.E0\TQY59=1ym`!]ALPfea)L;U[XR=>4:pbi*TFE[ojht K2^RW[1733{kf#_OBRdcg}+B5WY^T9<:4r`o,VDKUmhnr"Itfe&XJA_kndx,R55=uid%YM@\jae{-V@A>3{kf#_O\EM`8vdk(ZHYN@#Jl;scn+WGTME$O=n5}al-QEVCK&M8:=6|nm.PBW@J)L;U[XR>>1:pbi*TF[LF%H?Q_T^325>tfe&XJ_HB!D3]SPZ4692xja"\NSDN-@7YW\V9:=6|nm.PBW@J)L;U[XR:>1:pbi*TF[LF%H?Q_T^725>tfe&XJ_HB!D3]SPZ0e3{kf#_O\EM,R`>tfe&XJ_HB!RDEe?wgj'[YTJ^CPFGf254=uid%Y_RH\M^DE`4(C9;1ym`!]S^DPIZ@Al8$O=<<4r`o,VVYA[DUMJi?!D334?wgj'[YTJ^CPFGf2*A4XX]U;=:5}al-QWZ@TEVLMh< K2^RW[4703{kf#_]PFRO\BCb6&M8T\YQ=169qeh)U[VLXARHId0,G6ZVSW:;<7ob/SQ\BVKXNOn:"I_uqjiftbi}ooSua}_g9qeh)U[VLXARHId332?wgj'[YTJ^CPFGf1*A753{kf#_]PFRO\BCb5&M;:>6|nm.PP[CUJWOLo>#J=169qeh)U[VLXARHId3,G6ZVSW9;<7ob/SQ\BVKXNOn9"I1ym`!]S^DPIZ@Al;$O>R^[_534?wgj'[YTJ^CPFGf1*A4XX]U>=:5}al-QWZ@TEVLMh? K2^RW[3763{kf#_]PFRO\BCb5&X;87ob/SQ\BVKXNOn9"_KH219qeh)U[VLXARHId3]wwlkdzlkiiQwos]e?wgj'[YTJ^CPFGf054=uid%Y_RH\M^DE`6(C9;1ym`!]S^DPIZ@Al:$O=<<4r`o,VVYA[DUMJi=!D334?wgj'[YTJ^CPFGf0*A4XX]U;=:5}al-QWZ@TEVLMh> K2^RW[4703{kf#_]PFRO\BCb4&M8T\YQ=169qeh)U[VLXARHId2,G6ZVSW:;<7ob/SQ\BVKXNOn8"I5}al-QWZ@TEVLMh> ]EF03?wgj'[YTJ^CPFGf0[qunejxnmykk_ymq[0=qienqMN781b?EF:;81J7<51zQf:793d9g07fsg8h;7?4n3a;>3=#:j:1>ok4}Rg4>7dc2h0:?9662g8`14g:0yPa=<5jm0j6<=;880e>f36i2|_;;4?:082>1g2sZo36?lk:`8271>>:o0h97}r:;91=6{=2583?x"5j009>=5+23c96gev<6:0g9ylg3290/>?j5929m67e=m21bm?4?:%01`??43g89o7h4;h:2>5<#:;n15>5a23a9<>=n?o0;6)<=d;;0?k45k3307d9k:18'67b=1:1e>?m5a:9j3g<72-89h77<;o01g?d<3`=26=4+23f9=6=i:;i1o65f7683>!45l3387c<=c;f8?l?3290/>?j5929m67e=821b5<4?:%01`??43g89o7?4;h:e>5<#:;n15>5a23a96>=n0m0;6)<=d;;0?k45k3907d66:18'67b=1:1e>?m54:9j<2<72-89h77<;o01g?3<3`2>6=4+23f9=6=i:;i1:65f8283>!45l3387c<=c;58?l2em3:17dk?:188m`4=831b84750;9j123=831b9><50;9j156=831b99l50;9ja1<722c:ml4?::k7`g<722c>;?4?::k;f?6=,;8o64=4n30`>40<3`3=6=4+23f9=6=i:;i1=854i8:94?"5:m02?6`=2b820>=n1h0;6)<=d;;0?k45k3;876g6c;29 74c2090b??j5929m67e=9810el>50;&16a<>;2d9>n4>0:9l10g=831d8nl50;9l0i4=359m67e=j21d>>950;&16a<5;=1e>?m5a:9l66?=83.9>i4=359m67e=121d>>l50;&16a<5;=1e>?m58:9l66b=83.9>i4=359m67e=?21d>>h50;&16a<5;=1e>?m56:9l617=83.9>i4=359m67e==21d>9=50;&16a<5;=1e>?m54:9l613=83.9>i4=359m67e=;21d>9950;&16a<5;=1e>?m52:9l0d`=831d9=h50;9l112=831d9;l50;9l0=>=831d8h650;9l172=831d>>;50;&16a<5;=1e>?m50:9l664=83.9>i4=359m67e=921d85h50;9l140=831d9f;65?!45j3>=76smcb83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:ae6<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;6;?!45j3>376smcc83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a<7<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;70?!45j3?876smac83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a<5<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;1f?!45j39n76sma`83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a3`<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;3g?!45j3;o76sma883>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a3f<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;63?!45j3>;76sma983>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a3d<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;62?!45j3>:76sma683>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a3=<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;76?!45j3?>76sma783>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a=0<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;6`?!45j3>h76smc583>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a=7<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;7`?!45j3?h76smc283>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a=5<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;6e?!45j3>m76smb883>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a<`<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;03?!45j38;76smb983>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:af;1g?!45j39o76smag83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a<=<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;7b?!45j3?j76smad83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a<3<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;72?!45j3?:76smae83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a<1<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;7;?!45j3?376smab83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a0g`=83;1<7>t$3a1>74>3A8i46g=2883>!4d:38956*=1g80<>"5:k08465rb5a3>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8ygc6290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d962=#:;h1>:54}c3a6?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7c=#=>31j6sr}|9~f4ga29096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55G2b38R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6k5+56;9b>{zut1vnh=50;394?6|,;i96?<6;I0a<>o5:00;6)"59o0946*=2c81<>=zj8h:6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1j6*:788e?x{zu2wi=lk50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9b>"2?00m7psr}:a0t$3a1>74>3A8i46g=2883>!4d:38956*=1g871>"5:k0?965rb5;a>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg30>3:1=7>50z&1g7<5:01C>o64i30:>5<#:j81>?74$33e>6e<,;8i6>m4;|`632<72;0;6=u+2b09`f=O:k20e{e=:91<7?50;2x 7e52;827E7<=9:&15c<2n2.9>o4:f:9~f05329096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8L7e63_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;36?!3013;>7psr}:a157=83;1<7>t$3a1>74>3A8i46g=2883>!4d:38956*=1g862>"5:k0>:65rb421>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg33k3:1=7>50z&1g7<5:01C>o64i30:>5<#:j81>?74$33e>72<,;8i6?:4;|`60a<72;0;6=u+2b09`f=O:k20e{em<0;6<4?:1y'6f4=:;30D?l7;h01=?6=,;i96?<6;%02b?4d3-89n7{e9k:1<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:J1g4=Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5f:&63<44?:%0`6?4512.9=k4<5:&16g<4=21vn5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5129'12?=9:1vqps4}c6gg?6=93:17<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a125=83;1<7>t$3a1>74>3A8i46g=2883>!4d:38956*=1g81f>"5:k09n65rb457>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg>d290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d902=#:;h18:54}c`4>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg?0290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d972=#:;h1?:54}ca6>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg?>290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d907=#:;h18?54}ca5>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg?e290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d97d=#:;h1?l54}ca4>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg?c290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d967=#:;h1>?54}ca;>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg?a290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d91`=#:;h19h54}ca:>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8ygg6290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d90d=#:;h18l54}cab>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg32j3:1=7>50z&1g7<5:01C>o64o30:>5<#:j81>?74$33e>75<,;8i6?=4;|`61d<72;0;6=u+2b09`f=O:k20e;|~y>{e7<=9:&15c<4n2.9>o4b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z2h7>51;294~"5k;09>45G2c:8k74>290/>n<523;8 77a2=o0(?o64i0`5>5<#:j81=o84;n01ae81!30i3;:7);89;32?x{zu2wi8n<50;394?6|,;i96?<6;I0a<>i5:00;6)"59o08?6*=2c807>=zj=i:6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1=<5+56;954=zutw0qo:kf;295?6=8r.9o?4=289K6g>:4$30a>62<3th?hh4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?;%74=?763twvq6sm4g594?7=83:p(?m=:30:?M4e02e9>44?:%0`6?4512.9=k4>b:&16g<6j21vn9h9:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5109'12?=981vqps4}c730?6=93:17<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;32?!3013;:7psr}:a11`=83;1<7>t$3a1>74>3A8i46a=2883>!4d:38956*=1g870>"5:k0?865rb46f>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c954=#=>31=<5r}|8yg4493:1=7>50z&1g7<5:01C>o64o30:>5<#:j81>?74$33e>7b<,;8i6?j4;|`175<72;0;6=u+2b09`f=O:k20e;|~y>{e::21<7?50;2x 7e52;827E7<=9:&15c<2<2.9>o4:4:9~f75029096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z51;294~"5k;09>45G2c:8k74>290/>n<523;8 77a2;l0(?o64i0`5>5<#:j81=o84;n01ae81!30i3;:7);89;32?x{zu2wi>>m50;394?6|,;i96?<6;I0a<>i5:00;6)"59o08<6*=2c804>=zj;9i6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1=<5+56;954=zutw0qo<?4$30a>67<3th9?i4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?;%74=?763twvq6sm25294?7=83:p(?m=:30:?M4e02e9>44?:%0`6?4512.9=k4;9:&16g<3121vn?=i:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5109'12?=981vqps4}c076?6=93:17<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;32?!3013;:7psr}:a612=83;1<7>t$3a1>74>3A8i46a=2883>!4d:38956*=1g87`>"5:k0?h65rb360>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c954=#=>31=<5r}|8yg43>3:1=7>50z&1g7<5:01C>o64o30:>5<#:j81>?74$33e>06<,;8i68>4;|`100<72;0;6=u+2b09`f=O:k20e;|~y>{e:=21<7?50;2x 7e52;827E7<=9:&15c<4:2.9>o4<2:9~f72029096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Zi<7>51;294~"5k;09>45G2c:8k74>290/>n<523;8 77a28i0(?o64i0`5>5<#:j81=o84;n01ae81!30i3;:7);89;32?x{zu2wi9<>50;394?6|,;i96?<6;I0a<>i5:00;6)"59o0>;6*=2c863>=zj<:m6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1=<5+56;954=zutw0qo;;5;295?6=8r.9o?4=289K6g>0b<3th>894?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?;%74=?763twvq6sm57a94?7=83:p(?m=:30:?M4e02e9>44?:%0`6?4512.9=k4<9:&16g<4121vn88m:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5109'12?=981vqps4}c6;=?6=93:17<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;32?!3013;:7psr}:a0`?=83;1<7>t$3a1>74>3A8i46a=2883>!4d:38956*=1g82b>"5:k0:j65rb5g;>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c954=#=>31=<5r}|8yg35=3:1=7>50z&1g7<5:01C>o64o30:>5<#:j81>?74$33e>7g<,;8i6?o4;|`661<72;0;6=u+2b09`f=O:k20e;|~y>{e::<1<7?50;2x 7e52;827E7<=9:&15c<5m2.9>o4=e:9~f75229096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z51;294~"5k;09>45G2c:8k74>290/>n<523;8 77a2;?0(?o64i0`5>5<#:j81=o84;n01ae81!30i3;:7);89;32?x{zu2wi84>50;394?6|,;i96?<6;I0a<>i5:00;6)"59o0>>6*=2c866>=zj=2m6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1=<5+56;954=zutw0qo;>7;295?6=8r.9o?4=289K6g>0?<3th>=;4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?;%74=?763twvq6sm50g94?7=83:p(?m=:30:?M4e02e9>44?:%0`6?4512.9=k4:b:&16g<2j21vn8?k:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5109'12?=981vqps4}c702?6=93:17<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;32?!3013;:7psr}:a0d1=83;1<7>t$3a1>74>3A8i46a=2883>!4d:38956*=1g877>"5:k0??65rb5c5>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c954=#=>31=<5r}|8yg32l3:1>7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9;?50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2890(896:018yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c75=?6=>3:1n<51c48R7e328qG>n851zT15`<5s-89j7?m6:T2ea<5s-?g=zutw0eik50;&1g7{M0`2?7|^;;n6?u+23d9``=Q9hn1>v*:7`8a?!3013h0qpsr;hfe>5<#:j81hk5Y2b696~J5k?0:w[<>e;0x 74a2ml0Z;44k;|~H7e22=q]>l<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;8a:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:789~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=l4:7`9~ 0cf2j1vZ?1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:h;0(>7<64:T1g1<5sE8h:7?tV33f>7}#:;l1>4:4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981=1=#;;k19:o4}%7fe?703twv7b<=8;29 7e52;8376sm1c794?7=83:p(?m=:3a:?M4e02e9>54?:%0`6?45021vn?ln:182>5<7s-8h>7<=6:J1f==h:;21<7*=c3816==i7>53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>9k4?:083>5}#:j81>n74H3`;?j4503:1(?m=:30;?>{e=?:1<7=50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=?81<7950;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c952=#=>31=:5r}|8m4b6290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;34?!3013;<7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a135=83=1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c955=#=>31==5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;33?!3013;;7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5169'12?=9>1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9;;50;:94?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628:0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Zo6l;0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m6413:147>50z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m64150z&1g7<5:>1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63dg=zutw0e:18'6f4=9k<0Z?m;:0yO6f0=9r\nn74b63_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi??=50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3<729q/>n<51c18L7d?3-;o;7?6;h3a2?6=,;i961=vB=c782S46m38p(?a=#=>31h6sr}M0`1?2|^;k96>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;l5r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:74}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gh4={Wg:>7}#=>21hk5+33c912gk4=a09U5db=:r.>;l4>7:&63<<6?2wvqAl4:7`9~ 0cf28=0qps4i3;7>5<#:j81>4:4V3a7>7}K:j<1=vX=1d81!45n38286X>ae81!30i3;<7);89;34?x{zD;i>6?650;&1g7<5:110qo==5;297?6=8r.9o?4=bg9K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d4<729q/>n<52b;8L7d?3f8947>5$3a1>74?32wi??950;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c956=#=>31=>5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn86m:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{Q:;o1>vXj9;0x 01?2ml0(>77}#:;l1>l?4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981e4=#;;k19:o4}%7fe?703twv7d<64;29 7e52;3?7[;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47<64:&06d<2?h1v(8kn:058yx{<3th>4?4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi95=50;394?6|,;i96?m6;I0a<>i5:10;6)=zj<2>6=4;:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0m7);89;d8yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj<2=6=46:183!4d:3=>7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5f:&63<b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1j6*:788e?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`822>"2?00::6sr}|9j5fc=83.9o?4>b79K6f7<^;i?6n851zTff?4|,;8m6;W3b`?4|,<=j6<84$45:>40{zut1b=i=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28<0(896:048yx{z3`;o87>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l=1]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;h3`7?6=,;i96ae81!30i3;=7);89;35?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9j5a7=83.9o?4>b79K6f7<^;i?628<0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>6:&63<<6>2wvqp5f1e194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a1=>=83;:6=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;h3`7?6=,;i96ae81!30i3l0(896:g9~yx{o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9b>"2?00m7psr}:k2`7<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5179'12?=9?1vqps4i0f0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?d583>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i:4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h;4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`3=Q9hn1>v*:7`8a?!3013h0qpsr;h3a=?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6jj0;6)P5k=0:wAk4>bb9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a1=?=83;:6=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;h3`7?6=,;i96ae81!30i3l0(896:g9~yx{o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>6:&63<<6>2wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6405Y1`f96~"2?h0::6*:78822>{zut1b=i:50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28<0(896:048yx{z3`;o97>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l<1]=lj52z&63d<6>2.>;44>6:~yx=n9m<1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e48R4gc2;q/9:o5b:&63<b883>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j01]=lj52z&63dg=zutw0e4de3_;jh7g=#=>31n6sr}|9j5ge=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=om4V0cg>7}#=>k1n6*:788a?x{zu2c:ni4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fa=Q9hn1>v*:7`8a?!3013h0qpsr;h3aa?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<1290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;`8 01>2k1vqps4i0af>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f2k1/9:75b:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:b9~R74b2;q]i44={%74e;0x 74a2;k:7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652`38 64f2<=j7p*:e`823>{zu2c9594?:%0`6?4><2\9o94={M0`2?7|^;;n6?u+23d96<2<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7{e;991<7=50;2x 7e52;hm7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo=?4;295?6=8r.9o?4=c89K6g><3th8<;4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj::<6=46:183!4d:3=>7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Zo6l:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c953=#=>31=;5r}|8m4b3290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th8<84?:283>5}#:j81>?94H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8;<50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3<729q/>n<51c18L7d?3-;o;7?6;h3a2?6=,;i961=vB=c782S46m38p(?a=#=>31h6sr}M0`1?2|^;k96>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;l5r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:74}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gn<52`38R7e32;qG>n851zT15`<5s-89j77g63-99m7;8a:'1`g=9>1vqp5f28694?"5k;09595Y2b696~J5k?0:w[<>e;0x 74a2;3?7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652868 64f2<=j7p*:e`823>{zu2e9>54?:%0`6?45021vn98<:180>5<7s-8h>74d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`721<7280;6=u+2b096f?<@;h37b<=8;29 7e52;8376sm47494?5=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d00;694?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dh0;694?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d1<729q/>n<5749K6g>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d1<729q/>n<5749K6g>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d=<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5b:&63<cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63dg=zutw0e4b53_;jh7g=#=>31n6sr}|9j5a5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|```?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~fa3=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d50z&1g7<5jo1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5129'12?=9:1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zjmk1<7850;2x 7e528h87E2\9o94>{M0`2?7|^;;n6?u+23d95g0<^8ko6?u+56c9f>"2?00i7psr}:kga?6=,;i96ik4V3a7>4}K:j<1=vX=1d81!45n3nn7[?nd;0x 01f2k1/9:75b:~yx=nlo0;6)k4kf:T2ea<5s-?a=zutF9o84;{W0b6?5|^l31>v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912g7}#=>21=o84$20b>01>3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36ik4$20b>01f3t.>il4l;|T16`<5s_o26?u+56:9`c=#;;k19:o4}%7fe?7?3twv7d;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998ygeb29086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d554}cf2>5<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2<729q/>n<5749K6g>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z28<0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>6:&63<<6>2wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e4d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`8e?!3013l0qpsr;h3`a?6=,;i96ae81!30i3;=7);89;35?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Zo6l:0;6)P5k=0:wAk4>d29U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{el90;684?:1y'6f4=:;=0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4m;%74=?db683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z1/9:75169~yx{o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c952=#=>31=:5r}|8m4b5290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3tho;7>51083>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m641o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>7:&63<<6?2wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Z1/9:75169~yx{o6l;0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m641o6l<0;6)P5k=0:wAk4>d49U5db=:r.>;l4>7:&63<<6?2wvqp5f1e494?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m<0Z;44m;|~y>o6j00;6)P5k=0:wAk4>b89U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=om50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95ge<^8ko6?u+56c9f>"2?00i7psr}:k2fa<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:ni5Y1`f96~"2?h0i7);89;`8yx{z3`;ii7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3aa>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zjm21<7=50;2x 7e52;8<7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj;:<6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi><:50;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?di5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3<729q/>n<51c18L7d?3-;o;7?6;h3a2?6=,;i961=vB=c782S46m38p(?a=#=>31h6sr}M0`1?2|^;k96>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;l5r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:74}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gn<52`38R7e32;qG>n851zT15`<5s-89j77g63-99m7;8a:'1`g=9>1vqp5f28694?"5k;09595Y2b696~J5k?0:w[<>e;0x 74a2;3?7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652868 64f2<=j7p*:e`823>{zu2e9>54?:%0`6?45021vn?>7:180>5<7s-8h>71=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo<3th95}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th95}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th95}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6>2.>;44>6:~yx=n9m;1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b63_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo4d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5f1e394?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m;0Z<^;i?65<>290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8e?!3013l0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5f1b194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9b>"2?00m7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0m7);89;d8yx{z3`;o?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6>2.>;44>6:~yx=n9m>1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b33_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo<>0;29=?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5f:&63<b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9j5a7=83.9o?4>b79K6f7<^;i?6n851zTff?4|,;8m6{zut1b=i=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28<0(896:048yx{z3`;o87>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th9=<4?:883>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f28<0(896:048yx{z3`;o>7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63d{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`822>"2?00::6sr}|9j5a2=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i:4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f76f29036=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i?50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9f>"2?00i7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0i7);89;`8yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:;?6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?<650;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2890(896:018yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c115?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`065<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb236>5<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c122?6=93:16<729q/>n<5749K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d<<729q/>n<5749K6g>28:0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>7:&63<<6?2wvqp5f1b194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m80Zo6l=0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a2<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>?n:1825?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<682.>;44>0:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh741<,<=26<94}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:h94?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`1=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a3=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g2?6=,;i96P5k=0:wAk4>d79U5db=:r.>;l4>7:&63<<6?2wvqp5f1c;94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k30Z;44m;|~y>o6jh0;6)P5k=0:wAk4>b`9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oj50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gb<^8ko6?u+56c9f>"2?00i7psr}:k2f`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nh5Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c12f?6=980;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{28=0qpsr;h3g7?6=,;i96P5k=0:wAk4>d29U5db=:r.>;l4>0:&63<<682wvqp5f1e694?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=i850;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a0<^8ko6?u+56c952=#=>31=:5r}|8m4d>290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oo50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gg<^8ko6?u+56c9f>"2?00i7psr}:k2fg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:no5Y1`f96~"2?h0i7);89;`8yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;`8 01>2k1vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f2k1/9:75b:~yx=n9ko1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cg8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>7:&63<<6?2wvqp5f1e394?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<94$45:>41o6l:0;6)P5k=0:wAk4>d29U5db=:r.>;l4>7:&63<<6?2wvqp5f1e694?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<@;i:7[o6l?0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a0<^8ko6?u+56c952=#=>31=:5r}|8m4d>290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oo50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gg<^8ko6?u+56c9f>"2?00i7psr}:k2fg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:no5Y1`f96~"2?h0i7);89;`8yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;`8 01>2k1vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f2k1/9:75b:~yx=n9ko1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cg8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>7:&63<<6?2wvqp5f1e394?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<94$45:>41o6l:0;6)P5k=0:wAk4>d29U5db=:r.>;l4>7:&63<<6?2wvqp5f1e694?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=i850;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a0<^8ko6?u+56c952=#=>31=:5r}|8m4d>290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oo50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gg<^8ko6?u+56c9f>"2?00i7psr}:k2fg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:no5Y1`f96~"2?h0i7);89;`8yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;`8 01>2k1vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f2k1/9:75b:~yx=n9ko1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cg8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dae81!30i3h0(896:c9~yx{;44m;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<9:k2f3<72-8h>7?m6:T1g1<6sE8h:7?tV33f>7}#:;l1=o84V0cg>7}#=>k1n6*:788a?x{zu2coi7>5$3a1>ac<^;i?6!4d:3nm7[7=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:o4}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gl<53zTf=?4|,<=36ih4$20b>01f3t\nm7ac<,:8j689n;|&6ad<6:2w]>?k52zTf=?4|,<=36ih4$20b>01f3t.>il4>8:~y>o5i80;6)P5k=09wA?h52`38R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<5i81/??o556c8y!3bi3;<7psr;h0:0?6=,;i96?7;;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0:0>"4:h0>;l5r$4gb>41f283>6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a5c1=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn5<7s-8h>7<=7:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo?i2;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:008yS45m38pZh752z&63=l4:7`9~ 0cf2820qps4i3c2>5<#:j81>l?4V3a7>7}K:j<1=vX=1d81!45n38j=6X>ae81!30i3;<7);89;34?x{zD;i>64:50;&1g7<51=1]>n:52zN1g3<6s_8:i77?33_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>4:4$20b>01f3t.>il4>7:~y>i5:10;6)=zj;;<6=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd59h0;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo<>b;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d4?:1y'6f4=:;=0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:8<1<7=50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a6db=8391<7>t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912gv*:798gb>"4:h0>;l5r$4gb>4>:18'6f4=:h;0Z?m;:3yO6f0=9r\9=h4={%01b?4f92\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?o>;%11e?30i2w/9ho5169~yx=n:0>1<7*=c381=1=Q:j>1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:0>0(>7<=8:9~f7g229086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d3:1=7>50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`1e=<72=0;6=u+2b0930=O:k20e4d03_;jh7c=#=>31j6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`1e<<728;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh740<,<=26<84}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9j5a7=83.9o?4>b79K6f7<^;i?628<0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>6:&63<<6>2wvqp5f1e194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1b=i;50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n>7[?nd;0x 01f28<0(896:048yx{z3`;o:7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;`8 01>2k1vqps4i0`:>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h27[?nd;0x 01f2k1/9:75b:~yx=n9kk1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cc8R4gc2;q/9:o5b:&63<bc83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63dg=zutw0e4dc3_;jh7g=#=>31n6sr}|9j5gc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ok4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`1ed<728;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<@;i:7[o6l?0;6)P5k=0:wAk4>d79U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=ol50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gd<^8ko6?u+56c9f>"2?00i7psr}:k2ff<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nn5Y1`f96~"2?h0i7);89;`8yx{z3`;ih7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a`>P6im09w);8a;`8 01>2k1vqps4i0`f>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hn7[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:ho1<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th9n:4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh745<,<=26<=4}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qob29K6g><,8n<6<74i0`5>5<#:j81=o84V3a7>4}K:j<1=vX=1d81!45n3;i:6X>ae81!30i3h0(896:c9~yx{P5k=0:wA?h5dd9U5db=:r.>;l4m;%74=?dn:52zN1g3<6s_8:i7a`<^8ko6?u+56c9`>"2?00o7psrL3a6>1}Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;45r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:798ga>"4:h0>;l5r$4gb>f=z^;8n6?uYe881!3003nm7)==a;74e>{#=lk1=55r}|9j6d7=83.9o?4=a09U6f2=:rF9o;4>{W02a?4|,;8m6?o>;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96d7<,:8j689n;|&6ad<6?2wvq6g=9583>!4d:38286X=c581I4d>3;pZ??j:3y'67`=:0>0Z1/9:75169~yxJ5k<0:w[;54=959'77g==>k0q);ja;34?x{z3f8947>5$3a1>74?32wi>lh50;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn?l?:182>5<7s-8h>77>54;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z28<0qpsr;n01ae81!30i3h0(896:c9~yx{51083>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Zo6l:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c953=#=>31=;5r}|8m4b3290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;35?!3013;=7psr}:k2`0<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e78R4gc2;q/9:o5179'12?=9?1vqps4i0f5>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k6:T2ea<5s-?b883>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j01]=lj52z&63d<6>2.>;44>6:~yx=n9kk1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cc8R4gc2;q/9:o5b:&63<bc83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63dg=zutw0e4dc3_;jh7g=#=>31n6sr}|9j5gc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ok4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`1f1<728;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<@;i:7[o6l?0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a0<^8ko6?u+56c953=#=>31=;5r}|8m4d>290/>n<51c48R7e328qG>n851zTff?4|,;8m640n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=om50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95ge<^8ko6?u+56c9f>"2?00i7psr}:k2fa<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:ni5Y1`f96~"2?h0i7);89;`8yx{z3`;ii7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3aa>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj;h:6=4;:183!4d:389;6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;h3`7?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a745=8391<7>t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912gv*:798gb>"4:h0>;l5r$4gb>4>:18'6f4=:h;0Z?m;:3yO6f0=9r\9=h4={%01b?4f92\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?o>;%11e?30i2w/9ho5169~yx=n:0>1<7*=c381=1=Q:j>1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:0>0(>7<=8:9~f66e29086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`04`<72=0;6=u+2b0930=O:k20e4d03_;jh7c=#=>31j6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`04c<728;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh740<,<=26<84}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9j5a7=83.9o?4>b79K6f7<^;i?628<0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>6:&63<<6>2wvqp5f1e194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1b=i;50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n>7[?nd;0x 01f28<0(896:048yx{z3`;o:7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l?1]=lj52z&63d<6>2.>;44>6:~yx=n9k31<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c;8R4gc2;q/9:o5b:&63<b`83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jh1]=lj52z&63dg=zutw0e4dd3_;jh7g=#=>31n6sr}|9j5gb=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oj4V0cg>7}#=>k1n6*:788a?x{zu2c:nh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f`=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{51083>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m640{zut1b=n=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28<0(896:048yx{z3`;hi7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6>2.>;44>6:~yx=n9m;1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b63_;jh740<,<=26<84}|~?l7c:3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`822>"2?00::6sr}|9j5a5=83.9o?4>b79K6f7<^;i?628<0qpsr;h3g0?6=,;i96P5k=0:wAk4>d59U5db=:r.>;l4>6:&63<<6>2wvqp5f1e794?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1b=o750;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g?<^8ko6?u+56c9f>"2?00i7psr}:k2fd<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nl5Y1`f96~"2?h0i7);89;`8yx{z3`;in7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3af>P6im09w);8a;`8 01>2k1vqps4i0``>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hh7[?nd;0x 01f2k1/9:75b:~yx=n9kn1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cf8R4gc2;q/9:o5b:&63<bd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jl1]=lj52z&63dg=zutw0qo=?d;290?6=8r.9o?4=269K6g>;44m;|~y>o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4m;%74=?d7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:936=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?>l50;494?6|,;i96"6l>0:56g>b783>!4d:3;i:6X=c582I4d>3;pZ??j:3y'67`=9k<0Z;44m;|~y>ocm3:1(?m=:eg8R7e328qG>n851zT15`<5s-89j7jj;W3b`?4|,<=j6o5+56;9f>{zut1bhk4?:%0`6?ba3_8h874}Q:8o1>v*=2g8gb>P6im09w);8a;f8 01>2m1vqpB=c487S4f:39pZh752z&63=l4:7`9~R`g=:r.>;54>b79'77g==>k0q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=27p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>=lo1/??o556c8y!3bi3;37psr;h0b5?6=,;i96?o>;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0b5>"4:h0>;l5r$4gb>410Z?m;:3yO6f0=9r\9=h4={%01b?4><2\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?7;;%11e?30i2w/9ho5169~yx=h:;21<7*=c3816==7>53;294~"5k;09nk5G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn>=::180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4i;%74=?`{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a760=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c103?6=>3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5f:&63<c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1j6*:788e?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8e?!3013l0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;:>1<7:50;2x 7e52;8<7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6?2.>;44>7:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5169'12?=9>1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28=0(896:058yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?d283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`006<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb21g>5<4290;w)N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dt$3a1>23<@;h37d?m7;29 7e528h=7[n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th88<4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:9m6=4<:183!4d:389;6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>:l:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{Q:;o1>vXj9;0x 01?2ml0(>77}#:;l1>l?4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981e4=#;;k19:o4}%7fe?703twv7d<64;29 7e52;3?7[;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47<64:&06d<2?h1v(8kn:058yx{<3th8884?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<6290;w)N5j11d>?650;&1g7<5:110qo=;8;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5f:&63<b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f62>290=6=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z;44i;|~y>o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a71g=83=1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;d8 01>2o1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f2o1/9:75f:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh740<,<=26<84}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`822>"2?00::6sr}|9j5a4=83.9o?4>b79K6f7<^;i?628<0qpsr;n01ae81!30i3h0(896:c9~yx{54;294~"5k;09>:5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c17`?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f63429096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;2\9o94>{M0`2?7|^;;n6?u+23d95g0<^8ko6?u+56c9f>"2?00i7psr}:kga?6=,;i96ik4V3a7>4}K:j<1=vX=1d81!45n3nn7[?nd;0x 01f2k1/9:75b:~yx=nlo0;6)k4kf:T2ea<5s-?a=zutF9o84;{W0b6?5|^l31>v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912g7}#=>21=o84$20b>01>3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36ik4$20b>01f3t.>il4l;|T16`<5s_o26?u+56:9`c=#;;k19:o4}%7fe?7?3twv7d;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998yg53m3:1?7>50z&1g7<5jo1C>o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?9h50;394?6|,;i96?m6;I0a<>i5:10;6)=zj:?:6=4<:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:?96=4<:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0m7);89;d8yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628=0qpsr;n01ae81!30i3h0(896:c9~yx{97>52083>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Z28:0qpsr;h3g6?6=,;i966X>ae81!30i3;;7);89;33?x{zu2c:h>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a2=83.9o?4>b79K6f7<^;i?628:0qpsr;h3g1?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h;4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`3=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o74V0cg>7}#=>k1==5+56;955=zutw0e4df3_;jh746<,<=26<>4}|~?l7ej3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mb:T2ea<5s-?bb83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jj1]=lj52z&63d<682.>;44>0:~yx=n9kn1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cf8R4gc2;q/9:o5119'12?=991vqps4i0`f>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?me:T2ea<5s-?bg83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=oh4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:o<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j;0Z1/9:75169~yx{0Z;44m;|~y>o6k<0;6)P5k=0:wAk4>c49U5db=:r.>;l4m;%74=?d2\:mi4={%74e?d<,<=26o5r}|8m4e0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f><^8ko6?u+56c9f>"2?00i7psr}:k2g<<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o45Y1`f96~"2?h0i7);89;`8yx{z3`;hm7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`e>P6im09w);8a;`8 01>2k1vqps4i0aa>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ii7[?nd;0x 01f2k1/9:75b:~yx=n9ji1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51ba8R4gc2;q/9:o5b:&63<ce83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6km1]=lj52z&63dg=zutw0e4b73_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?8850;02>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1==5+56;955=zutw0e4b43_;jh746<,<=26<>4}|~?l7c<3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`1=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a3=83.9o?4>b79K6f7<^;i?628:0qpsr;h3g2?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f<=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gg=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oo4V0cg>7}#=>k1==5+56;955=zutw0e4de3_;jh746<,<=26<>4}|~?l7ek3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mc:T2ea<5s-?be83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jm1]=lj52z&63d<682.>;44>0:~yx=n9ko1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cg8R4gc2;q/9:o5169'12?=9>1vqps4i0`e>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?mf:T2ea<5s-?c183>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n>4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:o?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g7=Q9hn1>v*:7`8a?!3013h0qpsr;h3`0?6=,;i96ae81!30i3h0(896:c9~yx{6=4+2b095g0<^;i?6;44m;|~y>o6k>0;6)P5k=0:wAk4>c69U5db=:r.>;l4m;%74=?d290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=no50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fg<^8ko6?u+56c9f>"2?00i7psr}:k2gg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oo5Y1`f96~"2?h0i7);89;`8yx{z3`;ho7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`g>P6im09w);8a;`8 01>2k1vqps4i0ag>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28io7[?nd;0x 01f2k1/9:75b:~yx=n9jl1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bd8R4gc2;q/9:o5b:&63<d183>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l91]=lj52z&63dg=zutw0qo=:7;2964<729q/>n<5749K6g>28:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z28:0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>0:&63<<682wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=i:50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28:0(896:028yx{z3`;o97>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l<1]=lj52z&63d<682.>;44>0:~yx=n9m<1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e48R4gc2;q/9:o5119'12?=991vqps4i0`:>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h27[?nd;0x 01f28:0(896:028yx{z3`;im7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ae>P6im09w);8a;33?!3013;;7psr}:k2fg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:no5Y1`f96~"2?h0:<6*:78824>{zut1b=om50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95ge<^8ko6?u+56c955=#=>31==5r}|8m4dc290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6jo0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g`<^8ko6?u+56c955=#=>31==5r}|8m4e7290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`4>P6im09w);8a;34?!3013;<7psr}:k2g4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b38R4gc2;q/9:o5169'12?=9>1vqps4i0a1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i97[?nd;0x 01f2k1/9:75b:~yx=n9j>1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b68R4gc2;q/9:o5b:&63<c483>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k<1]=lj52z&63d3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l6:T2ea<5s-?g=zutw0e4e03_;jh7g=#=>31n6sr}|9j5f>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n64V0cg>7}#=>k1n6*:788a?x{zu2c:o44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g<=Q9hn1>v*:7`8a?!3013h0qpsr;h3`e?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6km0;6)P5k=0:wAk4>ce9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a70>=83?1<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0e4e43_;jh7g=#=>31n6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`01g<72;0;6=u+2b09`f=O:k20e3:1:7>50z&1g7<6j:1C>o64$0f4>4?P6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n01t$3a1>7da3A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{h7>51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd4=o0;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d90;6??50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3l0(896:g9~yx{;44i;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4i;%74=?`n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c9b>"2?00m7psr}:k2`1<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e68R4gc2;q/9:o5f:&63<d483>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l<1]=lj52z&63d3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k6:T2ea<5s-?c=zutw0e4d>3_;jh7c=#=>31j6sr}|9j5gg=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oo4V0cg>7}#=>k1j6*:788e?x{zu2c:no4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fg=Q9hn1>v*:7`8e?!3013l0qpsr;h3ag?6=,;i96ae81!30i3l0(896:g9~yx{n851zTff?4|,;8m6405$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k91]=lj52z&63d<6>2.>;44>6:~yx=n9j;1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e63_;jh740<,<=26<84}|~?l7d:3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l2:T2ea<5s-?g=zutw0e4e33_;jh7g=#=>31n6sr}|9j5f3=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n;4V0cg>7}#=>k1n6*:788a?x{zu2c:o;4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g3=Q9hn1>v*:7`8a?!3013h0qpsr;h3`3?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6kh0;6)P5k=0:wAk4>c`9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nj50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fb<^8ko6?u+56c9f>"2?00i7psr}:k2gc<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:ok5Y1`f96~"2?h0i7);89;`8yx{z3`;o<7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g4>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:<:6=4=1;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;h3`7?6=,;i96ae81!30i3l0(896:g9~yx{;44i;|~y>o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4i;%74=?`n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;d8 01>2o1vqps4i0f6>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k5:T2ea<5s-?c=zutw0e4b13_;jh7c=#=>31j6sr}|9j5g?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o74V0cg>7}#=>k1j6*:788e?x{zu2c:nl4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fd=Q9hn1>v*:7`8e?!3013l0qpsr;h3af?6=,;i96ae81!30i3l0(896:g9~yx{;44i;|~y>o6jl0;6)P5k=0:wAk4>bd9U5db=:r.>;l4>6:&63<<6>2wvqp5f1cd94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6{zut1b=n>50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i;7[?nd;0x 01f28<0(896:048yx{z3`;h=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k81]=lj52z&63d<6>2.>;44>6:~yx=n9j81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b08R4gc2;q/9:o5b:&63<c583>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k=1]=lj52z&63dg=zutw0e4e13_;jh7g=#=>31n6sr}|9j5f1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n94V0cg>7}#=>k1n6*:788a?x{zu2c:o54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g==Q9hn1>v*:7`8a?!3013h0qpsr;h3`=?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6kj0;6)P5k=0:wAk4>cb9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i>50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a6<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th8:?4?:3394?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e4d?3_;jh7c=#=>31j6sr}|9j5f5=83.9o?4>b79K6f7<^;i?6;44i;|~y>o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9b>"2?00m7psr}:k2`7<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5f:&63<d283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1j6*:788e?x{zu2c:h94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m>0Z;44i;|~y>o6l<0;6)P5k=0:wAk4>d49U5db=:r.>;l4i;%74=?`2\:mi4={%74e?`<,<=26k5r}|8m4d>290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oo50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gg<^8ko6?u+56c9b>"2?00m7psr}:k2fg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:no5Y1`f96~"2?h0m7);89;d8yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;d8 01>2o1vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f2o1/9:75f:~yx=n9ko1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74db3_;jh740<,<=26<84}|~?l7en3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82fc=Q9hn1>v*:7`8e?!3013l0qpsr;h3`4?6=,;i96P5k=0:wAk4>c19U5db=:r.>;l4>6:&63<<6>2wvqp5f1b394?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<84$45:>40n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n;50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f3<^8ko6?u+56c9f>"2?00i7psr}:k2g3<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o;5Y1`f96~"2?h0i7);89;`8yx{z3`;h;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`3>P6im09w);8a;`8 01>2k1vqps4i0a;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i37[?nd;0x 01f2k1/9:75b:~yx=n9j31<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b;8R4gc2;q/9:o5b:&63<c`83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kh1]=lj52z&63dg=zutw0e4ed3_;jh7g=#=>31n6sr}|9j5fb=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nj4V0cg>7}#=>k1n6*:788a?x{zu2c:ok4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gc=Q9hn1>v*:7`8a?!3013h0qpsr;h3g4?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<593:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0m7);89;d8yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63dc=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;d8 01>2o1vqps4i0f7>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k4:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{;44i;|~y>o6jh0;6)P5k=0:wAk4>b`9U5db=:r.>;l4i;%74=?`n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oj50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gb<^8ko6?u+56c9b>"2?00m7psr}:k2f`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nh5Y1`f96~"2?h0::6*:78822>{zut1b=oh50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28hm7[?nd;0x 01f2o1/9:75f:~yx=n9j:1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e73_;jh740<,<=26<84}|~?l7d93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g4=Q9hn1>v*:7`822>"2?00::6sr}|9j5f4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n<4V0cg>7}#=>k1n6*:788a?x{zu2c:o94?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g1=Q9hn1>v*:7`8a?!3013h0qpsr;h3`1?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6k10;6)P5k=0:wAk4>c99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nl50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fd<^8ko6?u+56c9f>"2?00i7psr}:k2gf<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:on5Y1`f96~"2?h0i7);89;`8yx{z3`;hh7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3``>P6im09w);8a;`8 01>2k1vqps4i0ae>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28im7[?nd;0x 01f2k1/9:75b:~yx=n9m:1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e28R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d=0;6??50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3l0(896:g9~yx{n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c9b>"2?00m7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5f:&63<d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1j6*:788e?x{zu2c:h>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m90Z;44i;|~y>o6l=0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a2<^8ko6?u+56c9b>"2?00m7psr}:k2`0<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h85Y1`f96~"2?h0m7);89;d8yx{z3`;o:7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;d8 01>2o1vqps4i0`:>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h27[?nd;0x 01f2o1/9:75f:~yx=n9kk1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cc8R4gc2;q/9:o5f:&63<bc83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63dc=zutw0e4dc3_;jh7c=#=>31j6sr}|9j5gc=83.9o?4>b79K6f7<^;i?628<0qpsr;h3ab?6=,;i96P5k=0:wAk4>bg9U5db=:r.>;l4i;%74=?`{zut1b=n?50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i:7[?nd;0x 01f28<0(896:048yx{z3`;h>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`6>P6im09w);8a;`8 01>2k1vqps4i0a7>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i?7[?nd;0x 01f2k1/9:75b:~yx=n9j?1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b78R4gc2;q/9:o5b:&63<c783>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k?1]=lj52z&63dg=zutw0e4e?3_;jh7g=#=>31n6sr}|9j5f?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n74V0cg>7}#=>k1n6*:788a?x{zu2c:ol4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gd=Q9hn1>v*:7`8a?!3013h0qpsr;h3`f?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6ko0;6)P5k=0:wAk4>cg9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>;j:184>5<7s-8h>7<=7:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0e4eb3_;jh7g=#=>31n6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1n6*:788a?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>9<:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{Q:;o1>vXj9;0x 01?2ml0(>77}#:;l1>l?4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981e4=#;;k19:o4}%7fe?703twv7d<64;29 7e52;3?7[;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47<64:&06d<2?h1v(8kn:058yx{<3th8:54?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?;750;394?6|,;i96?m6;I0a<>i5:10;6)=zj:7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0::6*:78822>{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th8:h4?:583>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m640o6k:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>8i:187>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zi5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d0<729q/>n<5749K6g>28<0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;>;1<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4i;%74=?`o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64050z&1g7<5:>1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63dg=zutw0e:18'6f4=9k<0Z?m;:0yO6f0=9r\nn74b63_;jh7g=#=>31n6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1n6*:788a?x{zu2c:h>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{9i7>52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9=;:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{#=lk1=55r}|9j6d7=83.9o?4=a09U6f2=:rF9o;4>{W02a?4|,;8m6?o>;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96d7<,:8j689n;|&6ad<6?2wvq6g=9583>!4d:38286X=c581I4d>3;pZ??j:3y'67`=:0>0Z1/9:75169~yxJ5k<0:w[;54=959'77g==>k0q);ja;34?x{z3f8947>5$3a1>74?32wi8>>50;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9=>:182>5<7s-8h>78>7>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?6n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a07`=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e<:?1<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?8?4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh745<,<=26<=4}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:;1;292?6=8r.9o?4>b29K6g><,8n<6<74i0`5>5<#:j81=o84V3a7>4}K:j<1=vX=1d81!45n3;i:6X>ae81!30i3h0(896:c9~yx{P5k=0:wA?h5dd9U5db=:r.>;l4m;%74=?dn:52zN1g3<6s_8:i7a`<^8ko6?u+56c9`>"2?00o7psrL3a6>1}Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;45r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:798ga>"4:h0>;l5r$4gb>f=z^;8n6?uYe881!3003nm7)==a;74e>{#=lk1=55r}|9j6d7=83.9o?4=a09U6f2=:rF9o;4>{W02a?4|,;8m6?o>;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96d7<,:8j689n;|&6ad<6?2wvq6g=9583>!4d:38286X=c581I4d>3;pZ??j:3y'67`=:0>0Z1/9:75169~yxJ5k<0:w[;54=959'77g==>k0q);ja;34?x{z3f8947>5$3a1>74?32wi8>850;194?6|,;i96?li;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c603?6=93:16<729q/>n<5749K6g>o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9=n:180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<4290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44i;|~y>o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9b>"2?00m7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th??h4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9=i:187>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0m7);89;d8yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<=83:p(?m=:304?M4e02c:n:4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{;44m;|~y>o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a015=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d4?:1y'6f4=:kl0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{2890qpsr;n01ae81!30i3h0(896:c9~yx{?o7>56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:b9~R74b2;q]i44={%74e;0x 74a2;k:7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652`38 64f2<=j7p*:e`823>{zu2c9594?:%0`6?4><2\9o94={M0`2?7|^;;n6?u+23d96<2<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7{e<=>1<7=50;2x 7e52;hm7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=>>6=4>:183!4d:38h56F=b99l67>=83.9o?4=2998yg23?3:187>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44i;|~y>o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48R7e328qG>n851zTff?4|,;8m64050z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e<=31<7650;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zn<51c48R7e328qG>n851zTff?4|,;8m640{zut1b=i<50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28<0(896:048yx{z3`;o?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28<0(896:048yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;35?!3013;=7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5179'12?=9?1vqps4i0f1>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?d283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f121290>6=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a01c=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912g;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998yg2283:1?7>50z&1g7<5jo1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dt$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo:;f;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c7:e?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f0g429096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=h=1<7=50;2x 7e52;hm7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;30?!3013;87psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>m;4?:783>5}#:j81=o=4H3`;?!7c?3;27d?m6;29 7e528h=7[n<5dd9U6f2=9rF9o;4>{W02a?4|,;8m6ik4V0cg>7}#=>k1n6*:788a?x{zu2coj7>5$3a1>a`<^;i?6?uC2b495~P59l09w)<=f;fe?S7fl38p(89n:e9'12?=l2wvqAl4:7`9~R`g=:r.>;54>b79'77g==>30q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:65dd9'77g==>k0q);ja;a8yS45m38pZh752z&63=l4:7`9~ 0cf2820qps4i3c2>5<#:j81>l?4V3a7>7}K:j<1=vX=1d81!45n38j=6X>ae81!30i3;<7);89;34?x{zD;i>64:50;&1g7<51=1]>n:52zN1g3<6s_8:i77?33_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>4:4$20b>01f3t.>il4>7:~y>i5:10;6)=zj<3i6=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd21l0;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4i;%74=?`o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Z28<0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>6:&63<<6>2wvqp5f1e194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m90Z28<0qpsr;h3g1?6=,;i96ae81!30i3;=7);89;35?x{zu2c:h;4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m<0Z;44m;|~y>o6jk0;6)P5k=0:wAk4>bc9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=ok50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gc<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>m<4?:0394?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5179'12?=9?1vqps4i0af>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28<0(896:048yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;35?!3013;=7psr}:k2`7<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5179'12?=9?1vqps4i0f0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28<0(896:048yx{z3`;o87>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;35?!3013;=7psr}:k2`0<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h85Y1`f96~"2?h0::6*:78822>{zut1b=i850;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n=7[?nd;0x 01f28<0(896:048yx{z3`;i57>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a=>P6im09w);8a;`8 01>2k1vqps4i0`b>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hj7[?nd;0x 01f2k1/9:75b:~yx=n9kh1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c`8R4gc2;q/9:o5b:&63<bb83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jj1]=lj52z&63dg=zutw0e4db3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9l<50;32>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5f:&63<c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh7c=#=>31j6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=66X>ae81!30i3;=7);89;35?x{zu2c:h>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m90Zo6l<0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a3<^8ko6?u+56c953=#=>31=;5r}|8m4b1290/>n<51c48R7e328qG>n851zTff?4|,;8m640o6jh0;6)P5k=0:wAk4>b`9U5db=:r.>;l4>6:&63<<6>2wvqp5f1c`94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=ok50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gc<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>5i4?:783>5}#:j81>?94H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1n6*:788a?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8a?!3013h0qpsr;h3g5?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<2290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Zo6k:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c955=#=>31==5r}|8m4eb290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>467>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=nj6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8i750;494?6|,;i96"6l>0:56g>b783>!4d:3;i:6X=c582I4d>3;pZ??j:3y'67`=9k<0Z;44m;|~y>ocm3:1(?m=:eg8R7e328qG>n851zT15`<5s-89j7jj;W3b`?4|,<=j6o5+56;9f>{zut1bhk4?:%0`6?ba3_8h874}Q:8o1>v*=2g8gb>P6im09w);8a;f8 01>2m1vqpB=c487S4f:39pZh752z&63=l4:7`9~R`g=:r.>;54>b79'77g==>k0q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=27p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>=lo1/??o556c8y!3bi3;37psr;h0b5?6=,;i96?o>;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0b5>"4:h0>;l5r$4gb>410Z?m;:3yO6f0=9r\9=h4={%01b?4><2\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?7;;%11e?30i2w/9ho5169~yx=h:;21<7*=c3816==hi7>53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?ok4?:083>5}#:j81>n74H3`;?j4503:1(?m=:30;?>{e?0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e?0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e?0D?l7;h3a3?6=,;i96ae81!30i3l0(896:g9~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6<729q/>n<5749K6g>28<0qpsr;h3aae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7`0<72:0;6=u+2b0930=O:k20e4d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?h:4?:583>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?h=4?:983>5}#:j81>?94H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1n6*:788a?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8a?!3013h0qpsr;h3g5?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c7`5?6=>3:1n<51c48R7e328qG>n851zT15`<5s-89j7?m6:T2ea<5s-?g=zutw0eik50;&1g7{M0`2?7|^;;n6?u+23d9``=Q9hn1>v*:7`8a?!3013h0qpsr;hfe>5<#:j81hk5Y2b696~J5k?0:w[<>e;0x 74a2ml0Z;44k;|~H7e22=q]>l<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;8a:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:789~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=l4:7`9~ 0cf2j1vZ?1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:h;0(>7<64:T1g1<5sE8h:7?tV33f>7}#:;l1>4:4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981=1=#;;k19:o4}%7fe?703twv7b<=8;29 7e52;8376sm5c494?5=83:p(?m=:3`e?M4e02c:n:4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<6290;w)N5j11d>?650;&1g7<5:110qo;m9;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo;ma;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo;mb;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo;md;293?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5f:&63<b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1j6*:788e?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Zo6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c953=#=>31=;5r}|8m4b5290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>nh4?:683>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f0da29036=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5f1e394?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m;0Z28<0qpsr;h3g7?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6f=<7210;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f2k1/9:75b:~yx=n9m91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c956=#=>31=>5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn8jn:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{Q:;o1>vXj9;0x 01?2ml0(>77}#:;l1>l?4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981e4=#;;k19:o4}%7fe?703twv7d<64;29 7e52;3?7[;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47<64:&06d<2?h1v(8kn:058yx{<3th>ok4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<6290;w)N5j11d>?650;&1g7<5:110qo;k2;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo;k3;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo;k4;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0e4e43_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo;k6;291?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`a?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6`2<72<0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=m21<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6405Y1`f96~"2?h0::6*:78822>{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn8j>:18;>5<7s-8h>7<=7:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0e4eb3_;jh7g=#=>31n6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1n6*:788a?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`8a?!3013h0qpsr;h3g7?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a7cb=83<1<7>t$3a1>4d43A8i46*>d682=>o6j?0;6)P5k=0:wA?h51c48R4gc2;q/9:o5b:&63<g=#=>31n6sr}|9j`c<72-8h>7ji;W0`0?4|D;i=6ae81!30i3n0(896:e9~yxJ5k<0?w[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556c8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?2mo0(>2;q/9:65dg9'77g==>k0q);ja;3;?x{z3`8j=7>5$3a1>7g63_8h874}Q:8o1>v*=2g81e4=Q9hn1>v*:7`823>"2?00:;6sr}M0`1?7|^;hi6?uYe881!30038j=6*<2`863d=z,n<52868R7e32;qG>n851zT15`<5s-89j7<64:T2ea<5s-?7?33-99m7;8a:'1`g=9>1vqp5`23:94?"5k;09>554}c1e1?6=;3:1;W0`0?7|D;i=6ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;o<1<7?50;2x 7e52;i27E7<=8:9~f6`?290?6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1e=?6=<3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:lj6=4::183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0m7);89;d8yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh740<,<=26<84}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?kl50;794?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64050z&1g7<5:>1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f0e529096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=jn1<7=50;2x 7e52;hm7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;30?!3013;87psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>on4?:783>5}#:j81=o=4H3`;?!7c?3;27d?m6;29 7e528h=7[n<5dd9U6f2=9rF9o;4>{W02a?4|,;8m6ik4V0cg>7}#=>k1n6*:788a?x{zu2coj7>5$3a1>a`<^;i?6?uC2b495~P59l09w)<=f;fe?S7fl38p(89n:e9'12?=l2wvqAl4:7`9~R`g=:r.>;54>b79'77g==>30q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:65dd9'77g==>k0q);ja;a8yS45m38pZh752z&63=l4:7`9~ 0cf2820qps4i3c2>5<#:j81>l?4V3a7>7}K:j<1=vX=1d81!45n38j=6X>ae81!30i3;<7);89;34?x{zD;i>64:50;&1g7<51=1]>n:52zN1g3<6s_8:i77?33_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>4:4$20b>01f3t.>il4>7:~y>i5:10;6)=zjb79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd2k?0;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>o:4?:883>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c=zutw0e4e43_;jh740<,<=26<84}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8e?!3013l0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>6:&63<<6>2wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6405Y1`f96~"2?h0::6*:78822>{zut1b=i:50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c7`N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh7c=#=>31j6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0e4eb3_;jh740<,<=26<84}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`822>"2?00::6sr}|9j5a4=83.9o?4>b79K6f7<^;i?628<0qpsr;h3g7?6=,;i96P5k=0:wAk4>d29U5db=:r.>;l4>6:&63<<6>2wvqp5f1e694?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e4d?3_;jh7c=#=>31j6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1j6*:788e?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Zn<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;35?!3013;=7psr}:k2`6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5179'12?=9?1vqps4i0f7>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k4:T2ea<5s-?d483>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i;4V0cg>7}#=>k1=;5+56;953=zutw0e4b13_;jh7g=#=>31n6sr}|9j5g?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o74V0cg>7}#=>k1n6*:788a?x{zu2c:nl4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fd=Q9hn1>v*:7`8a?!3013h0qpsr;h3af?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6jl0;6)P5k=0:wAk4>bd9U5db=:r.>;l4m;%74=?do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e4d?3_;jh7c=#=>31j6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1j6*:788e?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z28<0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>6:&63<<6>2wvqp5f1e194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1b=i;50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n>7[?nd;0x 01f28<0(896:048yx{z3`;o:7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;`8 01>2k1vqps4i0`:>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h27[?nd;0x 01f2k1/9:75b:~yx=n9kk1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cc8R4gc2;q/9:o5b:&63<bc83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63dg=zutw0e4dc3_;jh7g=#=>31n6sr}|9j5gc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ok4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6g0<72?0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9h750;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?di5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3<729q/>n<51c18L7d?3-;o;7?6;h3a2?6=,;i961=vB=c782S46m38p(?a=#=>31h6sr}M0`1?2|^;k96>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;l5r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:74}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gn<52`38R7e32;qG>n851zT15`<5s-89j77g63-99m7;8a:'1`g=9>1vqp5f28694?"5k;09595Y2b696~J5k?0:w[<>e;0x 74a2;3?7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652868 64f2<=j7p*:e`823>{zu2e9>54?:%0`6?45021vn8jk:180>5<7s-8h>74d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6``<7280;6=u+2b096f?<@;h37b<=8;29 7e52;8376sm5d294?5=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6a6<72?0;6=u+2b0930=O:k20e4d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5f1e394?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m;0Z<^;i?65<1290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a1`3=83<1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh740<,<=26<84}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9j5a7=83.9o?4>b79K6f7<^;i?628<0qpsr;n01ae81!30i3h0(896:c9~yx{58;294~"5k;09>:5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0i7);89;`8yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;`8 01>2k1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f2k1/9:75b:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5b:&63<d283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63dg=zutw0qo=j7;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a7c5=83<1<7>t$3a1>4d43A8i46*>d682=>o6j?0;6)P5k=0:wA?h51c48R4gc2;q/9:o5b:&63<g=#=>31n6sr}|9j`c<72-8h>7ji;W0`0?4|D;i=6ae81!30i3n0(896:e9~yxJ5k<0?w[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556c8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?2mo0(>2;q/9:65dg9'77g==>k0q);ja;3;?x{z3`8j=7>5$3a1>7g63_8h874}Q:8o1>v*=2g81e4=Q9hn1>v*:7`823>"2?00:;6sr}M0`1?7|^;hi6?uYe881!30038j=6*<2`863d=z,n<52868R7e32;qG>n851zT15`<5s-89j7<64:T2ea<5s-?7?33-99m7;8a:'1`g=9>1vqp5`23:94?"5k;09>554}c1f;W0`0?7|D;i=6ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;l31<7?50;2x 7e52;i27E7<=8:9~f6ce29086=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f6cd29086=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0aa<72=0;6=u+2b0930=O:k20e4d03_;jh7c=#=>31j6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0a`<72<0;6=u+2b0930=O:k20e4d03_;jh7c=#=>31j6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96ae81!30i3;=7);89;35?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?hh50;794?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`822>"2?00::6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f6cf290=6=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i?50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th8j<4?:683>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>7:&63<<6?2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;ml1<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th8i;4?:783>5}#:j81=o=4H3`;?!7c?3;27d?m6;29 7e528h=7[n<5dd9U6f2=9rF9o;4>{W02a?4|,;8m6ik4V0cg>7}#=>k1n6*:788a?x{zu2coj7>5$3a1>a`<^;i?6?uC2b495~P59l09w)<=f;fe?S7fl38p(89n:e9'12?=l2wvqAl4:7`9~R`g=:r.>;54>b79'77g==>30q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:65dd9'77g==>k0q);ja;a8yS45m38pZh752z&63=l4:7`9~ 0cf2820qps4i3c2>5<#:j81>l?4V3a7>7}K:j<1=vX=1d81!45n38j=6X>ae81!30i3;<7);89;34?x{zD;i>64:50;&1g7<51=1]>n:52zN1g3<6s_8:i77?33_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>4:4$20b>01f3t.>il4>7:~y>i5:10;6)=zj:o;6=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79K6f7<^;i?6;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d4<729q/>n<52b;8L7d?3f8947>5$3a1>74?32wi?h=50;694?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;l>1<7:50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zi5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0``<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb2f;>5<4290;w)N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d4?:1y'6f4=:;=0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e<>h1<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?494?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:77;292?6=8r.9o?4>b29K6g><,8n<6<74i0`5>5<#:j81=o84V3a7>4}K:j<1=vX=1d81!45n3;i:6X>ae81!30i3h0(896:c9~yx{P5k=0:wA?h5dd9U5db=:r.>;l4m;%74=?dn:52zN1g3<6s_8:i7a`<^8ko6?u+56c9`>"2?00o7psrL3a6>1}Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;45r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:798ga>"4:h0>;l5r$4gb>f=z^;8n6?uYe881!3003nm7)==a;74e>{#=lk1=55r}|9j6d7=83.9o?4=a09U6f2=:rF9o;4>{W02a?4|,;8m6?o>;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96d7<,:8j689n;|&6ad<6?2wvq6g=9583>!4d:38286X=c581I4d>3;pZ??j:3y'67`=:0>0Z1/9:75169~yxJ5k<0:w[;54=959'77g==>k0q);ja;34?x{z3f8947>5$3a1>74?32wi8:m50;194?6|,;i96?li;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c64`?6=93:16<729q/>n<5749K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d1<729q/>n<5749K6g>28<0qpsr;h3aae81!30i3;=7);89;35?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi85?50;694?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7<7<72<0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z28<0qpsr;h3`a?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7<6<72?0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c64a?6=>3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`8a?!3013h0qpsr;h3`a?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e<1?1<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1;g?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f6?229086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>3:&63<<6;2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;0>1<7850;2x 7e528h87E2\9o94>{M0`2?7|^;;n6?u+23d95g0<^8ko6?u+56c9f>"2?00i7psr}:kga?6=,;i96ik4V3a7>4}K:j<1=vX=1d81!45n3nn7[?nd;0x 01f2k1/9:75b:~yx=nlo0;6)k4kf:T2ea<5s-?a=zutF9o84;{W0b6?5|^l31>v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912g7}#=>21=o84$20b>01>3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36ik4$20b>01f3t.>il4l;|T16`<5s_o26?u+56:9`c=#;;k19:o4}%7fe?7?3twv7d;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998yg5?l3:1?7>50z&1g7<5jo1C>o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?5k50;394?6|,;i96?m6;I0a<>i5:10;6)=zj:3;6=4;:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0::6*:78822>{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th85<4?:683>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=;5+56;953=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?4<50;594?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1b=i<50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1;b?6=<3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>7j:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{Q:;o1>vXj9;0x 01?2ml0(>77}#:;l1>l?4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981e4=#;;k19:o4}%7fe?703twv7d<64;29 7e52;3?7[;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47<64:&06d<2?h1v(8kn:058yx{<3th85:4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<6290;w)N5j11d>?650;&1g7<5:110qo=6a;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1:f?6=;3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:326=4;:183!4d:389;6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;h3`7?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a7d?=83<1<7>t$3a1>4d43A8i46*>d682=>o6j?0;6)P5k=0:wA?h51c48R4gc2;q/9:o5b:&63<g=#=>31n6sr}|9j`c<72-8h>7ji;W0`0?4|D;i=6ae81!30i3n0(896:e9~yxJ5k<0?w[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556c8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?2mo0(>2;q/9:65dg9'77g==>k0q);ja;3;?x{z3`8j=7>5$3a1>7g63_8h874}Q:8o1>v*=2g81e4=Q9hn1>v*:7`823>"2?00:;6sr}M0`1?7|^;hi6?uYe881!30038j=6*<2`863d=z,n<52868R7e32;qG>n851zT15`<5s-89j7<64:T2ea<5s-?7?33-99m7;8a:'1`g=9>1vqp5`23:94?"5k;09>554}c1b4?6=;3:1;W0`0?7|D;i=6ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;h;1<7?50;2x 7e52;i27E7<=8:9~f6g429086=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0e1<72:0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<4290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28<0qpsr;n01ae81!30i3h0(896:c9~yx{54;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e4d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d0;694?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0e4e43_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo=n2;292?6=8r.9o?4=269K6g>;44m;|~y>o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6o5+56;9f>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a03`=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:b9~R74b2;q]i44={%74e;0x 74a2;k:7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652`38 64f2<=j7p*:e`823>{zu2c9594?:%0`6?4><2\9o94={M0`2?7|^;;n6?u+23d96<2<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7{e<>:1<7=50;2x 7e52;hm7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj==:6=4>:183!4d:38h56F=b99l67>=83.9o?4=2998yg20;3:1?7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28<0qpsr;n01ae81!30i3h0(896:c9~yx{<87>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e4d?3_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:82;297?6=8r.9o?4=269K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d<<729q/>n<5749K6g>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>7:&63<<6?2wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z1/9:75169~yx{28=0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{28=0qpsr;h3g0?6=,;i96ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`732<7200;6=u+2b0930=O:k20e4d03_;jh741<,<=26<94}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6?2.>;44>7:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5169'12?=9>1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63d<6?2.>;44>7:~yx=n9m91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5169'12?=9>1vqps4i0f7>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c644d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0ed<72;0;6=u+2b09`f=O:k20e50z&1g7<5jo1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5129'12?=9:1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:h96=49:183!4d:3;i?6F=b99'5a1=901b=o850;&1g7<6j?1]>n:51zN1g3<6s_8:i74d13_;jh7g=#=>31n6sr}|9j``<72-8h>7jj;W0`0?7|D;i=6ae81!30i3h0(896:c9~yx{P5k=09wA?h5dg9U5db=:r.>;l4k;%74=?bn;54zT1e7<4s_o26?u+56:9`c=#;;k19:o4}Wgb>7}#=>21=o84$20b>01f3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36a`<,:8j689n;|&6ad<602wvq6g=a083>!4d:38j=6X=c581I4d>3;pZ??j:3y'67`=:h;0Z1/9:75169~yxJ5k<0:w[;54=a09'77g==>k0q);ja;34?x{z3`8287>5$3a1>7?33_8h874}Q:8o1>v*=2g81=1=Q9hn1>v*:7`823>"2?00:;6sr}M0`1?7|^;hi6?uYe881!30038286*<2`863d=z,n<523:8?xd4ik0;6>4?:1y'6f4=:kl0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;hi1<7?50;2x 7e52;i27E7<=8:9~f6gb290?6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0::6*:78822>{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>l?:186>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z28<0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;hn1<7:50;2x 7e52;8<7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0f`<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m64550z&1g7<6j:1C>o64$0f4>4?P6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n01t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f6d1290:6=4?{%0`6?4d12B9n55`23:94?"5k;09>554}c1aN5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c953=#=>31=;5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a7g?=83<1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z28<0qpsr;h3g5?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0fd<72?0;6=u+2b0930=O:k20e4d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5179'12?=9?1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c0g4?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=>5+56;956=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f7ea290=6=4?{%0`6?7e;2B9n55+1e595<=n9k<1<7*=c382f3=Q:j>1=vB=c782S46m38p(?!4d:3nn7[7}#:;l1hk5Y1`f96~"2?h0o7);89;f8yx{K:j?18vX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74=>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?{#=lk1o6sY23g96~Pb138p(897:ed8 64f2<=j7p*:e`82<>{zu2c9m<4?:%0`6?4f92\9o94={M0`2?7|^;;n6?u+23d96d7<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7<3:1(?m=:3;7?S4d<38p@?m9:0yU64c=:r.9>k4=959U5db=:r.>;l4>7:&63<<6?2wvqA<2.8>l4:7`9~ 0cf28=0qps4o30;>5<#:j81>?64;|`1gg<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a6fe=83;1<7>t$3a1>7e>3A8i46a=2983>!4d:389465rb3ag>5<6290;w)N5j11d>?650;&1g7<5:110qo<^;i?65<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:?6*:78827>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a6c4=83<1<7>t$3a1>4d43A8i46*>d682=>o6j?0;6)P5k=0:wA?h51c48R4gc2;q/9:o5b:&63<g=#=>31n6sr}|9j`c<72-8h>7ji;W0`0?4|D;i=6ae81!30i3n0(896:e9~yxJ5k<0?w[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556c8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?2mo0(>2;q/9:65dg9'77g==>k0q);ja;3;?x{z3`8j=7>5$3a1>7g63_8h874}Q:8o1>v*=2g81e4=Q9hn1>v*:7`823>"2?00:;6sr}M0`1?7|^;hi6?uYe881!30038j=6*<2`863d=z,n<52868R7e32;qG>n851zT15`<5s-89j7<64:T2ea<5s-?7?33-99m7;8a:'1`g=9>1vqp5`23:94?"5k;09>554}c0fa?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`1ac<7280;6=u+2b096f?<@;h37b<=8;29 7e52;8376sm2g294?0=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0::6*:78822>{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c953=#=>31=;5r}|8m4eb290/>n<51c48R7e328qG>n851zTff?4|,;8m640i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c0ee?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=>5+56;956=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f7`>290=6=4?{%0`6?7e;2B9n55+1e595<=n9k<1<7*=c382f3=Q:j>1=vB=c782S46m38p(?!4d:3nn7[7}#:;l1hk5Y1`f96~"2?h0o7);89;f8yx{K:j?18vX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74=>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?{#=lk1o6sY23g96~Pb138p(897:ed8 64f2<=j7p*:e`82<>{zu2c9m<4?:%0`6?4f92\9o94={M0`2?7|^;;n6?u+23d96d7<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7<3:1(?m=:3;7?S4d<38p@?m9:0yU64c=:r.9>k4=959U5db=:r.>;l4>7:&63<<6?2wvqA<2.8>l4:7`9~ 0cf28=0qps4o30;>5<#:j81>?64;|`1b0<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a6c0=83;1<7>t$3a1>7e>3A8i46a=2983>!4d:389465rb3d4>5<0290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0e4e43_;jh740<,<=26<84}|~?l7dm3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6>2.>;44>6:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=896=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8?850;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3<729q/>n<51c18L7d?3-;o;7?6;h3a2?6=,;i961=vB=c782S46m38p(?a=#=>31h6sr}M0`1?2|^;k96>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;l5r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:74}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gn<52`38R7e32;qG>n851zT15`<5s-89j77g63-99m7;8a:'1`g=9>1vqp5f28694?"5k;09595Y2b696~J5k?0:w[<>e;0x 74a2;3?7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652868 64f2<=j7p*:e`823>{zu2e9>54?:%0`6?45021vn9<<:180>5<7s-8h>71=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo:=4;295?6=8r.9o?4=c89K6g><3th?>84?:283>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m640i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d<<729q/>n<5749K6g>28:0qpsr;h3aae81!30i3;<7);89;34?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0Z?m;:0yO6f0=9r\nn74b63_;jh741<,<=26<94}|~?l7c:3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?d283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1=:5+56;952=zutw0e4b33_;jh741<,<=26<94}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:=8;2954<729q/>n<5749K6g>28:0qpsr;h3aae81!30i3;<7);89;34?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0Z?m;:0yO6f0=9r\nn74b63_;jh741<,<=26<94}|~?l7c:3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?d283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1=:5+56;952=zutw0e4b33_;jh741<,<=26<94}|~?l7c=3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k5:T2ea<5s-?d783>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l?1]=lj52z&63dg=zutw0e4df3_;jh7g=#=>31n6sr}|9j5gd=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ol4V0cg>7}#=>k1n6*:788a?x{zu2c:nn4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82ff=Q9hn1>v*:7`8a?!3013h0qpsr;h3a`?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e<;31<7?>:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0:<6*:78824>{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c952=#=>31=:5r}|8m4e4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{o6l=0;6)P5k=0:wAk4>d59U5db=:r.>;l4>7:&63<<6?2wvqp5f1e794?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m?0Z1/9:75169~yx{;44m;|~y>o6jh0;6)P5k=0:wAk4>b`9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oj50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gb<^8ko6?u+56c9f>"2?00i7psr}:k2f`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nh5Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c61e?6=980;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<682.>;44>0:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5169'12?=9>1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f28=0(896:058yx{z3`;o>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;34?!3013;<7psr}:k2`6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5169'12?=9>1vqps4i0f7>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28=0(896:058yx{z3`;o97>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g1>P6im09w);8a;34?!3013;<7psr}:k2`3<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h;5Y1`f96~"2?h0i7);89;`8yx{z3`;i57>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a=>P6im09w);8a;`8 01>2k1vqps4i0`b>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hj7[?nd;0x 01f2k1/9:75b:~yx=n9kh1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c`8R4gc2;q/9:o5b:&63<bb83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jj1]=lj52z&63dg=zutw0e4db3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8?l50;794?6|,;i96?<8;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th9h<4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo<^;i?65<1290;w)N5j11/=i95189j5g0=83.9o?4>b79U6f2=9rF9o;4>{W02a?4|,;8m6{zut1bhh4?:%0`6?bb3_8h87?tL3a5>4}Q:8o1>v*=2g8ga>P6im09w);8a;`8 01>2k1vqps4ied94?"5k;0oj6X=c581I4d>3;pZ??j:3y'67`=lo1]=lj52z&63d7}#=>21hk5+33c912gl<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;89:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%74?k52zTf=?4|,<=36ih4$20b>01f3t.>il4>8:~y>o5i80;6)P5k=09wA?h52`38R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<5i81/??o556c8y!3bi3;<7psr;h0:0?6=,;i96?7;;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0:0>"4:h0>;l5r$4gb>416<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn?j;:180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a6ac=8391<7>t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912gv*:798gb>"4:h0>;l5r$4gb>4>:18'6f4=:h;0Z?m;:3yO6f0=9r\9=h4={%01b?4f92\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?o>;%11e?30i2w/9ho5169~yx=n:0>1<7*=c381=1=Q:j>1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:0>0(>7<=8:9~f7b>29086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`1`g<72:0;6=u+2b0930=O:k20e4d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c956=#=>31=>5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn?k;:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{Q:;o1>vXj9;0x 01?2ml0(>77}#:;l1>l?4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981e4=#;;k19:o4}%7fe?703twv7d<64;29 7e52;3?7[;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47<64:&06d<2?h1v(8kn:058yx{<3th9i=4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>h?50;394?6|,;i96?m6;I0a<>i5:10;6)=zj;o96=4;:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0::6*:78822>{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48R7e328qG>n851zTff?4|,;8m6403:1>7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj;oh6=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`827>"2?00:?6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>hl50;494?6|,;i96"6l>0:56g>b783>!4d:3;i:6X=c582I4d>3;pZ??j:3y'67`=9k<0Z;44m;|~y>ocm3:1(?m=:eg8R7e328qG>n851zT15`<5s-89j7jj;W3b`?4|,<=j6o5+56;9f>{zut1bhk4?:%0`6?ba3_8h874}Q:8o1>v*=2g8gb>P6im09w);8a;f8 01>2m1vqpB=c487S4f:39pZh752z&63=l4:7`9~R`g=:r.>;54>b79'77g==>k0q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=27p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>=lo1/??o556c8y!3bi3;37psr;h0b5?6=,;i96?o>;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0b5>"4:h0>;l5r$4gb>410Z?m;:3yO6f0=9r\9=h4={%01b?4><2\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?7;;%11e?30i2w/9ho5169~yx=h:;21<7*=c3816==53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th9i54?:083>5}#:j81>n74H3`;?j4503:1(?m=:30;?>{e:l31<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0e4eb3_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo::5;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<1290;w)N5j11/=i95189j5g0=83.9o?4>b79U6f2=9rF9o;4>{W02a?4|,;8m6{zut1bhh4?:%0`6?bb3_8h87?tL3a5>4}Q:8o1>v*=2g8ga>P6im09w);8a;`8 01>2k1vqps4ied94?"5k;0oj6X=c581I4d>3;pZ??j:3y'67`=lo1]=lj52z&63d7}#=>21hk5+33c912gl<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;89:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%74?k52zTf=?4|,<=36ih4$20b>01f3t.>il4>8:~y>o5i80;6)P5k=09wA?h52`38R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<5i81/??o556c8y!3bi3;<7psr;h0:0?6=,;i96?7;;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0:0>"4:h0>;l5r$4gb>416<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f130290:6=4?{%0`6?4d12B9n55`23:94?"5k;09>554}c66=?6=;3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a00d=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a00e=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a00b=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo::e;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi88h50;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`71=<7210;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f2k1/9:75b:~yx=n9m91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:b9~R74b2;q]i44={%74e;0x 74a2;k:7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652`38 64f2<=j7p*:e`823>{zu2c9594?:%0`6?4><2\9o94={M0`2?7|^;;n6?u+23d96<2<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7{e=>i1<7=50;2x 7e52;hm7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo;8d;295?6=8r.9o?4=c89K6g><3th>;h4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c3gN5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f4c4290=6=4?{%0`6?7e;2B9n55+1e595<=n9k<1<7*=c382f3=Q:j>1=vB=c782S46m38p(?!4d:3nn7[7}#:;l1hk5Y1`f96~"2?h0o7);89;f8yx{K:j?18vX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74=>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?{#=lk1o6sY23g96~Pb138p(897:ed8 64f2<=j7p*:e`82<>{zu2c9m<4?:%0`6?4f92\9o94={M0`2?7|^;;n6?u+23d96d7<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7<3:1(?m=:3;7?S4d<38p@?m9:0yU64c=:r.9>k4=959U5db=:r.>;l4>7:&63<<6?2wvqA<2.8>l4:7`9~ 0cf28=0qps4o30;>5<#:j81>?64;|`2`<<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7E5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8nj6=4>:183!4d:38h56F=b99l67>=83.9o?4=2998yg7ck3:1?7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640o6k:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c953=#=>31=;5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5179'12?=9?1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28<0(896:048yx{z3`;hi7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6>2.>;44>6:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8o;6=48:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0m7);89;d8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0::6*:78822>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28<0(896:048yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;35?!3013;=7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a5`7=83=1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m640o6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c953=#=>31=;5r}|8m4b6290/>n<51c48R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<84$45:>40i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?ddc83>2<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5b:&63<cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63dg=zutw0e4b53_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=h:50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?df183>6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2890(896:018yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c3fb?6=>3:1n<51c48R7e328qG>n851zT15`<5s-89j7?m6:T2ea<5s-?g=zutw0eik50;&1g7{M0`2?7|^;;n6?u+23d9``=Q9hn1>v*:7`8a?!3013h0qpsr;hfe>5<#:j81hk5Y2b696~J5k?0:w[<>e;0x 74a2ml0Z;44k;|~H7e22=q]>l<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;8a:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:789~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=l4:7`9~ 0cf2j1vZ?1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:h;0(>7<64:T1g1<5sE8h:7?tV33f>7}#:;l1>4:4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981=1=#;;k19:o4}%7fe?703twv7b<=8;29 7e52;8376sm1d794?5=83:p(?m=:3`e?M4e02c:n:4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<6290;w)N5j11d>?650;&1g7<5:110qo?j8;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo?j9;292?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=ho50;594?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8e?!3013l0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z;44i;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5f1e394?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<84$45:>40i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?dec83>=<729q/>n<5749K6g>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0m7);89;d8yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;35?!3013;=7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5179'12?=9?1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28<0(896:048yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:in4?:983>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63dd083>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=;5+56;953=zutw0e4b53_;jh740<,<=26<84}|~?l7c;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`8e?!3013l0qpsr;h3`a?6=,;i96ae81!30i3;=7);89;35?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z28<0qpsr;h3g7?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`2a2<72>0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`044<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m64550z&1g7<6j:1C>o64$0f4>4?P6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n01t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f7`c290:6=4?{%0`6?4d12B9n55`23:94?"5k;09>554}c0ea?6=03:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c953=#=>31=;5r}|8m4d?290/>n<51c48R7e328qG>n851zTff?4|,;8m640o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5f1e394?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m;0Z28<0qpsr;h3g7?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0g0<72;0;6=u+2b09`f=O:k20e50z&1g7<6j:1C>o64$0f4>4?P6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n01t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f6e0290:6=4?{%0`6?4d12B9n55`23:94?"5k;09>554}c1`N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0m7);89;d8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1g5?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f6b1290=6=4?{%0`6?7e;2B9n55+1e595<=n9k<1<7*=c382f3=Q:j>1=vB=c782S46m38p(?!4d:3nn7[7}#:;l1hk5Y1`f96~"2?h0o7);89;f8yx{K:j?18vX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74=>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?{#=lk1o6sY23g96~Pb138p(897:ed8 64f2<=j7p*:e`82<>{zu2c9m<4?:%0`6?4f92\9o94={M0`2?7|^;;n6?u+23d96d7<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7<3:1(?m=:3;7?S4d<38p@?m9:0yU64c=:r.9>k4=959U5db=:r.>;l4>7:&63<<6?2wvqA<2.8>l4:7`9~ 0cf28=0qps4o30;>5<#:j81>?64;|`0`7<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a7a5=83;1<7>t$3a1>7e>3A8i46a=2983>!4d:389465rb2f7>5<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f7?229096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:031<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th9m=4?:783>5}#:j81=o=4H3`;?!7c?3;27d?m6;29 7e528h=7[n<5dd9U6f2=9rF9o;4>{W02a?4|,;8m6ik4V0cg>7}#=>k1n6*:788a?x{zu2coj7>5$3a1>a`<^;i?6?uC2b495~P59l09w)<=f;fe?S7fl38p(89n:e9'12?=l2wvqAl4:7`9~R`g=:r.>;54>b79'77g==>30q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:65dd9'77g==>k0q);ja;a8yS45m38pZh752z&63=l4:7`9~ 0cf2820qps4i3c2>5<#:j81>l?4V3a7>7}K:j<1=vX=1d81!45n38j=6X>ae81!30i3;<7);89;34?x{zD;i>64:50;&1g7<51=1]>n:52zN1g3<6s_8:i77?33_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>4:4$20b>01f3t.>il4>7:~y>i5:10;6)=zj;3=6=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd5110;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6?2.>;44>7:~yx=n9m;1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b63_;jh741<,<=26<94}|~?l7c:3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>4l50;:94?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e4e43_;jh746<,<=26<>4}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a7=83.9o?4>b79K6f7<^;i?628:0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>7:&63<<6?2wvqp5f1e194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641k3:147>50z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641o6l;0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8m4b4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th95i4?:883>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c952=#=>31=:5r}|8m4eb290/>n<51c48R7e328qG>n851zTff?4|,;8m641{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m641i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d0<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5b:&63<cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63dg=zutw0qo=70;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<1290;w)N5j11/=i95189j5g0=83.9o?4>b79U6f2=9rF9o;4>{W02a?4|,;8m6{zut1bhh4?:%0`6?bb3_8h87?tL3a5>4}Q:8o1>v*=2g8ga>P6im09w);8a;`8 01>2k1vqps4ied94?"5k;0oj6X=c581I4d>3;pZ??j:3y'67`=lo1]=lj52z&63d7}#=>21hk5+33c912gl<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;89:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%74?k52zTf=?4|,<=36ih4$20b>01f3t.>il4>8:~y>o5i80;6)P5k=09wA?h52`38R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<5i81/??o556c8y!3bi3;<7psr;h0:0?6=,;i96?7;;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0:0>"4:h0>;l5r$4gb>416<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn>6<:180>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5f:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:b9~R74b2;q]i44={%74e;0x 74a2;k:7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652`38 64f2<=j7p*:e`823>{zu2c9594?:%0`6?4><2\9o94={M0`2?7|^;;n6?u+23d96<2<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7{e;1=1<7=50;2x 7e52;hm7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:236=4>:183!4d:38h56F=b99l67>=83.9o?4=2998yg5?13:1?7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2o1/9:75f:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0g1<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb2a3>5<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1`5?6=93:12<729q/>n<5749K6g>o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0`5<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb2a`>5<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1``?6=93:16<729q/>n<5749K6g>28<0qpsr;h3aae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`74d<72;0;6=u+2b09`f=O:k20e50z&1g7<6j:1C>o64$0f4>4?P6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n01t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f16d290:6=4?{%0`6?4d12B9n55`23:94?"5k;09>554}c63`?6=;3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh7c=#=>31j6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8<>50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3<729q/>n<51c18L7d?3-;o;7?6;h3a2?6=,;i961=vB=c782S46m38p(?a=#=>31h6sr}M0`1?2|^;k96>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;l5r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:74}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gn<52`38R7e32;qG>n851zT15`<5s-89j77g63-99m7;8a:'1`g=9>1vqp5f28694?"5k;09595Y2b696~J5k?0:w[<>e;0x 74a2;3?7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652868 64f2<=j7p*:e`823>{zu2e9>54?:%0`6?45021vn9?>:180>5<7s-8h>71=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo:>2;295?6=8r.9o?4=c89K6g><3th?=>4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f36=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d50z&1g7<6j:1C>o64$0f4>4?P6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n015$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj?81<7?50;2x 7e52;i27E7<=8:9~f32=83>1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th=97>55;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628<0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5f1b194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a26<72:0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a2=<72;0;6=u+2b09`f=O:k20eb783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e?:0;6;4?:1y'6f4=9k90D?l7;%3g3?7>3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912gv*:798gb>"4:h0>;l5r$4gb>4>:18'6f4=:h;0Z?m;:3yO6f0=9r\9=h4={%01b?4f92\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?o>;%11e?30i2w/9ho5169~yx=n:0>1<7*=c381=1=Q:j>1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:0>0(>7<=8:9~f3?=8391<7>t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f3g=83;1<7>t$3a1>7e>3A8i46a=2983>!4d:389465rb7`94?5=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj?n1<7850;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c952=#=>31=:5r}|8m4b6290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th=i7>56;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh741<,<=26<94}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`823>"2?00:;6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e>o0;6;4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z1/9:75169~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{<^;i?62\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?df`83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c032?6=>3:1n<51c48R7e328qG>n851zT15`<5s-89j7?m6:T2ea<5s-?g=zutw0eik50;&1g7{M0`2?7|^;;n6?u+23d9``=Q9hn1>v*:7`8a?!3013h0qpsr;hfe>5<#:j81hk5Y2b696~J5k?0:w[<>e;0x 74a2ml0Z;44k;|~H7e22=q]>l<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;8a:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:789~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=l4:7`9~ 0cf2j1vZ?1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:h;0(>7<64:T1g1<5sE8h:7?tV33f>7}#:;l1>4:4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981=1=#;;k19:o4}%7fe?703twv7b<=8;29 7e52;8376sm1g`94?5=83:p(?m=:3`e?M4e02c:n:4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`2b`<72:0;6=u+2b0930=O:k20e4d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`2bc<72:0;6=u+2b0930=O:k20e4d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`145<72:0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>=?50;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c037?6==3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh7c=#=>31j6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`a?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`141<72<0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1j6*:788e?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z<^;i?65N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;`8 01>2k1vqps4i0af>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f2k1/9:75b:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5b:&63<d383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f64e29096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;::1<7850;2x 7e528h87E2\9o94>{M0`2?7|^;;n6?u+23d95g0<^8ko6?u+56c9f>"2?00i7psr}:kga?6=,;i96ik4V3a7>4}K:j<1=vX=1d81!45n3nn7[?nd;0x 01f2k1/9:75b:~yx=nlo0;6)k4kf:T2ea<5s-?a=zutF9o84;{W0b6?5|^l31>v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912g7}#=>21=o84$20b>01>3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36ik4$20b>01f3t.>il4l;|T16`<5s_o26?u+56:9`c=#;;k19:o4}%7fe?7?3twv7d;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998yg55k3:1?7>50z&1g7<5jo1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dt$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo=84;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<1290;w)N5j11/=i95189j5g0=83.9o?4>b79U6f2=9rF9o;4>{W02a?4|,;8m6{zut1bhh4?:%0`6?bb3_8h87?tL3a5>4}Q:8o1>v*=2g8ga>P6im09w);8a;`8 01>2k1vqps4ied94?"5k;0oj6X=c581I4d>3;pZ??j:3y'67`=lo1]=lj52z&63d7}#=>21hk5+33c912gl<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;89:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%74?k52zTf=?4|,<=36ih4$20b>01f3t.>il4>8:~y>o5i80;6)P5k=09wA?h52`38R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<5i81/??o556c8y!3bi3;<7psr;h0:0?6=,;i96?7;;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0:0>"4:h0>;l5r$4gb>416<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn>98:180>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5f:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:b9~R74b2;q]i44={%74e;0x 74a2;k:7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652`38 64f2<=j7p*:e`823>{zu2c9594?:%0`6?4><2\9o94={M0`2?7|^;;n6?u+23d96<2<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7{e;>h1<7=50;2x 7e52;hm7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:=h6=4>:183!4d:38h56F=b99l67>=83.9o?4=2998yg50l3:1?7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2o1/9:75f:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`746<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb2de>5<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c634?6=93:16<729q/>n<5749K6g>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;d8 01>2o1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=:?6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8=750;494?6|,;i96"6l>0:56g>b783>!4d:3;i:6X=c582I4d>3;pZ??j:3y'67`=9k<0Z;44m;|~y>ocm3:1(?m=:eg8R7e328qG>n851zT15`<5s-89j7jj;W3b`?4|,<=j6o5+56;9f>{zut1bhk4?:%0`6?ba3_8h874}Q:8o1>v*=2g8gb>P6im09w);8a;f8 01>2m1vqpB=c487S4f:39pZh752z&63=l4:7`9~R`g=:r.>;54>b79'77g==>k0q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=27p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>=lo1/??o556c8y!3bi3;37psr;h0b5?6=,;i96?o>;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0b5>"4:h0>;l5r$4gb>410Z?m;:3yO6f0=9r\9=h4={%01b?4><2\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?7;;%11e?30i2w/9ho5169~yx=h:;21<7*=c3816==;97>53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?<;4?:083>5}#:j81>n74H3`;?j4503:1(?m=:30;?>{e<9=1<7=50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4i;%74=?`5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c622?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f17f29096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e<;;1<7850;2x 7e528h87E2\9o94>{M0`2?7|^;;n6?u+23d95g0<^8ko6?u+56c9f>"2?00i7psr}:kga?6=,;i96ik4V3a7>4}K:j<1=vX=1d81!45n3nn7[?nd;0x 01f2k1/9:75b:~yx=nlo0;6)k4kf:T2ea<5s-?a=zutF9o84;{W0b6?5|^l31>v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912g7}#=>21=o84$20b>01>3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36ik4$20b>01f3t.>il4l;|T16`<5s_o26?u+56:9`c=#;;k19:o4}%7fe?7?3twv7d;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998yg26?3:1?7>50z&1g7<5jo1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?=o4?:783>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=n=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28=0(896:058yx{z3`;hi7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6?2.>;44>7:~yx=n9m;1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b63_;jh741<,<=26<94}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:>c;292?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{<^;i?65<1290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>7:&63<<6?2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a04c=83=1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;34?!3013;<7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f17a290>6=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a1=b=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912gv*:798gb>"4:h0>;l5r$4gb>4>:18'6f4=:h;0Z?m;:3yO6f0=9r\9=h4={%01b?4f92\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?o>;%11e?30i2w/9ho5169~yx=n:0>1<7*=c381=1=Q:j>1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:0>0(>7<=8:9~f0>b29086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`6=4<72:0;6=u+2b0930=O:k20e4d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6=7<72:0;6=u+2b0930=O:k20e4d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6=6<72:0;6=u+2b0930=O:k20e4d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi94:50;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f0?2290?6=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e4d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi94850;494?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{o6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c953=#=>31=;5r}|8m4b6290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>5:4?:783>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dae81!30i3h0(896:c9~yx{;44m;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>m54?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo;m4;292?6=8r.9o?4>b29K6g><,8n<6<74i0`5>5<#:j81=o84V3a7>4}K:j<1=vX=1d81!45n3;i:6X>ae81!30i3h0(896:c9~yx{P5k=0:wA?h5dd9U5db=:r.>;l4m;%74=?dn:52zN1g3<6s_8:i7a`<^8ko6?u+56c9`>"2?00o7psrL3a6>1}Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;45r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:798ga>"4:h0>;l5r$4gb>f=z^;8n6?uYe881!3003nm7)==a;74e>{#=lk1=55r}|9j6d7=83.9o?4=a09U6f2=:rF9o;4>{W02a?4|,;8m6?o>;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96d7<,:8j689n;|&6ad<6?2wvq6g=9583>!4d:38286X=c581I4d>3;pZ??j:3y'67`=:0>0Z1/9:75169~yxJ5k<0:w[;54=959'77g==>k0q);ja;34?x{z3f8947>5$3a1>74?32wi9l750;194?6|,;i96?li;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c7be?6=93:16<729q/>n<5749K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6<729q/>n<5749K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d2<729q/>n<5749K6g>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;35?!3013;=7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5179'12?=9?1vqps4i0f1>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z;44i;|~y>o6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c953=#=>31=;5r}|8m4b6290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;35?!3013;=7psr}:k2`7<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5f:&63<b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c953=#=>31=;5r}|8m4b5290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>n<4?:683>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh740<,<=26<84}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`822>"2?00::6sr}|9j5a4=83.9o?4>b79K6f7<^;i?628<0qpsr;n01ae81!30i3h0(896:c9~yx{7>58;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?6;44i;|~y>o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4i;%74=?`{zut1b=i?50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f2o1/9:75f:~yx=n9m81<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b53_;jh740<,<=26<84}|~?l7c;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9ll50;:94?6|,;i96?<8;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c9f>"2?00i7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0i7);89;`8yx{z3`;o>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;`8 01>2k1vqps4i0f0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7e0<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb5;e>5<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c6b4?6=93:11<729q/>n<5749K6g>o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?m>4?:583>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0::6*:78822>{zut1b=n=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c6b5?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7g6<72;0;6=u+2b09`f=O:k20e7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=ij6=49:183!4d:3;i?6F=b99'5a1=901b=o850;&1g7<6j?1]>n:51zN1g3<6s_8:i74d13_;jh7g=#=>31n6sr}|9j``<72-8h>7jj;W0`0?7|D;i=6ae81!30i3h0(896:c9~yx{P5k=09wA?h5dg9U5db=:r.>;l4k;%74=?bn;54zT1e7<4s_o26?u+56:9`c=#;;k19:o4}Wgb>7}#=>21=o84$20b>01f3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36a`<,:8j689n;|&6ad<602wvq6g=a083>!4d:38j=6X=c581I4d>3;pZ??j:3y'67`=:h;0Z1/9:75169~yxJ5k<0:w[;54=a09'77g==>k0q);ja;34?x{z3`8287>5$3a1>7?33_8h874}Q:8o1>v*=2g81=1=Q9hn1>v*:7`823>"2?00:;6sr}M0`1?7|^;hi6?uYe881!30038286*<2`863d=z,n<523:8?xd3k=0;6>4?:1y'6f4=:kl0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e7<=8:9~f1e129086=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7g=<72<0;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e4eb3_;jh741<,<=26<94}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:j0;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<1290;w)N5j11/=i95189j5g0=83.9o?4>b79U6f2=9rF9o;4>{W02a?4|,;8m6{zut1bhh4?:%0`6?bb3_8h87?tL3a5>4}Q:8o1>v*=2g8ga>P6im09w);8a;`8 01>2k1vqps4ied94?"5k;0oj6X=c581I4d>3;pZ??j:3y'67`=lo1]=lj52z&63d7}#=>21hk5+33c912gl<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;89:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%74?k52zTf=?4|,<=36ih4$20b>01f3t.>il4>8:~y>o5i80;6)P5k=09wA?h52`38R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<5i81/??o556c8y!3bi3;<7psr;h0:0?6=,;i96?7;;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0:0>"4:h0>;l5r$4gb>416<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn9k;:180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64050z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28<0qpsr;n01ae81!30i3h0(896:c9~yx{n?7>53;294~"5k;09>:5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?j54?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:if;292?6=8r.9o?4>b29K6g><,8n<6<74i0`5>5<#:j81=o84V3a7>4}K:j<1=vX=1d81!45n3;i:6X>ae81!30i3h0(896:c9~yx{P5k=0:wA?h5dd9U5db=:r.>;l4m;%74=?dn:52zN1g3<6s_8:i7a`<^8ko6?u+56c9`>"2?00o7psrL3a6>1}Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;45r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:798ga>"4:h0>;l5r$4gb>f=z^;8n6?uYe881!3003nm7)==a;74e>{#=lk1=55r}|9j6d7=83.9o?4=a09U6f2=:rF9o;4>{W02a?4|,;8m6?o>;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96d7<,:8j689n;|&6ad<6?2wvq6g=9583>!4d:38286X=c581I4d>3;pZ??j:3y'67`=:0>0Z1/9:75169~yxJ5k<0:w[;54=959'77g==>k0q);ja;34?x{z3f8947>5$3a1>74?32wi8k750;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9hn:182>5<7s-8h>7mo7>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628<0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6405Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a0cd=8391<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f06229096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=9o1<7850;2x 7e528h87E2\9o94>{M0`2?7|^;;n6?u+23d95g0<^8ko6?u+56c9f>"2?00i7psr}:kga?6=,;i96ik4V3a7>4}K:j<1=vX=1d81!45n3nn7[?nd;0x 01f2k1/9:75b:~yx=nlo0;6)k4kf:T2ea<5s-?a=zutF9o84;{W0b6?5|^l31>v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912g7}#=>21=o84$20b>01>3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36ik4$20b>01f3t.>il4l;|T16`<5s_o26?u+56:9`c=#;;k19:o4}%7fe?7?3twv7d;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998yg37>3:1?7>50z&1g7<5jo1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d0;6<4?:1y'6f4=:j30D?l7;n01t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c73e?6=<3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo;?b;290?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f06d290>6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`822>"2?00::6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f06?290>6=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a106=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912gv*:798gb>"4:h0>;l5r$4gb>4>:18'6f4=:h;0Z?m;:3yO6f0=9r\9=h4={%01b?4f92\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?o>;%11e?30i2w/9ho5169~yx=n:0>1<7*=c381=1=Q:j>1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:0>0(>7<=8:9~f03629086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`611<72:0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<3290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Zo6k:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn8;9:187>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c953=#=>31=;5r}|8m4d?290/>n<51c48R7e328qG>n851zTff?4|,;8m6405Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a101=83?1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a105=83?1<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0e4e43_;jh7g=#=>31n6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`10<<72;0;6=u+2b09`f=O:k20e7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj;??6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1i6*:788f?x{zu2wi>9o50;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn?:m:182>5<7s-8h>753;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1j6*:788e?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`10f<72:0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a606=83>1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c955=#=>31==5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;33?!3013;;7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th99<4?:583>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:<6*:78824>{zut1b=n=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28:0(896:028yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c066?6==3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn?;<:187>5<7s-8h>7<=7:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f73229096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0n7);89;g8yx{z3th99;4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>8950;394?6|,;i96?m6;I0a<>i5:10;6)=zj;?26=4<:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0m7);89;d8yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0m7);89;d8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c064d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`11f<72;0;6=u+2b09`f=O:k20e7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2l1/9:75e:~yx=zj;?o6=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{i7>51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd5>90;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo<91;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2o1/9:75f:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a63?=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d=0;6>4?:1y'6f4=:kl0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:??1<7?50;2x 7e52;i27E7<=8:9~f70029086=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>;650;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo<96;297?6=8r.9o?4=269K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c044?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7`=#=>31i6sr}|9~f70e29086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`12`<72:0;6=u+2b0930=O:k20e4d03_;jh7c=#=>31j6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;n01ae81!30i3h0(896:c9~yx{53;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e4d?3_;jh7c=#=>31j6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>;j50;194?6|,;i96?<8;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn?9>:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8f?!3013o0qpsr;|`137<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a625=83;1<7>t$3a1>7e>3A8i46a=2983>!4d:389465rb356>5<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8e?!3013l0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:><1<7=50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;n01ae81!30i3h0(896:c9~yx{53;294~"5k;09>:5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th9;54?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo<8e;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c04e?6=93:16<729q/>n<5749K6g>n851zTff?4|,;8m64050z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:>h1<7=50;2x 7e52;8<7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj;=m6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>5;50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4j;%74=?c6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn?6<:180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4i;%74=?`i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6<729q/>n<5749K6g>n851zTff?4|,;8m64050z&1g7<5:>1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6h5+56;9a>{zut1vn?68:180>5<7s-8h>71=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo<78;295?6=8r.9o?4=c89K6g><3th94l4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn?6m:180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4i;%74=?`{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a6=?=8391<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f7>c29096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:091<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0n7);89;g8yx{z3th94h4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>5h50;394?6|,;i96?m6;I0a<>i5:10;6)=zj;3:6=4<:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0m7);89;d8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th95?4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c0:4?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7f4<72;0;6=u+2b09`f=O:k20e7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=ho6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1i6*:788f?x{zu2wi8o<50;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9l<:182>5<7s-8h>7i97>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;n01ae81!30i3h0(896:c9~yx{i:7>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{i;7>55;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628<0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5f1b194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a0g2=83>1<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0e4e43_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8o750;694?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7fd<72<0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4>0:&63<<682wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7ff<72=0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>=<4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo;>5;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<4290;w)N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dt$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;d8 01>2o1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj<>=6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi99o50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4j;%74=?c6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f02?290:6=4?{%0`6?4d12B9n55`23:94?"5k;09>554}c77=?6=;3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh7c=#=>31j6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9;j50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;g8 01>2l1vqps4}c75a?6=;3:1;W0`0?7|D;i=6ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=?l1<7?50;2x 7e52;i27E7<=8:9~f01729086=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi85o50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;g8 01>2l1vqps4}c6;f?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`75$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:ja;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a0c3=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d4?:1y'6f4=:kl0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e7<=8:9~f1cb290?6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;h3`7?6=,;i96ae81!30i3l0(896:g9~yx{<^;i?65<1290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8e?!3013l0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4i;%74=?`n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;d8 01>2o1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f2o1/9:75f:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<3290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28:0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zi5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d1<729q/>n<5749K6g>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>0:&63<<682wvqp5f1b194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>4650z&1g7<5:>1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63dg=zutw0qo;=6;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a167=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d0;6>4?:1y'6f4=:kl0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn8<7:182>5<7s-8h>753;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{58;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh741<,<=26<94}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h?4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d=<729q/>n<5749K6g>o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c952=#=>31=:5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;33?!3013;;7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5169'12?=9>1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`66a<7210;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z1/9:75169~yx{28=0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>7:&63<<6?2wvqp5f1e394?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<94$45:>41{zut1b=i=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c71a?6=13:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5169'12?=9>1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=:5+56;952=zutw0e4b53_;jh741<,<=26<94}|~?l7c;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?d583>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l=1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5169'12?=9>1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28=0(896:058yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;34?!3013;<7psr}:k2`1<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h95Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a166=83<1<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0e4e43_;jh7g=#=>31n6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1n6*:788a?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2win94?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qol9:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5e:&63<6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<4<729q/>n<52b;8L7d?3f8947>5$3a1>74?32win>4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9b>"2?00m7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3thi97>55;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628=0qpsr;h3aae81!30i3;<7);89;34?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`823>"2?00:;6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=:5+56;952=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~fgg=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zjj81<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0n7);89;g8yx{z3thin7>53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3thio7>51;294~"5k;09o45G2c:8k74?290/>n<523:8?xdel3:1?7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44i;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4i;%74=?`b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628:0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>0:&63<<682wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628:0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{<^;i?62\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a31<72;0;6=u+2b09`f=O:k20e03:1:7>50z&1g7<6j:1C>o64$0f4>4gP6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n01t$3a1>7da3A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{2?7>51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd31<0;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?5;4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=3?6=4<:183!4d:389;6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn8?l:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5e:&63<2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`65g<72?0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;d8 01>2o1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f07a29096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=;91<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0n7);89;g8yx{z3th>>=4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<6290;w)N5j11d>?650;&1g7<5:110qo;=2;292?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh7c=#=>31j6sr}|9j5g>=83.9o?4>b79K6f7<^;i?6n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28<0(896:048yx{z3`;o=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`67a<72;0;6=u+2b09`f=O:k20e7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2l1/9:75e:~yx=zj<936=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79K6f7<^;i?6;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d4<729q/>n<52b;8L7d?3f8947>5$3a1>74?32wi9>l50;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9>m50;794?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8e?!3013l0qpsr;h3aae81!30i3l0(896:g9~yx{n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;d8 01>2o1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj<9j6=4<:183!4d:389;6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Zo6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=:l1<7850;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c952=#=>31=:5r}|8m4b6290/>n<51c48R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<94$45:>4150z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=n=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28:0(896:028yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;33?!3013;;7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c775?6=?3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;34?!3013;<7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a114=83?1<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0e4e43_;jh7g=#=>31n6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7e=<72;0;6=u+2b09`f=O:k20e7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=kn6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1i6*:788f?x{zu2wi8l750;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9on:182>5<7s-8h>7jn7>51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd3im0;6?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1==5+56;955=zutw0e4b43_;jh746<,<=26<>4}|~?l7c<3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k4:T2ea<5s-?d483>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i;4V0cg>7}#=>k1=:5+56;952=zutw0e4b13_;jh741<,<=26<94}|~?l7e13:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f<=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gg=83.9o?4>b79K6f7<^;i?628=0qpsr;h3af?6=,;i96P5k=0:wAk4>bc9U5db=:r.>;l4>7:&63<<6?2wvqp5f1ca94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9ki0Z;44m;|~y>o6jm0;6)P5k=0:wAk4>be9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn94?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo=50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4j;%74=?c5<7s-8h>71=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo?50;394?6|,;i96?m6;I0a<>i5:10;6)=zj;0;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo750;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5e:&63<n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a2?6=;3:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0m7);89;d8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c594?5=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:aaf<72;0;6=u+2b09`f=O:k20eb683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1==5+56;955=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h?4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d<^;i?65$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;37?!3013;?7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:86*:78820>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:ab5<72:0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z1/9:75169~yx{<^;i?65$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5169'12?=9>1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zjo81<7=50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z<^;i?65$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wij84?:683>5}#:j81>?94H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1n6*:788a?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8a?!3013h0qpsr;h3g5?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{en?0;6?4?:1y'6f4=lj1C>o64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wij54?:783>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6?2.>;44>7:~yx=n9m;1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b63_;jh741<,<=26<94}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qoh6:185>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3thmm7>56;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Zo6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{enk0;6;4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<682.>;44>0:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh741<,<=26<94}|~?l7dm3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<682.>;44>0:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<3<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5b:&63<cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~fcb=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28>0qpsr;n01ae81!30i3h0(896:c9~yx{7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5169'12?=9>1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6?2.>;44>7:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z1/9:75169~yx{o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e99;1<7950;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:;6*:78823>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;34?!3013;<7psr}:k2`7<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5169'12?=9>1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8:96=48:183!4d:3=>7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<682.>;44>0:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh741<,<=26<94}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f464290<6=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`823>"2?00:;6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g5?6=,;i96ae81!30i3;<7);89;34?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`824>"2?00:<6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi==:50;594?6|,;i96?<8;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c9f>"2?00i7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0i7);89;`8yx{z3`;o>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8:>6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi==850;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6<2.>;44>4:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e4e43_;jh746<,<=26<>4}|~?l7dm3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<682.>;44>0:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5119'12?=991vqps4i0f0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28:0(896:028yx{z3`;o87>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l=1]=lj52z&63d<6?2.>;44>7:~yx=n9m?1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b23_;jh741<,<=26<94}|~?l7c>3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k6:T2ea<5s-?b883>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o74V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:no4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9kh0Zo6jm0;6)P5k=0:wAk4>be9U5db=:r.>;l4>7:&63<<6?2wvqp5f1cg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9ko0Z;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d0983>47=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:<6*:78824>{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c955=#=>31==5r}|8m4eb290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4>0:&63<<682wvqp5f1e194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m90Zo6l<0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a3<^8ko6?u+56c952=#=>31=:5r}|8m4b1290/>n<51c48R7e328qG>n851zTff?4|,;8m641{zut1b=oo50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gg<^8ko6?u+56c952=#=>31=:5r}|8m4de290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3af>P6im09w);8a;33?!3013;;7psr}:k2ff<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51ca8R4gc2;q/9:o5169'12?=9>1vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f28=0(896:058yx{z3`;ii7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3aa>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8:26=4>1;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<682.>;44>0:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5119'12?=991vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28:0(896:028yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;33?!3013;;7psr}:k2`1<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e68R4gc2;q/9:o5169'12?=9>1vqps4i0f6>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k5:T2ea<5s-?d783>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l?1]=lj52z&63d<6?2.>;44>7:~yx=n9k31<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d>3_;jh741<,<=26<94}|~?l7ei3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82fd=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gd=83.9o?4>b79K6f7<^;i?628:0qpsr;h3ag?6=,;i96P5k=0:wAk4>bb9U5db=:r.>;l4>7:&63<<6?2wvqp5f1cf94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9kn0Z1/9:75169~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e99k1<7?>:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0:<6*:78824>{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c955=#=>31==5r}|8m4e4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>0:&63<<682wvqp5f1e094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m80Z28:0qpsr;h3g0?6=,;i96P5k=0:wAk4>d59U5db=:r.>;l4>7:&63<<6?2wvqp5f1e794?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6412\:mi4={%74e?703-?<57?8;|~y>o6j00;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g?<^8ko6?u+56c952=#=>31=:5r}|8m4df290/>n<51c48R7e328qG>n851zTff?4|,;8m641{zut1b=om50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28hh7[?nd;0x 01f28=0(896:058yx{z3`;ih7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a`>P6im09w);8a;34?!3013;<7psr}:k2f`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nh5Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c33f?6=980;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1==5+56;955=zutw0e4eb3_;jh746<,<=26<>4}|~?l7c93:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63d<682.>;44>0:~yx=n9m91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5119'12?=991vqps4i0f7>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28:0(896:028yx{z3`;o97>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l<1]=lj52z&63d<6?2.>;44>7:~yx=n9m<1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b13_;jh741<,<=26<94}|~?l7e13:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m9:T2ea<5s-?b`83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jh1]=lj52z&63d<6?2.>;44>7:~yx=n9kh1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74de3_;jh746<,<=26<>4}|~?l7ek3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82ff=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gb=83.9o?4>b79K6f7<^;i?628=0qpsr;h3aa?6=,;i96ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`24f<72>0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`24`<728;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c955=#=>31==5r}|8m4e4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>0:&63<<682wvqp5f1e094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m80Z28:0qpsr;h3g0?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h84?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m?0Z1/9:75169~yx{2\:mi4={%74e?703-?<57?8;|~y>o6j00;6)P5k=0:wAk4>b89U5db=:r.>;l4>7:&63<<6?2wvqp5f1cc94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9kk0Z1/9:75169~yx{28=0qpsr;h3ag?6=,;i96P5k=0:wAk4>bb9U5db=:r.>;l4>0:&63<<682wvqp5f1cf94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d0g83>47=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28:0(896:028yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;33?!3013;;7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0:<6*:78824>{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c955=#=>31==5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l<0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a3<^8ko6?u+56c952=#=>31=:5r}|8m4b1290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;34?!3013;<7psr}:k2f<<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n45Y1`f96~"2?h0:;6*:78823>{zut1b=oo50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gg<^8ko6?u+56c952=#=>31=:5r}|8m4de290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3af>P6im09w);8a;33?!3013;;7psr}:k2ff<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51ca8R4gc2;q/9:o5119'12?=991vqps4i0`g>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?md:T2ea<5s-?bd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jl1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628:0qpsr;h3`7?6=,;i96ae81!30i3;;7);89;33?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1==5+56;955=zutw0e4b53_;jh746<,<=26<>4}|~?l7c;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?d583>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l=1]=lj52z&63d<682.>;44>0:~yx=n9m?1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b23_;jh741<,<=26<94}|~?l7c>3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`3=Q9hn1>v*:7`823>"2?00:;6sr}|9j5g?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o74V0cg>7}#=>k1=:5+56;952=zutw0e4df3_;jh741<,<=26<94}|~?l7ej3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mb:T2ea<5s-?bb83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=om4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:nh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f`=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a544=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<682.>;44>0:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh741<,<=26<94}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=:5+56;952=zutw0e4b53_;jh741<,<=26<94}|~?l7c;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5169'12?=9>1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6?2.>;44>7:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5169'12?=9>1vqps4i0f0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c321?6=03:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6?2.>;44>7:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh741<,<=26<94}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=:5+56;952=zutw0e4b53_;jh741<,<=26<94}|~?l7c;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d1683>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c32N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<682.>;44>0:~yx=n9m81<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b53_;jh741<,<=26<94}|~?l7c;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a2=83.9o?4>b79K6f7<^;i?628=0qpsr;n01ae81!30i3h0(896:c9~yx{59;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g5?6=,;i96ae81!30i3;<7);89;34?x{zu2c:h?4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{o6l=0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a2<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:;6*:78823>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6?2.>;44>7:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5169'12?=9>1vqps4i0f0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28=0(896:058yx{z3`;o87>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:=o4?:883>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6k:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c952=#=>31=:5r}|8m4eb290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;34?!3013;<7psr}:k2`1<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h95Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a54e=8331<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28=0(896:058yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6?2.>;44>7:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh741<,<=26<94}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0e4b43_;jh741<,<=26<94}|~?l7c<3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k4:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dae81!30i3h0(896:c9~yx{;44m;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;37?!3013;?7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:86*:78820>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a576=8321<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;34?!3013;<7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`264<7210;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z28=0qpsr;h3`a?6=,;i96ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{o6l:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<<=:18;>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6?2.>;44>7:~yx=n9m81<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b53_;jh741<,<=26<94}|~?l7c;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=?=50;:94?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e4e43_;jh741<,<=26<94}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a7=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>7:&63<<6?2wvqp5f1e194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64150z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28=0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641{zut1b=i<50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{;44m;|~y>o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<<9:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;34?!3013;<7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a57>=83=1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;34?!3013;<7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f44>29036=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:h?4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d2`83>1<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{51083>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28=0(896:058yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0:;6*:78823>{zut1b=i<50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6?2.>;44>7:~yx=n9m>1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b33_;jh741<,<=26<94}|~?l7c=3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`0=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a0=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i84V0cg>7}#=>k1=:5+56;952=zutw0e4d>3_;jh741<,<=26<94}|~?l7ei3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?ma:T2ea<5s-?bc83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=ol4V0cg>7}#=>k1=:5+56;952=zutw0e4dd3_;jh7g=#=>31n6sr}|9j5gb=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oj4V0cg>7}#=>k1n6*:788a?x{zu2c:nh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f`=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{51083>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:<6*:78824>{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c955=#=>31==5r}|8m4eb290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5119'12?=991vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6?2.>;44>7:~yx=n9m>1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b33_;jh741<,<=26<94}|~?l7c=3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`0=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a0=83.9o?4>b79K6f7<^;i?628=0qpsr;h3a=?6=,;i96ae81!30i3;<7);89;34?x{zu2c:nl4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fd=Q9hn1>v*:7`823>"2?00:;6sr}|9j5gd=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ol4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:ni4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fa=Q9hn1>v*:7`8a?!3013h0qpsr;h3aa?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<693:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;34?!3013;<7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0:;6*:78823>{zut1b=i=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28=0(896:058yx{z3`;o87>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l=1]=lj52z&63d<6?2.>;44>7:~yx=n9m?1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b23_;jh741<,<=26<94}|~?l7c>3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`3=Q9hn1>v*:7`823>"2?00:;6sr}|9j5g?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o74V0cg>7}#=>k1=:5+56;952=zutw0e4df3_;jh741<,<=26<94}|~?l7ej3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mb:T2ea<5s-?bb83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=om4V0cg>7}#=>k1=:5+56;952=zutw0e4dc3_;jh7g=#=>31n6sr}|9j5gc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ok4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`26c<72=0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:?=4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo?<1;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5159'12?=9=1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28>0(896:068yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c306?6=<3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<682.>;44>0:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh746<,<=26<>4}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo?<3;290?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628=0qpsr;n01ae81!30i3h0(896:c9~yx{54;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z<^;i?65<3290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28:0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e9:<1<7:50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;n01ae81!30i3h0(896:c9~yx{57;294~"5k;09>:5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0i7);89;`8yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;`8 01>2k1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f2k1/9:75b:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{57;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628:0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>0:&63<<682wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z1/9:75169~yx{o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c952=#=>31=:5r}|8m4b5290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:?l4?:683>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28=0(896:058yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:;6*:78823>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6?2.>;44>7:~yx=n9m81<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b53_;jh741<,<=26<94}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo?4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3e83>=<729q/>n<5749K6g>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>0:&63<<682wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Zo6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6415Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a56c=83<1<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0e4e43_;jh7g=#=>31n6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1n6*:788a?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<:?:180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>4:&63<<6<2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`823>"2?00:;6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628=0qpsr;n01ae81!30i3h0(896:c9~yx{7>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628=0qpsr;h3aae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`206<72:0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=9:50;494?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z1/9:75169~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d4483>3<729q/>n<5749K6g>28:0qpsr;h3aae81!30i3;<7);89;34?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z1/9:75169~yx{o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<:9:184>5<7s-8h>7<=7:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0e4eb3_;jh7g=#=>31n6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1n6*:788a?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<:7:184>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6?2.>;44>7:~yx=n9m81<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b53_;jh741<,<=26<94}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo?;9;293?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6?2.>;44>7:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh741<,<=26<94}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a4=83.9o?4>b79K6f7<^;i?628=0qpsr;n01ae81!30i3h0(896:c9~yx{57;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh741<,<=26<94}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64150z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28=0qpsr;h3`7?6=,;i96ae81!30i3;<7);89;34?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z1/9:75169~yx{o6l;0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<:l:184>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5119'12?=991vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=66X>ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`20a<72?0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8>n6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=9h50;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6<2.>;44>4:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5169'12?=9>1vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6?2.>;44>7:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c365?6=?3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6?2.>;44>7:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5169'12?=9>1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=66X>ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`217<72>0;6=u+2b0930=O:k20e4d03_;jh741<,<=26<94}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`a?6=,;i96ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f434290<6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6?2.>;44>7:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5169'12?=9>1vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6?2.>;44>7:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c360?6=03:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5169'12?=9>1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6?2.>;44>7:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5169'12?=9>1vqps4i0f0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c361?6=?3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`8a?!3013h0qpsr;h3`a?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d5783>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c363?6=;3:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c951=#=>31=95r}|8m4d?290/>n<51c48R7e328qG>n851zTff?4|,;8m64250z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641{zut1b=i<50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;34?!3013;<7psr}:k2`1<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e68R4gc2;q/9:o5169'12?=9>1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8?26=4>1;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<682.>;44>0:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5119'12?=991vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28:0(896:028yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;33?!3013;;7psr}:k2`1<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e68R4gc2;q/9:o5169'12?=9>1vqps4i0f6>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k5:T2ea<5s-?d783>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i84V0cg>7}#=>k1=:5+56;952=zutw0e4d>3_;jh741<,<=26<94}|~?l7ei3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82fd=Q9hn1>v*:7`823>"2?00:;6sr}|9j5gd=83.9o?4>b79K6f7<^;i?628=0qpsr;h3ag?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d5`83>47=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:<6*:78824>{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c955=#=>31==5r}|8m4eb290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4>0:&63<<682wvqp5f1e194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m90Zo6l<0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a3<^8ko6?u+56c955=#=>31==5r}|8m4b1290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;34?!3013;<7psr}:k2f<<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n45Y1`f96~"2?h0:;6*:78823>{zut1b=oo50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28hj7[?nd;0x 01f28=0(896:058yx{z3`;in7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63d<6?2.>;44>7:~yx=n9ki1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51ca8R4gc2;q/9:o5b:&63<be83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jm1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f43e290:=7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Zo6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Z28:0qpsr;h3g6?6=,;i966X>ae81!30i3;;7);89;33?x{zu2c:h>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a2=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i:4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h;4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`3=Q9hn1>v*:7`823>"2?00:;6sr}|9j5g?=83.9o?4>b79K6f7<^;i?628:0qpsr;h3ae?6=,;i96P5k=0:wAk4>b`9U5db=:r.>;l4>7:&63<<6?2wvqp5f1c`94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9kh0Z1/9:75169~yx{o6jm0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95gb<^8ko6?u+56c952=#=>31=:5r}|8m4db290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a50e=83;:6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<682.>;44>0:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5119'12?=991vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28:0(896:028yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;33?!3013;;7psr}:k2`1<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h95Y1`f96~"2?h0:<6*:78824>{zut1b=i;50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n>7[?nd;0x 01f28=0(896:058yx{z3`;o:7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;34?!3013;<7psr}:k2f<<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c;8R4gc2;q/9:o5119'12?=991vqps4i0`b>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?ma:T2ea<5s-?bc83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63d<6?2.>;44>7:~yx=n9ki1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74dd3_;jh741<,<=26<94}|~?l7el3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82fa=Q9hn1>v*:7`823>"2?00:;6sr}|9j5gc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ok4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`21a<72>0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`21c<72;;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>0:&63<<682wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z28:0qpsr;h3g5?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:h84?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`0=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a0=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i84V0cg>7}#=>k1==5+56;955=zutw0e4d>3_;jh746<,<=26<>4}|~?l7ei3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?ma:T2ea<5s-?bc83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63d<682.>;44>0:~yx=n9ki1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51ca8R4gc2;q/9:o5119'12?=991vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f28:0(896:028yx{z3`;ii7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6jl1]=lj52z&63d<6?2.>;44>7:~yx=n9kl1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74da3_;jh746<,<=26<>4}|~?l7d83:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g5=Q9hn1>v*:7`823>"2?00:;6sr}|9j5f7=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`6?6=,;i966X>ae81!30i3;<7);89;34?x{zu2c:o94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j>0Z1/9:75169~yx{6=4+2b095g0<^;i?6;44m;|~y>o6k>0;6)P5k=0:wAk4>c69U5db=:r.>;l4m;%74=?d290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=no50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fg<^8ko6?u+56c9f>"2?00i7psr}:k2gg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oo5Y1`f96~"2?h0i7);89;`8yx{z3`;ho7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`g>P6im09w);8a;`8 01>2k1vqps4i0ag>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28io7[?nd;0x 01f2k1/9:75b:~yx=n9jl1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bd8R4gc2;q/9:o5b:&63<d183>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l91]=lj52z&63dg=zutw0qo?90;2964<729q/>n<5749K6g>28:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z28:0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>0:&63<<682wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=i:50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28:0(896:028yx{z3`;o97>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g1>P6im09w);8a;33?!3013;;7psr}:k2`3<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h;5Y1`f96~"2?h0:<6*:78824>{zut1b=o750;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g?<^8ko6?u+56c955=#=>31==5r}|8m4df290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6jj0;6)P5k=0:wAk4>bb9U5db=:r.>;l4>0:&63<<682wvqp5f1cf94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9kn0Zo6jo0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g`<^8ko6?u+56c955=#=>31==5r}|8m4e7290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`4>P6im09w);8a;34?!3013;<7psr}:k2g4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b38R4gc2;q/9:o5169'12?=9>1vqps4i0a1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i97[?nd;0x 01f28=0(896:058yx{z3`;h87>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k=1]=lj52z&63d<6?2.>;44>7:~yx=n9j?1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b78R4gc2;q/9:o5b:&63<c783>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k?1]=lj52z&63dg=zutw0e4e?3_;jh7g=#=>31n6sr}|9j5f?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n74V0cg>7}#=>k1n6*:788a?x{zu2c:ol4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gd=Q9hn1>v*:7`8a?!3013h0qpsr;h3`f?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6ko0;6)P5k=0:wAk4>cg9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<8>:1815?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<682.>;44>0:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:h94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<^;i?628:0qpsr;h3g2?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f<=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gg=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oo4V0cg>7}#=>k1==5+56;955=zutw0e4de3_;jh746<,<=26<>4}|~?l7ek3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mc:T2ea<5s-?be83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jm1]=lj52z&63d<682.>;44>0:~yx=n9ko1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74db3_;jh741<,<=26<94}|~?l7en3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82fc=Q9hn1>v*:7`824>"2?00:<6sr}|9j5f6=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`5?6=,;i96P5k=0:wAk4>c09U5db=:r.>;l4>7:&63<<6?2wvqp5f1b094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j80Z1/9:75169~yx{o6k<0;6)P5k=0:wAk4>c49U5db=:r.>;l4m;%74=?d2\:mi4={%74e?d<,<=26o5r}|8m4e0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f><^8ko6?u+56c9f>"2?00i7psr}:k2g<<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o45Y1`f96~"2?h0i7);89;`8yx{z3`;hm7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`e>P6im09w);8a;`8 01>2k1vqps4i0aa>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ii7[?nd;0x 01f2k1/9:75b:~yx=n9ji1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51ba8R4gc2;q/9:o5b:&63<ce83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6km1]=lj52z&63dg=zutw0e4b73_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=;<50;02>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1==5+56;955=zutw0e4b43_;jh746<,<=26<>4}|~?l7c<3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k4:T2ea<5s-?d483>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l<1]=lj52z&63d<682.>;44>0:~yx=n9m<1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e48R4gc2;q/9:o5119'12?=991vqps4i0`:>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h27[?nd;0x 01f28:0(896:028yx{z3`;im7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ae>P6im09w);8a;33?!3013;;7psr}:k2fg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:no5Y1`f96~"2?h0:<6*:78824>{zut1b=om50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95ge<^8ko6?u+56c955=#=>31==5r}|8m4dc290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6jo0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g`<^8ko6?u+56c952=#=>31=:5r}|8m4e7290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`4>P6im09w);8a;34?!3013;<7psr}:k2g4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b38R4gc2;q/9:o5169'12?=9>1vqps4i0a1>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l2:T2ea<5s-?c583>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k=1]=lj52z&63d<6?2.>;44>7:~yx=n9j?1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e23_;jh741<,<=26<94}|~?l7d>3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l6:T2ea<5s-?g=zutw0e4e03_;jh7g=#=>31n6sr}|9j5f>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n64V0cg>7}#=>k1n6*:788a?x{zu2c:o44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g<=Q9hn1>v*:7`8a?!3013h0qpsr;h3`e?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6km0;6)P5k=0:wAk4>ce9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a535=838:6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28:0(896:028yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;33?!3013;;7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0:<6*:78824>{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c955=#=>31==5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l<0;6)P5k=0:wAk4>d49U5db=:r.>;l4>0:&63<<682wvqp5f1e494?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m<0Z28:0qpsr;h3ae?6=,;i96ae81!30i3;;7);89;33?x{zu2c:no4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fg=Q9hn1>v*:7`824>"2?00:<6sr}|9j5ge=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=om4V0cg>7}#=>k1==5+56;955=zutw0e4dc3_;jh746<,<=26<>4}|~?l7em3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?me:T2ea<5s-?bg83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=oh4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:o<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j;0Z1/9:75169~yx{o6k=0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f2<^8ko6?u+56c952=#=>31=:5r}|8m4e2290/>n<51c48R7e328qG>n851zTff?4|,;8m6412\:mi4={%74e?d<,<=26o5r}|8m4e0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f><^8ko6?u+56c9f>"2?00i7psr}:k2g<<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o45Y1`f96~"2?h0i7);89;`8yx{z3`;hm7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`e>P6im09w);8a;`8 01>2k1vqps4i0aa>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ii7[?nd;0x 01f2k1/9:75b:~yx=n9ji1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51ba8R4gc2;q/9:o5b:&63<ce83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6km1]=lj52z&63dg=zutw0e4b73_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=;:50;494?6|,;i96?<8;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c9f>"2?00i7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c351?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f401290:=7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Zo6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Z28:0qpsr;h3g6?6=,;i966X>ae81!30i3;;7);89;33?x{zu2c:h>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a2=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i:4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h;4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`3=Q9hn1>v*:7`823>"2?00:;6sr}|9j5g?=83.9o?4>b79K6f7<^;i?628:0qpsr;h3ae?6=,;i96P5k=0:wAk4>b`9U5db=:r.>;l4>7:&63<<6?2wvqp5f1c`94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641o6jm0;6)P5k=0:wAk4>be9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<88:1815?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;33?!3013;;7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0:<6*:78824>{zut1b=i?50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c955=#=>31==5r}|8m4b5290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l=0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a2<^8ko6?u+56c955=#=>31==5r}|8m4b2290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>462\:mi4={%74e?773-?<57??;|~y>o6j00;6)P5k=0:wAk4>b89U5db=:r.>;l4>0:&63<<682wvqp5f1cc94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9kk0Z28:0qpsr;h3ag?6=,;i96ae81!30i3;;7);89;33?x{zu2c:ni4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9kn0Zo6jo0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g`<^8ko6?u+56c952=#=>31=:5r}|8m4e7290/>n<51c48R7e328qG>n851zTff?4|,;8m641{zut1b=n<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f4<^8ko6?u+56c9f>"2?00i7psr}:k2g1<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o95Y1`f96~"2?h0i7);89;`8yx{z3`;h97>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`1>P6im09w);8a;`8 01>2k1vqps4i0a5>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i=7[?nd;0x 01f2k1/9:75b:~yx=n9j=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b58R4gc2;q/9:o5b:&63<c983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k11]=lj52z&63dg=zutw0e4ef3_;jh7g=#=>31n6sr}|9j5fd=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nl4V0cg>7}#=>k1n6*:788a?x{zu2c:on4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gf=Q9hn1>v*:7`8a?!3013h0qpsr;h3``?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6983>6<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`22d<72:0;6=u+2b0930=O:k20e4d03_;jh742<,<=26<:4}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dk0;694?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a53e=83>1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c952=#=>31=:5r}|8m4e4290/>n<51c48R7e328qG>n851zTff?4|,;8m64150z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28=0qpsr;h3`7?6=,;i96ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`22`<72<0;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`a?6=,;i96ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`22c<72>0;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{28=0qpsr;n01ae81!30i3h0(896:c9~yx{57;294~"5k;09>:5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0i7);89;`8yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;`8 01>2k1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f2k1/9:75b:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{7>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=95+56;951=zutw0e4d?3_;jh742<,<=26<:4}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo?83;293?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{28=0qpsr;n01ae81!30i3h0(896:c9~yx{58;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7483>47=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:<6*:78824>{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c955=#=>31==5r}|8m4eb290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4>0:&63<<682wvqp5f1e194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m90Zo6l<0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a3<^8ko6?u+56c955=#=>31==5r}|8m4b1290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;34?!3013;<7psr}:k2f<<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c;8R4gc2;q/9:o5169'12?=9>1vqps4i0`b>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hj7[?nd;0x 01f28=0(896:058yx{z3`;in7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3af>P6im09w);8a;`8 01>2k1vqps4i0``>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hh7[?nd;0x 01f2k1/9:75b:~yx=n9kn1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cf8R4gc2;q/9:o5b:&63<bd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jl1]=lj52z&63dg=zutw0qo?86;2954<729q/>n<5749K6g>28:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1==5+56;955=zutw0e:18'6f4=9k<0Z?m;:0yO6f0=9r\nn74b63_;jh746<,<=26<>4}|~?l7c:3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?d283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<682.>;44>0:~yx=n9m>1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b33_;jh741<,<=26<94}|~?l7c=3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`0=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a0=83.9o?4>b79K6f7<^;i?628=0qpsr;h3a=?6=,;i96P5k=0:wAk4>b89U5db=:r.>;l4>7:&63<<6?2wvqp5f1cc94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9kk0Z1/9:75169~yx{;44m;|~y>o6jm0;6)P5k=0:wAk4>be9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<98:1825?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<682.>;44>0:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f28:0(896:028yx{z3`;o>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;33?!3013;;7psr}:k2`6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h>5Y1`f96~"2?h0:<6*:78824>{zut1b=i:50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a2<^8ko6?u+56c955=#=>31==5r}|8m4b2290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g1>P6im09w);8a;34?!3013;<7psr}:k2`3<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h;5Y1`f96~"2?h0:;6*:78823>{zut1b=o750;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h27[?nd;0x 01f28:0(896:028yx{z3`;im7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6jh1]=lj52z&63d<6?2.>;44>7:~yx=n9kh1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74de3_;jh741<,<=26<94}|~?l7ek3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mc:T2ea<5s-?be83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jm1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f41?290<6=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i?50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9f>"2?00i7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c34=?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f41f2909=7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28:0qpsr;h3`7?6=,;i96ae81!30i3;;7);89;33?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1==5+56;955=zutw0e4b53_;jh746<,<=26<>4}|~?l7c;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?d583>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i:4V0cg>7}#=>k1==5+56;955=zutw0e4b23_;jh746<,<=26<>4}|~?l7c>3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k6:T2ea<5s-?b883>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j01]=lj52z&63d<682.>;44>0:~yx=n9kk1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cc8R4gc2;q/9:o5119'12?=991vqps4i0`a>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hi7[?nd;0x 01f28:0(896:028yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;33?!3013;;7psr}:k2fa<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51cf8R4gc2;q/9:o5119'12?=991vqps4i0`f>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?me:T2ea<5s-?bg83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=oh4V0cg>7}#=>k1=:5+56;952=zutw0e4e73_;jh741<,<=26<94}|~?l7d93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g4=Q9hn1>v*:7`823>"2?00:;6sr}|9j5f4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n<4V0cg>7}#=>k1n6*:788a?x{zu2c:o94?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g1=Q9hn1>v*:7`8a?!3013h0qpsr;h3`1?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6k10;6)P5k=0:wAk4>c99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nl50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fd<^8ko6?u+56c9f>"2?00i7psr}:k2gf<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:on5Y1`f96~"2?h0i7);89;`8yx{z3`;hh7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3``>P6im09w);8a;`8 01>2k1vqps4i0ae>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28im7[?nd;0x 01f2k1/9:75b:~yx=n9m:1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e28R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628:0qpsr;h3`a?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Zo6l:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c955=#=>31==5r}|8m4b3290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;33?!3013;;7psr}:k2`0<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h85Y1`f96~"2?h0:<6*:78824>{zut1b=i850;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a0<^8ko6?u+56c955=#=>31==5r}|8m4d>290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6jk0;6)P5k=0:wAk4>bc9U5db=:r.>;l4>0:&63<<682wvqp5f1ca94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9ki0Zo6jl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95gc<^8ko6?u+56c952=#=>31=:5r}|8m4da290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3ab>P6im09w);8a;34?!3013;<7psr}:k2g5<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o=5Y1`f96~"2?h0:;6*:78823>{zut1b=n?50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i:7[?nd;0x 01f28=0(896:058yx{z3`;h>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`6>P6im09w);8a;`8 01>2k1vqps4i0a7>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i?7[?nd;0x 01f2k1/9:75b:~yx=n9j?1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b78R4gc2;q/9:o5b:&63<c783>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k?1]=lj52z&63dg=zutw0e4e?3_;jh7g=#=>31n6sr}|9j5f?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n74V0cg>7}#=>k1n6*:788a?x{zu2c:ol4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gd=Q9hn1>v*:7`8a?!3013h0qpsr;h3`f?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6ko0;6)P5k=0:wAk4>cg9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<9l:1815?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<682.>;44>0:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:h94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<^;i?628:0qpsr;h3g2?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f<=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gg=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oo4V0cg>7}#=>k1==5+56;955=zutw0e4de3_;jh746<,<=26<>4}|~?l7ek3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mc:T2ea<5s-?be83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=oj4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:nk4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9kl0Z1/9:75169~yx{28=0qpsr;h3`5?6=,;i96P5k=0:wAk4>c09U5db=:r.>;l4>7:&63<<6?2wvqp5f1b094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j80Z;44m;|~y>o6k=0;6)P5k=0:wAk4>c59U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f1<^8ko6?u+56c9f>"2?00i7psr}:k2g=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o55Y1`f96~"2?h0i7);89;`8yx{z3`;h57>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`=>P6im09w);8a;`8 01>2k1vqps4i0ab>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ij7[?nd;0x 01f2k1/9:75b:~yx=n9jh1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b`8R4gc2;q/9:o5b:&63<cb83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kj1]=lj52z&63dg=zutw0e4ea3_;jh7g=#=>31n6sr}|9j5a6=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i>4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`23a<72;;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>0:&63<<682wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z28:0qpsr;h3g5?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1==5+56;955=zutw0e4b33_;jh746<,<=26<>4}|~?l7c=3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k5:T2ea<5s-?d783>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l?1]=lj52z&63d<682.>;44>0:~yx=n9k31<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c;8R4gc2;q/9:o5119'12?=991vqps4i0`b>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hj7[?nd;0x 01f28:0(896:028yx{z3`;in7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3af>P6im09w);8a;33?!3013;;7psr}:k2ff<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nn5Y1`f96~"2?h0:<6*:78824>{zut1b=oj50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gb<^8ko6?u+56c955=#=>31==5r}|8m4db290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=n>50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i;7[?nd;0x 01f28=0(896:058yx{z3`;h=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k81]=lj52z&63d<6?2.>;44>7:~yx=n9j81<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e53_;jh741<,<=26<94}|~?l7d<3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l4:T2ea<5s-?c483>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k<1]=lj52z&63d3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l6:T2ea<5s-?g=zutw0e4e03_;jh7g=#=>31n6sr}|9j5f>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n64V0cg>7}#=>k1n6*:788a?x{zu2c:o44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g<=Q9hn1>v*:7`8a?!3013h0qpsr;h3`e?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6km0;6)P5k=0:wAk4>ce9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a52c=838:6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28:0(896:028yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;33?!3013;;7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0:<6*:78824>{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c955=#=>31==5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l<0;6)P5k=0:wAk4>d49U5db=:r.>;l4>0:&63<<682wvqp5f1e494?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m<0Z28:0qpsr;h3ae?6=,;i96ae81!30i3;;7);89;33?x{zu2c:no4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fg=Q9hn1>v*:7`824>"2?00:<6sr}|9j5ge=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=om4V0cg>7}#=>k1==5+56;955=zutw0e4dc3_;jh746<,<=26<>4}|~?l7em3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?me:T2ea<5s-?bg83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=oh4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:o<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j;0Z1/9:75169~yx{o6k=0;6)P5k=0:wAk4>c59U5db=:r.>;l4>7:&63<<6?2wvqp5f1b794?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j?0Z;44m;|~y>o6k?0;6)P5k=0:wAk4>c79U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n750;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f?<^8ko6?u+56c9f>"2?00i7psr}:k2gd<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:ol5Y1`f96~"2?h0i7);89;`8yx{z3`;hn7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`f>P6im09w);8a;`8 01>2k1vqps4i0a`>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ih7[?nd;0x 01f2k1/9:75b:~yx=n9jn1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bf8R4gc2;q/9:o5b:&63<cg83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6ko1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f41a290=6=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i?50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:4=4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo?71;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5159'12?=9=1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28>0(896:068yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c3;6?6=<3:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5169'12?=9>1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8286=49:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0:<6*:78824>{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;33?!3013;;7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5169'12?=9>1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1==5+56;955=zutw0e4eb3_;jh746<,<=26<>4}|~?l7c93:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63d<682.>;44>0:~yx=n9m91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5119'12?=991vqps4i0f7>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k4:T2ea<5s-?d483>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i;4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:n44?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k30Z1/9:75169~yx{;44m;|~y>o6jj0;6)P5k=0:wAk4>bb9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a5=3=83;:6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28:0(896:028yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;33?!3013;;7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0:<6*:78824>{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c955=#=>31==5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=i;50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n>7[?nd;0x 01f28:0(896:028yx{z3`;o:7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l?1]=lj52z&63d<6?2.>;44>7:~yx=n9k31<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d>3_;jh741<,<=26<94}|~?l7ei3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?ma:T2ea<5s-?g=zutw0e4de3_;jh7g=#=>31n6sr}|9j5ge=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=om4V0cg>7}#=>k1n6*:788a?x{zu2c:ni4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fa=Q9hn1>v*:7`8a?!3013h0qpsr;h3aa?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<693:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c955=#=>31==5r}|8m4b6290/>n<51c48R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<>4$45:>46o6l:0;6)P5k=0:wAk4>d29U5db=:r.>;l4>0:&63<<682wvqp5f1e694?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<@;i:7[o6l?0;6)P5k=0:wAk4>d79U5db=:r.>;l4>7:&63<<6?2wvqp5f1c;94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=ol50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28hi7[?nd;0x 01f28=0(896:058yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;`8 01>2k1vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f2k1/9:75b:~yx=n9ko1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cg8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d0;6:4?:1y'6f4=:;=0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a5=>=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5169'12?=9>1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj82j6=4;:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0:;6*:78823>{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28=0(896:058yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;34?!3013;<7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5169'12?=9>1vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z1/9:75169~yx{<^;i?65<2290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Zo6k:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c952=#=>31=:5r}|8m4eb290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:4h4?:783>5}#:j81>?94H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1n6*:788a?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8a?!3013h0qpsr;h3g5?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a5<6=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>4:&63<<6<2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e90;1<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<7=:186>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c3:7?6==3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5119'12?=991vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<682.>;44>0:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh746<,<=26<>4}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=4;50;794?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e4e43_;jh746<,<=26<>4}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=4850;594?6|,;i96?<8;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c9f>"2?00i7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0i7);89;`8yx{z3`;o>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj83<6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=4650;494?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628:0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Zi5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d9883>3<729q/>n<5749K6g>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>0:&63<<682wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z28=0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e90k1<7=50;2x 7e52;8<7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj83i6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=4m50;02>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1==5+56;955=zutw0e4b43_;jh746<,<=26<>4}|~?l7c<3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`1=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a3=83.9o?4>b79K6f7<^;i?628:0qpsr;h3g2?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f<=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gg=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oo4V0cg>7}#=>k1==5+56;955=zutw0e4de3_;jh746<,<=26<>4}|~?l7ek3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mc:T2ea<5s-?be83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jm1]=lj52z&63d<682.>;44>0:~yx=n9ko1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cg8R4gc2;q/9:o5169'12?=9>1vqps4i0`e>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?mf:T2ea<5s-?c183>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n>4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:o?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g7=Q9hn1>v*:7`823>"2?00:;6sr}|9j5f2=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`1?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6k10;6)P5k=0:wAk4>c99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nl50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fd<^8ko6?u+56c9f>"2?00i7psr}:k2gf<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:on5Y1`f96~"2?h0i7);89;`8yx{z3`;hh7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3``>P6im09w);8a;`8 01>2k1vqps4i0ae>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28im7[?nd;0x 01f2k1/9:75b:~yx=n9m:1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e28R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628:0qpsr;h3`a?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Zo6l:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c955=#=>31==5r}|8m4b3290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;33?!3013;;7psr}:k2`0<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e78R4gc2;q/9:o5119'12?=991vqps4i0f5>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n=7[?nd;0x 01f28:0(896:028yx{z3`;i57>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a=>P6im09w);8a;33?!3013;;7psr}:k2fd<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nl5Y1`f96~"2?h0:<6*:78824>{zut1b=ol50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gd<^8ko6?u+56c955=#=>31==5r}|8m4dd290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6jl0;6)P5k=0:wAk4>bd9U5db=:r.>;l4>7:&63<<6?2wvqp5f1cd94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=n?50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i:7[?nd;0x 01f28=0(896:058yx{z3`;h>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`6>P6im09w);8a;34?!3013;<7psr}:k2g1<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b68R4gc2;q/9:o5169'12?=9>1vqps4i0a6>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i>7[?nd;0x 01f2k1/9:75b:~yx=n9j<1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b48R4gc2;q/9:o5b:&63<c683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k>1]=lj52z&63dg=zutw0e4e>3_;jh7g=#=>31n6sr}|9j5fg=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=no4V0cg>7}#=>k1n6*:788a?x{zu2c:oo4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gg=Q9hn1>v*:7`8a?!3013h0qpsr;h3`g?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6l90;6)P5k=0:wAk4>d19U5db=:r.>;l4m;%74=?dm3:1><4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c955=#=>31==5r}|8m4b6290/>n<51c48R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<>4$45:>46o6l:0;6)P5k=0:wAk4>d29U5db=:r.>;l4>0:&63<<682wvqp5f1e694?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46o6l?0;6)P5k=0:wAk4>d79U5db=:r.>;l4>0:&63<<682wvqp5f1c;94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k30Z28:0qpsr;h3af?6=,;i96ae81!30i3;;7);89;33?x{zu2c:nn4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82ff=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gb=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oj4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:nk4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9kl0Zo6k80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f7<^8ko6?u+56c952=#=>31=:5r}|8m4e5290/>n<51c48R7e328qG>n851zTff?4|,;8m641{zut1b=n;50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f3<^8ko6?u+56c9f>"2?00i7psr}:k2g3<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o;5Y1`f96~"2?h0i7);89;`8yx{z3`;h;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`3>P6im09w);8a;`8 01>2k1vqps4i0a;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i37[?nd;0x 01f2k1/9:75b:~yx=n9j31<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b;8R4gc2;q/9:o5b:&63<c`83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kh1]=lj52z&63dg=zutw0e4ed3_;jh7g=#=>31n6sr}|9j5fb=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nj4V0cg>7}#=>k1n6*:788a?x{zu2c:ok4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gc=Q9hn1>v*:7`8a?!3013h0qpsr;h3g4?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<593:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4>0:&63<<682wvqp5f1e394?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m;0Z28:0qpsr;h3g7?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<@;i:7[o6l?0;6)P5k=0:wAk4>d79U5db=:r.>;l4>0:&63<<682wvqp5f1c;94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k30Z28:0qpsr;h3af?6=,;i96ae81!30i3;;7);89;33?x{zu2c:nn4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82ff=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gb=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oj4V0cg>7}#=>k1==5+56;955=zutw0e4db3_;jh741<,<=26<94}|~?l7en3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82fc=Q9hn1>v*:7`824>"2?00:<6sr}|9j5f6=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`5?6=,;i96P5k=0:wAk4>c09U5db=:r.>;l4>7:&63<<6?2wvqp5f1b094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j80Z1/9:75169~yx{o6k<0;6)P5k=0:wAk4>c49U5db=:r.>;l4m;%74=?d2\:mi4={%74e?d<,<=26o5r}|8m4e0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f><^8ko6?u+56c9f>"2?00i7psr}:k2g<<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o45Y1`f96~"2?h0i7);89;`8yx{z3`;hm7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`e>P6im09w);8a;`8 01>2k1vqps4i0aa>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ii7[?nd;0x 01f2k1/9:75b:~yx=n9ji1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51ba8R4gc2;q/9:o5b:&63<ce83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6km1]=lj52z&63dg=zutw0e4b73_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=l>50;02>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4>0:&63<<682wvqp5f1e394?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<>4$45:>46{zut1b=i=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28:0(896:028yx{z3`;o87>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l=1]=lj52z&63d<682.>;44>0:~yx=n9m?1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b23_;jh746<,<=26<>4}|~?l7c>3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k6:T2ea<5s-?b883>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j01]=lj52z&63d<682.>;44>0:~yx=n9kk1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cc8R4gc2;q/9:o5119'12?=991vqps4i0`a>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hi7[?nd;0x 01f28:0(896:028yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;33?!3013;;7psr}:k2fa<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:ni5Y1`f96~"2?h0:<6*:78824>{zut1b=ok50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gc<^8ko6?u+56c952=#=>31=:5r}|8m4da290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3ab>P6im09w);8a;33?!3013;;7psr}:k2g5<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b28R4gc2;q/9:o5169'12?=9>1vqps4i0a2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l1:T2ea<5s-?c383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k;1]=lj52z&63d<6?2.>;44>7:~yx=n9j>1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e33_;jh741<,<=26<94}|~?l7d=3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l5:T2ea<5s-?g=zutw0e4e13_;jh7g=#=>31n6sr}|9j5f1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n94V0cg>7}#=>k1n6*:788a?x{zu2c:o54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g==Q9hn1>v*:7`8a?!3013h0qpsr;h3`=?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6kj0;6)P5k=0:wAk4>cb9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i>50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a6<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:m<4?:783>5}#:j81>?94H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1n6*:788a?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8a?!3013h0qpsr;h3g5?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a5d5=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>4:&63<<6<2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e9h>1<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z1/9:75169~yx{28=0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e9h?1<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`2e3<72?0;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{<^;i?652B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Zo6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>7:&63<<6?2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8m4b4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:m54?:983>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c955=#=>31==5r}|8m4eb290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0:;6*:78823>{zut1b=i<50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{;44m;|~y>o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vl:50;1x9d3=:;30Rl:4=ba95g00z?`g?450278:=4>b69>737=9k=01>8=:0`4?851;3;i;63=8`82f2=:94d034;=>7?m7:?226<6j>16=:o51c58941e28h<70?8d;3a3>;6?l0:n:5218a95g1<583o6;dj3;i:6s|cc83>46|5jh1>?64=276>4d0349>:7?m7:?012<6j>16?;:51c5897>428h<70?91;3a3>;6>;0:n55217195g><58<<6b99>52c=9k201<7j:0`4?87>n3;i;63>a182f2=z{1;1<7=t=90967?;4=<0:n55234495g><5:?<6b99>734=9k201>8<:0`;?851<3;i463=7482f2=:94d?34;==7?m8:?227<6k:16=;=51b18940028h370?8a;3a<>;6?k0:n55216a95g><58=o6b99>5n3;i463>a182f==z{>l1<7=t=92967?l01lo51c48yvgf29095v3na;01<>;2><0:n:5234795f5<5:?=6c29>737=9j901>8=:0a0?851;3;h?63<6582g6=:=h>1=o94=34f>4d034>im7?m7:?605<6j>16==951c58946?28h<70??b;3a3>;68l0:n:5214;95g1<58?i6c29>537=9j901<8=:0af?871;3;hi63>6682g6=:9>?1=o94=054>4d034;;60=0:n:5219495g1<58336c29>56}:?l09>45Q7e9>e<<6j?1vl750;0:8g>2;8370;95;3a<>;4=<0:oh5234495fc<5:?<6cd9>734=9jo01>8<:0af?851<3;hi63:a582f==::?=1=o94=5`b>4d?34??<7?m8:?7ea<6j>16==751c58946f28h<70??f;3a3>;6990:n:5214c95g1<58?h6cd9>537=9jo01<8=:0f2?871;3;o=63>6782f2=:9?=1=nk4=055>4d034;;60<0:n:5218:95g><583h6cd9>5<`=9jo01X0j27j47?m6:pe=<728kp1l6523:8963228n:70=:6;3g5>;4=>0:h<5237295a7<5:<:6;<156?7c9278:>4>d09>732=9m;01?8?:0`4?872n3;o=63>6182`4=:9?;1=i?4=041>4b534;=?7?k2:?222<6l816=:o51e38941e28n:70?8c;3g5>;6?m0:h?5216g95a4<583h6;<3:`?7c927:5h4>d09>5<`=9m;01X0127j;7?m6:pe2<728kp1l9523:8963228n970=:6;3g6>;4=>0:h?5237295a4<5:<:64>d39>732=9m801?;6:0`4?872n3;o>63>6182`7=:9?;1=i<4=041>4b434;=?7?k3:?222<6l;16=:o51e08941e28n970?8c;3g6>;6?m0:h>5216g95a5<583h6d39>5<`=9m801X0?27j:7?m6:pe3<728kp1l8523:8963228n870=:6;3g7>;4=>0:h>5237295a5<5:<:64>d29>732=9m901?:k:0`4?872n3;o?63>6182`6=:9?;1=i=4=041>4b334;=?7?k4:?222<6l:16=:o51e18941e28n870?8c;3g7>;6?m0:h95216g95a2<583h6d29>5<`=9m901<3:1?v365;01=>X><27h87?m6:pg1<721;2>=0:n:5257795f5<5<<=64:4>b69>1=>=9k=01866:0`4?82113;i;63;6`82f2=::9l1=o94=333>4d0348:=7?m7:?05<<6j>16?>951c58962>28h<70=;a;3a3>;4=<0:h95234495a2<5:?<6d59>734=9m>01>8<:0f7?851<3;o863<7182f2=:<:n1=o94=51e>4d034?j>7?m7:?6e1<6k:169oj51c5890db28h<70;mf;3a3>;2k>0:n:525b:95g1<5i?4>b69>0=5=9k=0196::0`4?822l3;i;63=9`82f2=::0h1=o94=3;`>4d0348;?7?m7:?75`<6j>1694851c5890?028h<70;m2;3a3>;5=90:n:5224395g1<5;?96c29>0gd=9k=019kj:0`4?82a93;i;63;f382f2=:4d034?8i7?m7:?67c<6j>1699>51b18902628h<70kk:0`4?8`428h<70h;:0`4?8`028h<70h7:0`4?8`>28h<70hn:0`4?8`e28h<70??0;3a3>;6880:n:5211095g1<58:86b69>576=9k=01<<>:0`4?87503;i;63>2882f2=:9;i1=o94=00g>4d034;9i7?m7:?277<6j>16=>=51c58945328h<70?<5;3a3>;6;?0:n:5212;95g1<589j6b69>513=9k=01<;?:0`4?87203;i;63>5g82`1=:9?:1=i:4=042>4b334;=>7?k5:?226<6l<16=;951e68940e28h<70?9e;3a3>;6?:0:n:5216695g1<58=j6d59>52b=9m?01<9j:0f6?87?:3;i;63>8882f2=:91i1=o94=0:g>4d034;2=7?m7:?2=7<6j>16=4=51c5894?328h<70?65;3a3>;6110:o>5218;95g1<583h6d59>5<`=9m>013;i;63>a682f2=z{0;1<7=t=80967?;2>?0:n55259495g><5<2<6444>b99>03d=9k=0198l:0`4?856i3;i;63<1c82f2=:;8i1=o94=23g>4d03498;7?m8:?00<<6j116?9o51c:8963228n>70=:6;3g1>;4=>0:h85237295a3<5:<:64>d49>732=9m?018o=:0`;?83d?3;i463:c982f==:=j31=o64=4ab>4d?3482m7?m8:?1=g<6j116>4m51c:893b=9k=01;k51c5893`=9k=019?j:0`;?83fm3;i;63:ag82f2=:=k81=o64=371>4d?34>in7?m8:?7ac<6j>169?l51c58904d28h<70;=d;3a3>;en3;i463l0;3a<>;2;j0:n55255395g><5=ko60:n552f982f==:99:1=o64=022>4d?34;;;7?m8:?24=<6j116==751c:8946f28h370??b;3a<>;68l0:n55211d95g><58;;6b69>543=9k=011`82f2=:98h1=o94=03`>4d034;9<7?m8:?264<6j116=?<51c58944428h<70?=4;3a3>;6:10:n55213;95g><588h6h4>b99>564=9k201<=<:0`;?87413;i463>3`82f==:9:h1=o94=01`>4d034;8h7?m8:?20=<6j>16=9751c58942f28h<70?;b;3a3>;6b99>50d=9k201<;l:0`;?872n3;o963>6182`0=:9?;1=i;4=041>4b134;=?7?k6:?223<6j116=;m51c58940a28h<70?83;3a<>;6?=0:n55216795g><58==6d79>52c=9m<01<6<:0`4?87?<3;i463>8482f==:91<1=o64=0:`>4d?34;3h7?m8:?2=<<6j116=4m51e7894?c28n>70?6e;3g1>;61o0:h8521`295a3<58k?6b69~w=`=839p14>523;8Z=`<5k31=o84}r`:>5<0>r7i57<=8:?627<6j1169;=51c:8900328h370;95;3`a>;2>?0:o>5259:95f5<5<226b99>74e=9k201>?k:0`;?854?3;h?63<4`82g6=:;4b1349>;7?k6:?025<6l?16?;?51e48960528n=70=93;3g2>;4>=0:h;5242d95g><5ol4>c29>0=3=9k201?7m:0a0?84>k3;h?639d;3a<>;2j90:n:525c395g1<5b99>604=9j9019l6:0`;?82ei3;hi63;bc82g6=:4d?34>m>7?m8:?7b6<6j116ni4>b69>fa<6j116nk4>c29>g5<6k:169>m51b18905b28h370;;2<90:oh5255395f5<5=ko64e434l864e434l264d?34li6b99>555=9k201<>m:0a0?877m3;h?63>0g82g6=:98:1=n=4=030>4d?34;:47?l3:?25<<6k:16=;6:00:o>5213f95f5<588n6b99>563=9k201<=9:0`;?874l3;h?63>4982f==:9=31=o64=07;>4e434;>n7?l3:?21f<6k:16=8h51e48940728n=70?91;3g2>;6>;0:n45217195g?<58<=6b69>53`=9k201<9;:0a0?870?3;h?63>7`82`0=:9>h1=i;4=05`>4b234;128i870?7c;3`7>;6180:n55218095g><58386b99>5<>=9jo01<76:0a0?87>k3;o:63>9e82`3=:90o1=i84=0;e>4b134;j<7?k6:?2e0<6j>16=l651c:8yv>c2908w06j:30:?[>c34h3603g=9k201>?n:0a0?856j3;h?63<1b82g6=:;8n1=n=4=214>4eb349>97?m9:?013<6j016?8951c;8960728h270=91;3a=>;4>;0:n45237195g?<5:b99>0a1=9k=0196<:0`;?82?=3;h?63;5e82f==::991=o64=3;2>4d034>nj7?l3:?ab?7dm27h<7?le:?67f<6kl168lj51bg89`b=9jo01<>8:0a0?87703;h?63>0882g6=:99k1=n=4=02a>4eb34;;i7?le:?24c<6kl16=<>51bg8943>28i870?:a;3`7>;6=k0:oh5214a95fc<58?m6b89>534=9kk01<8<:0`b?871>3;hi63>6682`3=:9?l1=n=4=056>4e434;<:7?l3:?232<6kl16=:o51e48941e28n=70?8c;3g2>;6?m0:nl5216g95gg<58286c29>5=0=9jo01<7l:0`:?87>l3;i563>9d82f<=:90l1=o74=0c3>4d>34;j47?l3:p<<<72:q64l4=289]<<=:io0:n;5rs`d94?52s4km6?<7;<161?7ei2789;4>b`9>701=9kk01>8?:0`b?85193;im63<6382fd=:;?91=oo4=247>4df3482>7?m7:?7b4<6k:16n>4>b69>f6<6j1168lj51e389c?=9j901<>=:0a0?877?3;hi63>0982g`=:9931=nk4=02b>4eb34;;n7?k1:?24`<6l816==h51e38947728n:70?<4;3`7>;6=00:oh5214c95fc<58?i6;<36g?7c927:9k4>b`9>536=9kk01<8>:0`b?871:3;in63>6282fg=:9?<1=i?4=044>4d>34;<97?le:?233<6kl16=:951e38941f28h270?8b;3a=>;6?j0:n45216f95gd<58=n6cd9>5=0=9m;01<7>:0a0?87>k3;im63>9e82fd=:90o1=oo4=0;e>4df34;j<7?ma:p<2<72:q6454=289]<2=:il0:n;5rs`g94?54s4kn6?<7;<756?7d;278984>bc9>700=9kh01>;8:0`a?85183;in63<6082fg=:;?81=ol4=240>4de349=87?mb:?115<6k:16>5l51c5891d>28i870;;3im0:h?5211595a7<58:36;<33=?7c927:d09>55d=9m801<>j:0f1?877n3;o>63>1182`7=:9<31=i?4=07b>4b634;>n7?k2:?21f<6l;16=8h51c`8940728hi70?91;3af>;6>;0:nn5217195ge<58<=6d09>520=9m;01<98:0f1?870i3;im63>7c82fd=:9>i1=oo4=05g>4dd34;128n970?62;3`7>;61j0:no5218f95gd<583n6bc9~w=3=839p158523;8Z=3<5hn1=o84}rcg>5<4;r7jh7<=8:?626<6k:16?8;51ca8963128hh70=:7;3ag>;4>90:nn5237395ge<5:<96bb9>607=9j901?6;:0`4?82a:3;h?63:3g82g6=:4b534;;47?k2:?24<<6l;16==o51e08946e28n870??e;3g7>;68o0:h>5210295a5<58?26d29>50e=9m901<;i:0``?87183;io63>6082ff=:9?81=oj4=040>4dc34;=:7?k3:?222<6jk16=:;51e08941128n970?87;3g7>;6?h0:no5216`95gd<58=h6be9>5=2=9m801<6::0f1?87?>3;o?63>9282g6=:90i1=om4=0;g>4dd34;2i7?mc:?2=c<6jj16=l>51ca8yv>42908w06;:30:?[>434kh6132=9j901>;::0`g?852>3;ih63<5682fa=:;?:1=oj4=242>4dc349=>7?md:?026<6jm16?;:51cf8971d28h<70:i3;3`7>;3im0:h952f`82g6=:99=1=i=4=02;>4b434;;57?k3:?24d<6l:16==l51e68946b28n?70??f;3g0>;6990:h95212795f5<58?26d59>50e=9m>01<;i:0`g?87183;ih63>6082fa=:9?81=ok4=040>4db34;=:7?k4:?222<6jj16=:;51e18941128n870?87;3g0>;6?h0:nn5216`95ge<58=h6bd9>5=2=9m901<6::0f0?87?>3;o863>9582g6=:90i1=oj4=0;g>4dc34;2i7?md:?2=c<6jm16=l>51cf8yv2em3:1?v3;bg816<=Y<5=8<644>b69>07g=9k=01eb82f2=:9ln1=o94=254>4d0349<;7?m8:?03a<6j>16?:j51c:8yvc7290?w0k>:30:?[c734;i>7?m6:?2ec<6j?1v55257;9`c=:;on1hk523g19`c=:;l<1hk523eg9`c=:;0>1hk5238g9`c=:;h31hk523c09`c=:;kn1hk521d19`c=:9ll1hk5239`9`c=:;j>1hk523e29`c=:<8?1hk52668gb>;4?o0oj63;088gb>;3no0oj6s|1`d94?g|58km6?<7;<1g2?ba349397ji;<63b?ba349<57ji;<637?ba34>j97ji;<6f3?ba34?;i7ji;<76=?ba3tyn>7>54z?f7?4512Tn>63>b082f3=:9ho1=o84}r3a5?6=;8q6=o?523:8964>2ml0186m:ed8966>2ml0198j:ed89ag=lo16><=5dg9>776=lo16=k75dg9>64b=lo16>lm5dg9>6g0=lo16?<<5dg9>76d=lo16?9=5dg9>71e=lo16?8o5dg9>730=lo16?:=5dg9>062=lo1689?5dg9>01e=lo1688:5dg9>1d0=lo168i75dg9>1f7=lo169io5dg9>1fe=lo169h65dg9>0=1=lo168:o5dg9>6f`=lo16>k<5dg9>6c?=lo168?j5dg9>6a0=lo16>ij5dg9>6`2=lo16>hl5dg9>037=lo1695>5dg9>756=lo16>l>5dg9>36544kf:?6f1u248c967?{t<0h1<7;<{<6:f?450278>94>b69>1=3=9k=01869:0af?83?03;o=63;6982f2=:l;0:n:52d582g6=::9l1=i?4=333>4b6349:m7?k3:?05g<6l:16>l651c5897g>28h<70;5j:0:n:5231g95g1<5::m6b69>71>=9k=01>;=:0`4?852<3;i;63;4682f2=:<=31=n=4=4;e>4d034?j<7?m7:?6e7<6kl168i=51c5890dc28h370;l7;3`a>;2k00:h<5246495g1<5=?m6d09>651c58976328i870==e;3a3>;4:l0:n55240`95g1<5=;h6cd9>1<0=9k2018oj:0a0?83fn3;h?63:b182g6=:=k81=i?4=5`6>4d034>i97?m8:?651<6j>1699751c58901728h<70:je;3a<>;3mo0:oh5253a95f5<5<8n6169?<51c5897<6j>16j<4>b69>bc<6kl16==951cc8946>28hj70??b;3af>;68o0:no5210:95a7<588;694>c29>57>=9jo01<<6:0f2?875l3;o=63>3882g`=:9=>1=o64=06;>4e434;?m7?l3:?20f<6k:16=8:51c58yv30=3:1?v3:77816<=Y=>?01898:0`5?xu2?>0;6>jt=454>74?348;j7?k2:?155<6l;16>28n970=>a;3g0>;49k0:h95230a95a2<5:;o6bg9>701=9kl01>8?:0`e?85193;ij63<6382fc=:;?91=oh4=247>4da34>8i7?m7:?651<6j11699751c:891cb28i870:jf;3g5>;29k0:n55253095g><5=ko64d034li6;<337?7c:27:<:4>bc9>55>=9kh01<>6:0`a?877i3;in63>0c82ff=:99o1=om4=02e>4dd34;:<7?mc:?273<6k:16=8751e78943f28n>70?:b;3a=>;6=j0:n45214d95g`<58<;6b89>531=9kn01<9::0f6?870>3;o963>7682f<=:9>k1=oj4=05a>4dc34;128h270?65;3`7>;61j0:nk5218f95g`<583n6bg9~w055290?w0;<3;01=>X2;;169>:51c4894d328h=7p}:3583>0}:=:>1>?64=522>4d034>;=7?m8:?742<6j>168=951c:8yv7e<3:1>v3>b5816==:;;;1=o94}r734?6=;r7><<4=289]156<5<:96;3;;0:n55250`95f553z?60f<5:01U99l4=46g>4d13ty>8i4?:4y>11b=:;201??n:0`;?822:3;i;63;5382f==:=;81=n=4}rg7>5<3s4o>6?<6;_g7?8c128h=70?m0;3a2>{tm?0;6<<5:lj6b69>7``=9k=01>h>:0`4?825?3;h?63;2982g6=:<;31=n=4=50b>4e434;oj7?m7:?2a5<6j>16=h?51c5894cf28i870?jb;3`7>;6mj0:o>521df95f5<5=i36523:896ef2ml0q~?na;297~;6ik09>45Q1`c894gd28h=7p}>ab83>6}:9hi1>?64=2a;>4d0349h47?m8:p0ad=839p19jl:30:?[2cj27?hi4>b79~w1bc290>w0:kd;01<>;40:0:n:5239195g><5:2264d034>:?7?m8:p0:n;5rsc594?4|5k=1>?64=36f>4d?3ty2:7>53z?:3?4512T2:63l5;3a2>{tk<0;6?u2c4816==::5<4s4326?<6;_;;?8e128h=7p}l6;296~;d>389463=6082f==z{0k1<7=t=8`967?6}:1m09>45Q9b9>g=<6j?1vn650;0x9f>=:;201?8i:0`;?xu>m3:1?v36f;01=>X>m27h57?m6:pg<<72;q6o44=299>620=9k20q~o?:1808g62;827So?;4d13tyhm7>52z?`e?450279;i4>b99~w03f2908w0;:b;01=>X2=h1698o523:8yv32l3:1>v3:5`82f3=:=?64}r6`f?6=;r7?on4=289]0fd<5=ii6?<7;|q7ga<72;q68nl51c4891ec2;837p};9b83>6}:<0n1>?74^5;`?82>k38946s|48g94?4|5=3h6n<7>52z?7``<6j?168h>523:8yv2a>3:1?v3;f6816<=Y<:0`5?837=38946s|55g94?5|5<>m6?<6;_77a>;255rs473>5<5s4??i7?m6:?615<5:11v?=?:180844938956P=319>666=:;20q~<;9;296~;5;90:n;5225;967>53z?17=<5:01U>>94=314>74?3ty9984?:3y>661=9k<01?;::30;?xu5;00;6>u222c967?{t:4d1348>o7<=8:p66d=839p1?=l:30:?[44j279?o4=299~w7042909w0<;5>:09>55rs31g>5<4s488i7<=9:\17a=:::n1>?64}r05e?6=:r79?i4>b79>63g=:;20q~<45Q22d8975a2;837p}=7083>7}:::l1=o84=352>74?3ty98<4?:2y>614=:;30R?:>;<075?4502wx>:650;0x972628h=70<88;01<>{t:=91<7=t=367>74>3W8??63=42816==z{;=m6=4={<077?7e>279;k4=299~w7222908w0<;6;01=>X5<<16>9;523:8yv4?>3:1>v3=4482f3=::1<1>?64}r073?6=;r79854=289]611<5;><6?<7;|q19951c4897>c2;837p};ag83>6}:?74^5ce?82fn38946s|4c394?4|5=km6:181837n3;i:63:10816==z{<>?6=4<{<771?4512T>8952556967>52z?601<6j?16998523:8yv31j3:1?v3:6b816<=Y=?h0188m:30;?xu2>m0;6?u257`95g0<5<?34>347<=8:p0=g=838p1967:0`5?82?i38946s|4d:94?5|5=o26?<6;_6f<>;3m109>55rs5gb>5<5s4>n47?m6:?7ad<5:11v8<;:180835=38956P:259>172=:;20q~;=6;296~;2:=0:n;52534967>53z?173<5:01U>>;4=316>74?3tyi<7>52z?170<6j?16n=4=299~w7552908w0<<3;01=>X5;;16>><523:8yvdf2909w0<<2;3a2>;ei38946s|49d94?5|5=3;6?<6;_6;b>;30o09>55rs5;2>5<5s4>3j7?m6:?7=4<5:11v8?9:180836?38956P:179>140=:;20q~;>8;296~;29?0:n;5250:967>53z?65`<5:01U974?3ty>=k4?:3y>14b=9k<018?i:30;?xu2;<0;6>u2524967?70;<5;01<>{t=:=1<74d134?8;7<=8:p0d0=839p19o8:30:?[2f>27?m;4=299~w1g?2909w0:n6;3a2>;3i109>55rs44;>5<3s4?>h7?m6:?61f<6j?169;o51c:8900>2;837p}:5b83>1}:=?64=e495g1<5m=1=o94=2a1>4d03ty>::4?:3y>137=9k<01888:30;?xu2>80;6>u2573967><5oo1=o94=gg95g>i7>52z?62d<6j>1698k523:8yv31i3:1>v3:6`816==:=?31=o84}r3a1?6=i8q6=o;523:8900>2;k:70;99;0:0>;4:009m<5233;96<2<5<2i6?o>;<7;f?4><278<44=a09>75?=:0>0198j:3c2?821m382863ka;0b5>;ci382863=1281e4=::891>4:4=202>4d?3499<7d;0:0>;5ij09m<522`a96<2<5;h=6?o>;<0a2?4><278=?4=a09>744=:0>01>=m:3c2?854j382863<4281e4=:;=91>4:4=26`>7g6349?o7<64:?01d<5i816?8o5286896012;k:70=96;0:0>;4?:09m<5236196<2<5=9?6?o>;<600?4><27?8<4=a09>017=:0>019:l:3c2?823k382863;5581e4=:<<>1>4:4=4c5>7g634?j:7<64:?7`<<5i8168i75286890e62;k:70;l1;0:0>;2lh09m<525ec96<2<5:lo6?o>;<1e`?4><27>on4=a09>1fe=:0>018k7:3c2?83b03828634:4=2g5>7g6349n:7<64:?0``<5i816?ik5286891>02;k:70:77;0:0>;41=09m<5238696<2<5:3n6?o>;<1:a?4><278m44=a09>7d?=:0>0199n:3c2?820i3828634:4=2`g>7g6349ih7<64:?1gc<5i816>nh5286897`52;k:70;5n009m<522g;96<2<5=8o6?o>;<61`?4><279h;4=a09>6a0=:0>01?jk:3c2?84cl382863=e581e4=::l>1>4:4=3ga>7g6348nn7<64:?724<5i8168;?5286890>72;k:70;70;0:0>;6m:09m<521d196<2<58om6?o>;<3fb?4><278<=4=a09>756=:0>01>mn:3c2?85di3828634:4=3c3>7g6348j<7<64:?0<0<5i816?5;5286896>e2;k:70=7b;0:0>;4k=09m<523b696<2<5:n;6?o>;<1g4?4><27?05`=:0>019?::3c2?826=38286397;0b5>;1?38286383;0b5>;0;382863=0781e4=::9<1>4:4=213>7g63498<7<64:?03<<5i816?:752868961a2;k:70=8f;0:0>;38:09m<5241196<2<5=:26?o>;<63=?4><27?><4=a09>077=:0>01876:3c2?83>1382863:b581e4=:=k>1>4:4=5c6>7g634>j97<64:?7gd<5i8168no5286891c02;k:70:j7;0:0>;3no09m<524gd96<2<5<:n6?o>;<73a?4><27>944=a09>10?=:0>01977:3c2?82>038286s|2cc94?46kr79nl4=299>13?=ll1695l5dd9>1=>=9m<01867:0`:?83?03;im63:8982fg=:=121=om4=4:;>4dc34?347?me:?6<<<6l?1695751c;890>>28hj70;79;3af>;2000:nn5259;95gb<5<226=i7jj;ac<5m=1=i84=e595g?<5m=1=oo4=e595gd<5m=1=om4=e595gb<5m=1=ok4=330>ac<5:8;6ik4=23b>4d>349:m7?ma:?05d<6jk16?a;3aa>;49k0:n45230`95gg<5:;i6be9>74d=9ko01>?l:0`:?856k3;im63<1b82fg=:;8i1=om4=23`>4dc349:o7?me:?05a<6j016?d;3ag>;49m0:ni5230f95gc<5;kh6ik4=3c:>4b1348j57?m9:?1e<<6jh16>l751c`897g>28hh70;5i00:nh522`c95a0<5;kj6bc9>6dg=9ki01?on:0`g?84fi3;ii63=b78ga>;5j:0:nl522c195gd<5;h864>bd9>6g2=9kk01?l;:0`a?84e<3;io63=b582fa=::k>1=ok4=231>ac<5::m6bc9>75`=9ki01>>i:0`g?857n3;ii63<1182f<=:;8:1=oo4=233>4de349:<7?mc:?055<6jm16?<>51cg8965e2mo01>:<:eg8962d2mo01>;n:eg8963228i970=:5;3`0>;4=<0:o85234795f0<5:?>6c89>703=9jk01>;::0aa?852=3;ho63<5482ga=:;4b7349>:7?l2:?013<6k=16?8851b78963128i=70=:6;3`3>;4=?0:o55234495f?<5:?=6cb9>700=9jn01>;9:0ae?852>3;o<63<5682g7=:;<=1=n:4=274>4e2349>;7?l6:?012<6k>16?8951b:8963028i270=:7;3`e>;4=>0:oo5234595fe<5:?<6d19>730=ll16?;>51b08960728i?70=90;3`1>;4>90:o;5237295f1<5:<;6c`9>736=9jh01>8?:0a`?85183;hh63<6182gc=:;?:1=i>4=242>4e5349==7?l4:?024<6k<16?;?51b48960628i<70=91;3`<>;4>80:o45237395fg<5:<:6ce9>737=9jl01>8>:0f3?851:3;h>63<6382g1=:;?81=n;4=241>4e1349=>7?l7:?027<6k116?;<51b;8960528ij70=92;3`f>;4>;0:on5237095fb<5:<964>c39>735=9j>01>8<:0a6?851;3;h:63<6282g2=:;?91=n64=240>4e>349=?7?la:?026<6kk16?;=51ba8960428io70=93;3`b>;4>:0:h=5237695f4<5:c79>732=9j=01>8;:0a;?851<3;h563<6582gd=:;?>1=nl4=247>4ed349=87?ld:?021<6ko16?;:51e2896142mo019:>:eg8912d2mo018o9:eg890g728h270;n0;3ae>;2i90:no525`295ge<5m<4>b89>1d7=9kk018o>:0`a?83f93;io63:a082fa=:=h;1=ok4=4c1>4dd34?j>7?md:?6e7<6jl168i75dd9>1f7=ll169io5dd9>7cb=ll169nm5dd9>1f?=9m<018m6:0`:?83d13;im63:c882fg=:=j31=om4=4a:>4dc34?h57?me:?6gd<6l?169no51c;890ef28hj70;la;3af>;2kh0:nn525bc95gb<57jj;<1a`?bb348hj7jj;<0e6?bb348m57jj;<61`?bb34>947?k6:?76=<6j0168?651cc8914?28hi70:=8;3ag>;3:10:ni5243:95gc<5=82644>b`9>07?=9kh019<6:0``?82513;ih63;2882f`=:<;k1=i84=50b>4d>34>9m7?ma:?76d<6jk168?o51ca8914f28ho70:=a;3aa>;5l?0oi63=de8ga>;5m=0oi63=ec8ga>;3>80oi63:818ga>;6m:0oi63>eg8ga>;4890oi63;4l?0oi63=a18ga>;40<0oi63<8c8ga>;4k=0oi63;38o0oi63;148ga>;1?3nn709<:eg897612mo01>=?:eg8961>2mo01>9i:eg891642mo019>6:eg891462mo01876:eg890d32mo019o::eg891ef2mo019k8:eg891`a2mo018>j:eg8903>2mo01977:eg891gc28hh70:nd;3a`>;3im0:nh5211595gc<58:36bd9>57e=9ki01<2e82fa=:9;n1=ok4=00f>4dc34;9i7?me:?21<<6jj16=8751cf8943>28hn70?:a;3ag>;6=h0:ni5214c95gc<58?i6c49>50`=9j<01<;i:0a4?872n3;h463>5g82g<=:94ee34;>j7?lc:?21c<6km16=8h51bd8943a28n;70?90;3`1>;6>90:o;5217295f1<58<;6c`9>536=9jh01<8?:0a`?87183;hh63>6182gc=:9?:1=i>4=042>4e234;==7?l6:?224<6k>16=;?51b:8940628i270?91;3`e>;6>80:oo5217395fe<58<:6d19>534=9j<01<8=:0a4?871:3;h463>6382g<=:9?81=no4=041>4ee34;=>7?lc:?227<6km16=;<51bd8940528n;70?93;3`2>;6>:0:o:5217195f><58<864>cc9>535=9ji01<8<:0ag?871;3;hj63>6282`5=:9?<1=oj4=045>4db34;=;7?l2:?222<6k=16=;951b78940028i=70?97;3`3>;6>>0:o55217595f?<58<<6cb9>531=9jn01<88:0ae?871?3;o<63>7482fg=:9>?1=om4=056>4dc34;<97?me:?233<6jk16=:851ca8941128ho70?86;3aa>;6?>0:ni5216595gc<58=j6c49>52g=9j<01<9n:0a4?870i3;h463>7`82g<=:9>k1=no4=05b>4ee34;;6?k0:o95216`95f3<58=i6c99>52d=9j301<9m:0ab?870j3;hn63>7c82gf=:9>h1=nj4=05a>4ea34;70?8c;3`2>;6?j0:o:5216a95f><58=h6cc9>52e=9ji01<9l:0ag?870k3;hj63>7b82`5=:9>n1=n;4=05g>4e134;;6?m0:on5216f95fb<58=o6c49>52c=9j<01<9j:0a4?870m3;h463>7d82g<=:9>o1=no4=05f>4ee34;;60=0:no5219695ge<582?6b`9>5=3=9kh01<6::0``?87?=3;ih63>8482f`=:91<1=om4=0:5>4dc34;3:7?me:?2=f<6k<16=4m51b4894?d28i<70?6c;3`<>;61j0:o45218a95fg<583h6ce9>5l3;h963>9e82g3=:90n1=n94=0;g>4e?34;2h7?l9:?2=a<6kh16=4j51b`894?c28ih70?6d;3``>;61m0:ok5218f95a6<583n627:5h4>c69>5m3;hm63>9d82gg=:90o1=nm4=0;f>4ec34;2i7?lf:?2=`<6l916=4h51b7894?a28i=70?6f;3`3>;61o0:o55218d95f?<583m6cb9>5<`=9jn01<7i:0ae?87>n3;o<63>a182g0=:9h:1=n84=0c3>4e034;j<7?l8:?2e5<6k016=l>51bc894g728ii70?n0;3`g>;6i90:oi521`295f`<58k;67}:=74?3tyo97>53z?625<6j>169;>51c:89a3=:;20q~:8b;297c}:=?81=nk4=440>4eb34?=87?le:?620<6l8169;851bg8910>28h370:9b;3a<>;c;3;i;63k4;3a3>;58m0:n:5221g95g1<5;:m6b99>74?=9k201>?n:0af?856j3;hi63<1b82g`=:;8n1=nk4=0d1>4d0348::7?m7:?07<<6j>1689651c58912>28h<70:;a;3a3>;3?k09>55249295g1<5=2:64>c29>025=9k=0199<:0`;?822m3;i;639b;3a3>;5890:n:5221095g1<5;:86b69>16c=9jo018=i:0af?83383;o=63:4082g`=:n90:n:52f682g`=:n10:o>52f882g`=:nh0:oh52fc82g6=:no0:n:5211295fc<58::64>c29>551=9m>01<>7:0f7?87713;o863>0`82`1=:99h1=i;4=02f>4b234;;j7?k5:?255<6l<16=5o51c58yv21n3:1>iu257095a7<5<<86;<750?7c927>:84>d39>130=9m;0198l:0`;?847l3;i463=0d82f==::9l1=n=4=333>4e4348:=7?l3:?05<<6k:16?c;3g5>;49m0:h<521g095g><5;;=6b99>0=4=9k20196<:0af?821n389463;7582f2=:<<5;:;6b99>b5<6j116jk4>b99>556=9m;01<>>:0af?877:3;o=63>0282g`=:99=1=i;4=02;>4b234;;57?k5:?24d<6l<16==l51e48946b28n=70??f;3g2>;6990:h;5219;95g><582i6;2><0:h>5257495a4<5m91=o64=e695g><5;:o6cd9>646=9jo01??>:0af?85613;hi63<1`82`7=:;8h1=i<4=23`>4b5349:h7?k2:?2b3<6j>16=k951c58977f28h<70<>b;3a3>;4;00:n55242;95g1<5=926b99>01g=9k20196=:0a0?82?;3;o=63;5882f2=:<<31=o64=321>4d?348;?7?le:?141<6j1168<751b18922=:;201979:0`4?834m3;o=63:3g82`4=:==:1=i<4=462>4b634>jh7?k5:?e3?7c927m47?le:?e=?7c927mm7?k1:?ef?7dm27mj7?l3:?245<6l;16==?51e38946528n970??3;3g5>;68>0:h;5211:95a0<58:2627:b89>55c=9k301<>i:0`:?87683;i563>5c82`0=:94b234;<;7?k5:?2<3<6l<16=5o51c:894>e28h37p}:6383>7}:=?81>?64=444>4d03ty>:>4?:3y>135=:;201888:0`;?xu2>=0;6?u2576967><5<<<630|5<<=64;4>c29>1=1=9j901867:0af?83?13;hi63<4882g6=:;=k1=nk4=276>4db349>:7?me:?012<6jl16?;>51cg8960628hn70=92;3aa>;4>:0:nh5237695gc<5o54>c29>1f?=9jo018mn:0af?82?=3;hi63=9`82g6=::0h1=nk4=3;`>4eb344d?34>:i7?l3:?6e`<6j1169lh51c:890d728h370;m1;3a<>;2j;0:oh5224095fc<5=hi6>n4>b99>17b=9k2018:>:0f1?82fl3;o:638:0`4?81=9k201k:51b189c>=9m;01<>>:0f1?877?3;i563>0982f<=:9931=o74=02b>4d>34;;n7?ma:?24`<6jh16==h51cc8947728hj70?>4;3a<>;69<0:n55210:95fc<58;26b99>576=9j901<<>:0a0?875<3;i463>2982g6=:9;31=nk4=00`>4e434;9h7?le:?26`<6kl16=>=51b18945>28i870?;6;k0:n55212a95g><589o6b99>51e=9k201<;>:0`;?87203;hi63>5882`1=:94b134;>o7?k6:?21c<6jl16=;>51cg8940628hn70?96;3g2>;6?:0:o>5216695fc<58=>6d79>5=2=9m>01<6::0f7?87?>3;o:63>8e82g6=:9031=nk4=0;`>4db34;2h7?me:?2=`<6jl16=4h51cg894g728hn70?n7;3`7>{t=?<1<774?34?=;7?k1:p77>=838p1><<:0`5?855138946s|33794?4|5:8262mo01><;:30;?xu4:?0;6?u233795g1<5:8=6?<7;|q062<72;q6??;51c:896402;837p}<1583>0}:;;=1=o94=204>4d?349:87<=8:?ab?7c:27h<7?k1:p77d=83>=w0==4;3a<>;20<0:n55259595fc<5<226;<657?m8:?154<6l816?<751e38967d28n870=>d;3g7>;5i10:n5522`c95g1<5;h96b99>746=9k=01>=::0`;?85413;h?63<4182f==:;=21=o64=271>4d?349>87?m8:?702<6j11689o51b1890?a28h370;n1;3a3>;3l:0:n5525cg95g><5b69>00`=9k20189j:0`;?84>i3;hi63=9b82`4=::0n1=o64=6295g><5;:?6b99>04e=9k2019?k:0`;?83>?3;i463:b082g6=:=;h1=n=4=40g>4e434?9i7?m8:?66c<6j116o=4>d39>6?7e027m=7?m8:?eb?7c927:<54>b`9>55g=9kk01<>j:0`a?87683;in63>1882`4=:9;;1=nk4=000>4e434;9o7?le:?26`<6l816=>o51bg8945e28i870?;6;m0:h<5215795g><58>26c29>502=9k20q~;7a;297~;2080:n;5259a95g><5<2i6?<7;|q6<4<728np186>:30;?83?=3;h?63:8782`4=:=1=1=i?4=4:;>4b534?357?k2:?6e5<6j1169l?51c:890g528n:70;l7;3g5>;2k10:h<525b;95a4<5i94>b69>1`3=9k=018k9:0`4?83e:3;o>63>1982`7=:9831=i<4=003>4b634;9=7?k1:?26f<6l816=?j51e08944b28n970?<9;3g5>;6;h0:h<5212f95a4<58?9652;837p}:8b83>7}:=1i1>?64=4:a>4d13ty>4>4?:3y>1=4=9k=0186<:30;?xu20=0;6?u259095g><5<2?6?<7;|q6<0<72;q695;523:890>328h<7p}:cd83>60|5<2=6454>d29>1=?=9m9018o?:0a0?83f93;h?63:a382`7=:=kh1=o94=4``>4d034?ih7?l3:?6f`<6k:169oh51c:890eb2;8370;k4;3a3>;2k?0:n:525b595a4<5ol4>d29>1`4=9k2018k<:0`;?83b<3;i463:e482f==:=l<1=o64=555>4d?34><;7?m8:?1==<6j>16>4j51b1893b=9j901;k51b1893`=9j901:>51b1890?428h<70;65;3a3>;2:k0:oh5253a95fc<5<8o6d29>54?=9m9011b82g6=:9;:1=i<4=002>4b534;9>7?le:?266<6kl16=?:51bg8944d28n970?=d;3g7>;6:l0:h>5215395g1<58>963;o?63:8682`6=:=121=i:4=4::>4b334?j<7?le:?6e4<6kl169l<51e1890dd28h370;md;3`a>;2jl0:oh525cd95f5<56h:4>b69>1a>=9k=018m=:30;?83d?3;o?63:c982`6=:=j31=i:4=4ab>4b334?n>7?l3:?6a6<6k:169h:51b1890c228i870;j6;3`7>;3??0:o>5246595f5<5;336d39>64b634?2?7?m8:?6=1<6j>1694;51c:890?128i870;67;3`7>;2il0:oh525`d95fc<5n?4>d29>17d=9m;0184e434;:87?l3:?250<6k:16=<651e68947>28n?70?>a;3`a>;69k0:oh5210a95fc<588;6?4>d09>575=9m;01<<;:0f2?875k3;o?63>2e82`1=:9;o1=i:4=01:>4b534;8m7?k2:?27g<6kl16=>m51bg8945c28n870?;2;3a<>;6<:0:n:5215695f5<58>>6cd9>51g=9jo01<:m:0af?873k3;hi63>5182f==:9<;1=nk4=071>4d?34;>87?l3:p1ae=838mw0;76;3g0>;20>0:h95259:95a3<5<226m<4>d09>1d4=9m>018lm:0`;?83ek3;h?63:d582f==:=m?1=o64=4f5>4d?34?o;7?m8:?6`=<6j1169n851c:890e028n?70;l8;3g0>;2k00:h8525bc95a3<5i>4>cd9>1`2=9jo018k::0af?83b>3;hi63;7782g`=:<>=1=nk4=3;b>4b53482n7?k3:?1=f<6l:16:i4>d09>2`<6l816:k4>d09>35<6l8168d;3`a>;39l0:h?5258695g><5<3>6n4>d59>57b=9m?01<4282f==:9<91=o64}r7;2?6=:r7>4;4=299>1=2=9k20q~;77;296~;20>09>55259695f552z?6<=<5:11695:51bg8yv3?13:1>v3:88816==:=1>1=i?4}r13b79>75?=:;20q~=?2;2976}:;981>?64=225>4d034?j<7?k2:?6e4<6l;169l<51e7890dc28n:70;me;3g5>;2jo0:oh525e795f5<5;<642?7c927?;:4>d09>6?3;hi63:ad82`4=:=hl1=i?4=4`3>4b634?i=7?k1:?66g<6l;169?m51e08904c28n970;=e;3g5>;2:o0:h<52b482f2=:9891=nk4=037>4eb34;:97?le:?25d<6l816=;6::0:h?5213695a4<588h627:>h4>d79>56d=9m;01<=l:0f2?873<3;hi63>4482g`=:9=21=i?4=06:>4b634;?m7?k1:?20g<6l816=9m51e38943728i870?:1;3g5>;6=;0:o>5214195f5<58??67}:;991=o94=227>74?3ty8<84?:3y>755=9k201>>::30;?xu51<0;69u231495g><5;3>6?<7;<313?7e?27:>:4>b99~w6612909w0=?6;01<>;48<0:n:5rs3ab>5<68r78<:4>b69>6d?=9k201?on:0`;?84e;3;i463=b582f==:;9l1=o64=233>4d?348hm7<=8:?1b5<6j>16>k951c5897b328h<70;5lk0:n:522d095g1<5;o26hj50;07857?3;i463=a882g6=::hk1=n=4=3`0>4e4348i87?l3:?04c<6k:16?<>51b1890g728n870;n1;3g7>;2i;0:h;525cd95a7<5d39>021=9m801?kk:30;?84a?3;i463=fd82f==::0n1=i<4=53a>4b634?9i7?k2:?66c<6l;16n84>b99>545=9m;011`82`7=:98h1=i<4=03`>4b534;9o7?k6:?26a<6j016=?k51c;8943728in70?:2;3`a>;6=:0:oh5214695a7522y>751=9j901?o6:0af?84fi3;hi63=b282g`=::k>1=nk4=22e>4eb349:<7?le:?6e5<6l=169l?51e6890g528h270;mf;3g6>;2l>0:o>525d795a7<5===66cc=9j901?7k:0f0?826k3;o=63:2d82`6=:=;l1=i=4=c795f5<58;86d39>54g=9m9012b82f<=:9;n1=oo4=00f>4df34;><7?k1:?217<6l816=8=51e38943328n97p}=d083>`}:;9=1=nk4=3c:>4b6348jm7?k1:?1f6<6l816>o:51e38966a28n:70=>0;3g5>;5n90:n5522g595f5<5;n:6?<7;<0gf?7e0279i?4>b99>6`?=9k201?hj:0af?xu5l10;6iu231595a7<5;k264>d39>6g2=9m801>>i:0f1?85683;o>63=f182g6=::o=1=nk4=3f;>74?348n>7?l3:?1a<<6k:16>kk51e38yv4cn3:1ov3<0682`7=::h31=i=4=3cb>4b4348i?7?k3:?1f1<6l:16?=h51e18967728n870;5n>0:h<522ed967><5;o26h850;`x966028n870;5ih0:h9522c195a2<5;h?6d59>6c6=9m;01?h8:0f1?84b>389463=fd82`6=z{;li6=4=0z?042<6l=16>o=51e7897d328n>70=?f;3g1>;4990:h8525`295a3<5nk4>d29>1a>=9j9018k9:0f2?820>3;o863;7682`1=::oh1>?64=3;g>4b334>:h7?k1:?66`<6l=169?h51e689g3=9jo011482`6=:98k1=i:4=03a>4b334;:o7?k4:?26f<6jh16=?j51c`8944b28hi70?:0;3g6>;6=;0:h?5214195a4<58??67}:74?3ty?:?4?:3fx91052;8370:99;3`7>;3>h0:o>5247`95f5<5=4e434n?6d29>647=9m901>?6:0f0?856i3;o963<1c82`0=:;8i1=i;4=23g>4b2349857?le:?77d<6j>168>o51c:8912?28i870:;9;3`a>;3c29>551=9ki01<>7:0``?87713;io63>0`82ff=:99h1=oj4=02f>4dc34;;j7?md:?255<6jm16=5751b1894>f28i870?7b;3`7>;60j0:oh5219f95fc<583:64>cd9>5<2=9jo01<7::0af?87>03;o=63>9882`4=z{=<86=4={<65a?7e>27?:>4=299~w1032909w0:93;3a3>;3>=09>55rs546>5<5s4>=?7?m8:?720<5:11v<67:180821>3;i;63;6782f==:9121>?64}r652?6=:r7?:;4=299>033=9k=0q~?7f;297~;3>>0:n:5247595g><582m6?<7;|q722<72;q68;9523:8910228h37p};6983>7}:?64=546>4e43ty?:44?:3y>03?=:;20198::0af?xu3>h0;6?u247c967><5=<>6;|q72g<72;q68;l523:8910228n97p};6b83>7}:?64=546>4b43tyo57>53z?``?7e>27on7?m8:?ge?4502wxoi4?:dy>ga<5:116h?4>c29>`6<6kl16h94>d09>76?=9m;01>:>:0`4?851l3;i;63;d582f2=:bg9>52c=9kl0q~j7:1818b228h=70j7:30;?xudm3:1>v3kb;3a3>;dm38946s|dc83>7}:lk09>552d`82f3=z{jl1<7?64}rf3>5<5s4in674?3ty8?54?:2y>`4<6j>16h<4>b99>76>=:;20q~j>:1818b62;8370j?:0`4?xuc:3:1>v3k2;01<>;c83;i46s|43094?d|5m91=i?4=e695a4<5:926d09>01g=9m;0196?:0a0?82?93;h?63;7582f==:<;81>?64=322>4d?3ty:i94?:02x9a5=9m801i:51e18965>28n870:;8;3g5>;3<00:h?5245c95a4<5=8<644>b99>07g=9k201e`82f==:9lh1=o64=0g`>4d?34;nh7?m8:p`6<72;q6h>4=299>`5<6k:1vi:50;0x9a2=:;201i>51bg8yv5?k3:1==u2d782f==:l>0:n55239a967><5:3;6b69>77m:0`4?85f;3;i;634d0349i57?m7:?0fd<6j>16?ol51c5896e528h37p}<9783>c}:l?0:o>52d682g6=:;0;1=o64=2;1>4d?3492:7<=8:?0=d<6j116?4l51c:896g328h<70=n6;3a<>;4io0:n5523c295g><5:h26b99>7f4=9j90q~=6f;29b~;c>3;hi63k7;3`a>;4180:o>5238095f5<5:3m6?<7;<1b7?7e0278m94>b99>7d0=9j901>o8:0`4?85fn3;h?634e4349in7?l3:?0g7<6kl1v>on:18a8b128n:70j8:0f2?85>93;hi63<9382g`=:;h=1=o64=2cb>74?349ji7?m7:?0f<<6kl16?oo51bg896de28in70=l2;3g5>{t;k>1<77t=e495a4<5m=1=i<4=2;2>4b63492>7?k1:?0e2<6k:16?o:523:896d?28h<70=mb;3g5>;4k;0:h?5rse494?4|5m<1>?64=e:95g154z?g3?7c;27?>84>b69>073=9k201?64}r624?6=:r7o;7?k5:?755<5:11vi950;0x9a1=:;201i651c:8yv46:3:1?v3=0682f3=::8>1=o64=330>74?3ty9<:4?:05x97602;8370;58l0:oh5221d95a2<5;;;6d59>74g=9m<01>?m:0f5?856k3;o:63<1e82`3=:4d?34?;o7?m7:?612<6j>16==951cf8946?28ho70??9;3a`>;68h0:ni5211`95gc<58:n6bd9~w76?2909w0<>4;3a3>;58109>55rs337>5<5s48:87<=8:?156<6j?1v?>6:18184703;i;63=08816==z{;:j6=4={<03;58k0:n55230:967>52z?14g<5:116>=o51c58yv77=3:1?v3=0b82f2=::9i1=o64=026>74?3ty965e=:;201?>n:0`;?xu3;<0;6;u221f95a7<5;:n6;<601?45027??h4>b99>06`=9j901k<51c:8yv47l3:1>v3=0e816==::9k1=n=4}r03a?6=:r7965g=9jo0q~55221c95a752z?155<5:116>=o51e08yv4693:1>v3=10816==::9k1=i=4}r12b?6=;r78=94>b79>774=9k201>7}:;;81>?64=203>4d13ty8><4?:3y>777=:;201>0:n:5230595g><5ko1>?64}r12=?6=:r78=44=299>74c=9k=0q~=>a;296~;49h09>55230g95g>52z?05g<5:116?v3<1b816==:;8o1=nk4}r12`?6=:r78=i4=299>74c=9m;0q~?i8;296~;6n80:n;521g;967>53z?2b4<5:1169=751c58906>28h37p}>f283>7}:9o31=o84=0d0>74?3ty:j?4?:3y>5c?=ll16=k<523:8yv7a<3:1>v3>f282f2=:9o>1>?64}r3e1?6=:r7:j>4>b99>5c3=:;20q~?i6;296~;6n?09>5521g795g19i7>52z?2b2<6j1168?k523:8yv7a?3:1>v3>f6816==:9o?1=o64}r02g?6=:r79=84>b79>64b=:;20q~<>5;297~;59<09>55254695g1<57}::8n1hh52204967>52z?152<6j>16><6523:8yv4613:1>v3=1682f==::831>?64}r02e?6=:r79=l4=299>64?=9k=0q~:;e;296~;59k0:n55245g967>52z?15g<5:116><751c:8yv4fj3:1?v3=a582f3=::hn1=o64=3c`>74?3ty9m94?:0:x97g32;8370;5i00:h8522`c95a3<5:?>6c19>736=9j:01>8>:0a3?851:3;h<63<6282g5=:;?>1=n>4=07e>4e734;=<7?l0:?224<6k916=;951cg8941f28hn70?8b;3aa>;6?j0:nh5218a95f6<583o6c19>5d6=9j:0q~52z?1ea<5:116>lm51c48yv4f>3:1>v3=a482f2=::h<1>?64}r0b3?6=:r79m84>b99>6d1=:;20q~5522`595g152z?1e<<5:116>l951c:8yv4fi3:1>v3=a`816==::h=1=n=4}r0a1?6=;r79mh4>b79>6g1=9k201?l9:30;?xu5il0;6<74?348i>7?l3:?1f6<6l?16>o:51e48965128h<70=80;3a<>;4?80:n5524e595g><5=h<64>c19>53d=9k201<8l:0`;?871l3;i463>7e82g5=:9>o1=n>4=0c7>4d?34;j97?m8:p6d`=838p1?l8:0`4?84fn38946s|2c594?4|5;h<6?<7;<0a2?7e>2wx>o>50;0x97ga28h<70{t:k;1<74d?348i=7<=8:p6g4=838p1?l=:30;?84e93;i;6s|31c94?0|5;h8675c=9j901>>i:0f5?85683;o:6s|2c194?4|5;h86?<7;<0a5?7e02wx>o:50;0x97d32;8370{t;8;1<7=t=22b>4d1349:?7?m8:?057<5:11v>>m:181856;3;i;63<0c816==z{:;86=4={<127?450278=?4>b79~w66d2909w0=?b;3a3>;48j09>55rs22g>5<5s49;n7?m8:?04a<5:11v>>j:181857m389463<0e82f2=z{::m6=4={<13b?450278b99~w6772909w0=>0;01<>;48m0:o>5rs21b>5<5s498=7?m6:?07g<5:11v>=>:18a8549389463<3782f==:;:=1=i?4=24f>4d034>o97?m7:?7f2<6k:16ii4>d39>534=9j;01<8<:0a2?870l3;h=63>7d82g4=z{:926=4={<10278?44=299~w6552909w0=;4;;09>55rs210>5<5s498>7?m7:?076<5:11v>=;:181854:3;i463<35816==z{:9>6=4={<101?450278?94>b69~w6512909w0=<6;01<>;4;=0:n55rs214>5<5s498;7<=8:?071<6k:1v>:=:181854k3;i:63<42816==z{:9h6=4n{<10g?4502788<4>b99>73`=9k=019j9:0`4?82e?3;hi63jd;3g7>;6>;0:o?5217195f4<58=o6j50;0x962428h=70={t;:o1<74d03498i7<=8:p76`=838p1>=k:0`;?854n38946s|35294?4|5:>;6?<7;<10b?7e?2wx?9?50;0x96262;8370={t;=h1<74d1349?o7<=8:p712=83=p1>:;:30;?85313;hi63<4`82`4=:9<21=i?4=050>4eb34;<87?k1:?2e2<6kl1v>:::181853k3;i:63<44816==z{:>=6=4={<171?7e?2788;4=299~w6202909w0=;5;3a<>;4<>09>55rs26;>5<5s49?47<=8:?002<6j>1v8o7:18485313;o=63<4`82`7=:=h21>?64=07;>4b534;v3<48816==:;==1=o64}r17e?6=:r788l4=299>711=9j90q~=:9;296~;4514y>71b=:;2019ok:0`b?87213;o:63>5`82`3=:94df34;=>7?l4:?223<6jh16=;k51c:8940a28in70?85;3g2>;6??0:h;5216595gg<58=o64>cd9>5=2=9m<01<6::0f5?87?>3;im63>a782f==:9h21=nk4}r164>b79>70>=:;20q~=:3;297~;4=:09>55234d95g1<5:?m67}:;=o1=o94=26e>74?3ty89=4?:3y>71c=9k201>;?:30;?xu6090;6>u234395g1<5:?:6{t;<81<774?349><7?m8:p702=838p1>;;:30;?85203;i;6s|34`94?72s49>97?l1:?013<6k816?8951b38963e2;8370=90;3`5>;4>80:o<5237095f7<5:<86;<150?7d927:9k4>c09>536=9j;01<8>:0a2?871?3;ij63>7`82fc=:9>h1=oh4=05`>4da34;2o7?l1:?2=a<6k816=4k51b3894?a28i:70?n0;3`5>{t;74?349>47?m8:p700=838p1>;9:30;?85203;h?6s|34594?4|5:?<6?<7;<16{t;4d1349>o7<=8:p70b=838p1>;l:0`4?852l38946s|34g94?4|5:?h6{t;?:1<774?349>i7?m8:p737=838p1>8>:30;?852m3;h?6s|37094?4|5:<96?<7;<16a?7dm2wx?;=50;0x96042;8370=:e;3g5>{t;?>1<774?349>i7?k2:p724=838p1>88:0`5?850;38946s|37594?7>s49=;7<=8:?02a<6j116?;k51c:8960a28h370=80;3`7>;4?80:o>5256295g><58?36b89>50d=9kh01<;l:0`a?872n3;h>63>6182g7=:9?;1=n<4=0;`>4e534;2h7?l2:?2=`<6k;16=4h51b0894g728i970?n4;3`7>;6i<0:o>521`495f5<58k<6{t;?31<74d0349=57<=8:p73g=838p1>87:0`;?851i38946s|14494?5|5:;4>h0:n:5rs0c1>5<4s49=o7?m7:?02f<6j116=l<523:8yv51k3:1>v3<6b816==:;?k1=o64}r694?7fs49=h7?l3:?02`<6k:16?;h51b18961728in70=81;3`a>;32;8370?:8;3g0>;6=00:nl5214c95gg<58?i6c59>536=9j>01<8>:0a7?871:3;h963>6282g1=:90i1=n:4=0;g>4e334;2i7?l4:?2=c<6k=16=l>51b6894g328in70?n5;3`a>;6i?0:oh521`595a5<58k367}:;?o1>?64=24b>4eb3ty8:k4?:3y>73`=:;201>8n:0f2?xu4?90;6?u2362967><5:7}:<;o1=o84=517>74?3ty??=4?:3y>062=9k<019=?:30;?xu3:o0;6?u24269``=:<;l1>?64}r605?6=:r7??=4>b69>067=:;20q~:<2;296~;3;90:n552420967>>97>57z?76c<6j>168?h51c:8912a28h<70:;f;3a<>;3=<09>55248795g1<5=3>6;51c48912528h370:;1;01<>{t<:<1<74d034>8:7<=8:p014=838p19:=:30;?82393;i:6s|42594?4|5=9=6650;0x915128h370:<8;01<>{t<:31<774?34>847?m7:p06g=838p19=n:30;?82403;i46s|ed83>6}:<:h1=o94=51a>4d?34on6?<7;|q77g<72;q68>l523:8915?28i87p};f183>6}:<:i1=o94=51`>4d?34>m<7<=8:p06e=838p19=l:30;?82403;hi6s|42f94?4|5=9o6?<7;<60k50;0x915b2;8370:<8;3g6>{t<:l1<774?34>847?k3:p01d=839p19:<:0`5?823l3;i463;4b816==z{=>86=4>9z?706<5:11689951b18912?28n970:;9;3g7>;3524`f95gd<58?26be9>50e=9kn01<8<:0a6?871>3;in63>6d82g6=:9?l1=i?4=056>4d>34;<:7?m9:?232<6jk16=:k51b6894>528i870?73;3g5>;60=0:n45219795g?<582=6d29~w1232909w0:;d;3a3>;3<=09>55rs56g>5<5s4>?h7<=8:?70f<6j?1v9:::181823<3;i;63;44816==z{=>=6=4={<670?7e027?8;4=299~w1202909w0:;7;01<>;35<5s4>?47<=8:?703<6j11v9:6:1818231389463;4782g6=z{=>j6=4={<67e?45027?8;4>cd9~w1342909w0:;e;3a2>;3==09>55rs573>5<5s4>>87?m6:?715<5:11v9:i:181822<3nn70:;f;01<>{t<<;1<74d034>>=7<=8:p004=838p19;?:0`;?822:38946s|5`794?5|5<3j6m;4=299~w0?f290hw0;6a;01<>;21o0:o>525`295a0<5=o4>cd9>174=9jo01<<7:0f2?87513;o>63>2b82fg=:9;n1=om4=00f>4dd3ty>m94?:3y>1d5=9k<018o;:30;?xu2i:0;6>u25`1967><589:6{t=h=1<774?34?j:7?m6:p1k38946s|58f94?4|5<3i6;6:k09>55rs4;f>5<5s4?2i7<=8:?6=a<6j>1v87i:18183>n389463:9e82f==z{5i4>c29~w0g62909w0;n1;01<>;21m0:oh5rs4c1>5<5s4?j>7<=8:?6=a<6l81v9j7:18082dl3;i:63;d`82f3=:?64}r6ge?6=9=q68io523:891b328h370:k5;3a<>;3l?0:n5524e595f5<58<=6c29>53e=9j901<8k:0a0?871m3;hi63>6g82`7=:9>91=i<4=057>4b434;<97?ma:?233<6jh16=:951ca8941f28i;70?8b;3`4>;6?j0:o=5rs5af>5<5s4>o57?m6:?7g`<5:11v9mi:18182dm3;i;63;cg816==z{=n;6=4={<6`a?7e027?h=4=299~w40>2908w0:k1;3a3>;3l80:n55217;967>o=7>52z?7`4<5:1168i>51c58yv7093:1?v3;d382f2=:74?3ty?h?4?:3y>0a4=:;2019j?:0`;?xu3l:0;6?u24e1967><5=n;67}:?64=5f3>4b63ty?h;4?:3y>0a0=:;2019j?:0f1?xu3l>0;6?u24e5967><5=n;641|56?<7;<6`2?7e?27?o;4>b99>61b=9k201?:j:0`4?84213;i463=5`82f2=::?:1=o64=342>4d0348=;7?m8:?12=<6j>16>;k51c:8970a28h<70<85;3a<>;5??0:n:5226a95g><5;=o6b99>6=g=9k201?6m:0`;?84>93;i463=9382f==z{27>n;4=299~w0d02909w0;m6;3a3>;2j>09>55rs4`;>5<5s4?i:7?m8:?6f=<5:11v?64}r7a=?6=:r7>n44=299>1g>=9k=0q~;=a;297~;2jh0:n:525cc95g><5<8j6?<7;|q6fd<72;q69oo523:890d?28h37p}:bc83>7}:=kh1>?64=4`;>4e43ty>nn4?:3y>1ge=:;2018l7:0af?xu2?k0;64b534?ii7?k2:?6`3<6kl169i951bg890b?28in70;8b;01<>;21?0:h<5258595a7<5n=4>d39>1g7=9m80184b434;987?k3:?27g<6l;16=>m51e08942328n:70?;5;3g5>;6<10:h?5215;95a4<58>j6d39>507=9m80q~;md;296~;2jm09>5525c:95a752z?6f`<5:1169o651e08yv3en3:1>v3:bg816==:=k21=i=4}r7g=?6=;r7>oh4>b79>1ad=9k2018jn:30;?xu2ko0;6?u25e`95g1<57}:=jl1=o94=4f3>74?3ty>h<4?:3y>1f`=9k2018j>:30;?xu39h0;6>u25e095g1<5{t:031<7=t=4f0>4d034?o?7?m8:?1=<<5:11v8j<:18183c;389463:d082f==z{h<4>c29~w0b22909w0;k5;01<>;2l80:oh5rs4f5>5<5s4?o:7<=8:?6`4<6l81v8j8:18183c?389463:d082`7=z{h<4>d29~w6`d2909w0=i4;3a2>;4nm09>55rs2d7>5<50r78j94=299>7c?=9j901>hn:0af?85aj3;h?634d?349nj7?m8:?0b4<6kl16?h:51b1896be28i870=kc;3`7>;3:>0:h>5243:95a5<5=826c29>5ac=9j901e082g`=:9l31=i?4=0gb>4b634;nn7?k1:?2af<6l816=hj51e38932=9j901;;51b1891g528i870:n3;3`7>;3k10:n5524d695g><5=o>6c29>15d=9j9018>l:0af?832=3;h?63:5782g6=:=<=1=nk4}r1e1?6=:r78ji4>b79>7c3=:;20q~=i6;296~;4n<0:n:523g4967>52z?0b0<6j116?k9523:8yv5b?3:1=hu23g:95g1<5:l26b69>7`1=:;201>kl:0`4?85b;3;i;634eb34>947?le:?76<<6kl168?o51bg894bc28h<70?ke;3a3>;6m00:n5521dc95fc<58oi6cd9>21<6j>16:84>b69>0d4=9k=019o<:0`4?82b<3;i;63;fe82f2=:=9k1=o94=42a>4d034?>97?m7:?613<6j>1v>ji:182<~;4n10:n5523gc95f5<5:li67`5=9k201>jm:0`;?85ck3;i;63;2682`4=:<;21=i?4=50:>4b634>9m7?k1:?2``<6j116=ih51c:894c728h370?j1;3a<>;6m00:o>526482f==:4d?34?;m7?m8:?64f<6j11698;51c:8903028h37p}41|5:l36c29>7`5=9j901>k;:0`;?85c?3894634b534>957?k2:?76d<6l;16=ij51c:894ba28i870?j0;3`7>;6m80:o>521d;95fc<5?>1=o64=5c0>4d?34>n97?m7:?64g<6j1169=m51b18903128h370;:7;3`7>{t;o21<774?349m;7?m7:p7c?=838p1>h6:30;?85a?3;i46s|3gc94?4|5:lj6?<7;<1e3?7d;2wx?i?50;6x96`e28in70?ke;3`a>;4l809>5526482g`=z{:li6=4={<1ef?450278j:4>cd9~w0ee2908w0;l2;3a2>;2km0:n5525ba967>52z?6ga<6j>169n=523:8yv3dl3:1>v3:ce816==:=ji1=o84}r7`0?6=:r7>o>4>b69>1f2=:;20q~;l5;296~;2k:0:n5525b7967>52z?6g3<5:1169n;51c58yv3d?3:1>v3:c6816==:=j?1=o64}r7`o54=299>1f3=9j90q~;l9;296~;2k009>5525b795fc52z?6gd<5:1169n;51e38yv3b?3:1?v3:db82f3=:=l31=o64=4g;>74?3ty>hi4?:3y>1`?=9k=018jk:30;?xu2m00;6?u25d;967><57}:=mn1=o64=4fe>74?3ty=o7>53z?6a5<6j>169h>51c:893e=:;20q~;j0;296~;2m909>5525ed95g1<97>53z?6a4<6j>169h?51c:891122;837p}:e083>7}:=l;1>?64=4fe>4d?3ty>i?4?:3y>1`4=:;2018ji:0a0?xu2m:0;6?u25d1967><57}:=l?1>?64=4fe>4b53ty>i;4?:3y>1`0=:;2018ji:0f0?xu4n;0;6?u23d595g0<5:l86?<7;|q0b4<72;q6?k>51c4896`62;837p}6}:;o:1>?64=0f`>4d034;oo7?m8:p7`>=838p1>h<:0`5?85b038946s|3d;94?4|5:o36{t4d0349nn7?m8:?7g2<5:11v>km:18185bj389463b99~w6da290>w0=jd;3`7>;3:>0:h9521ed95a7<58oj6{t;>>1<7;t=2gf>4e434>947?k4:?2a5<6l816=hl51e0896132;837p}<7`83>0}:;lo1=nk4=50;>4b234;n<7?k2:?2ag<6l:16?:o523:8yv5bm3:1>v3c29>07?=9m>01:0f2?87bk3;o>63<81816==z{:2=6=4:{<1fb?7dm27?>44>d49>5`7=9m80138946s|3dd94?4|5:om6?<7;<1fe?7c92wx?kk50;7x96`628n:70:=a;3g0>;6mm0:h?523gg967><5=i3670?jd;3g7>;38=09>5524b:95fc52z?0`c<6j?16?h8523:8yv5b83:1>v3?64}r1f5?6=:r78i=4>b69>7`7=:;20q~=j2;296~;4m90:n5523d0967>52z?0a6<5:116?h<51c58yv5b<3:1>v3b79>7ac=:;20q~=k8;296~;4ll0:n;523e:967>52z?0`=<6j>16?i7523:8yv5ci3:1>v3?64}r1gf?6=:r78ho4=299>7ag=9k=0q~=kc;296~;4lj09>5523ec95g>3:7>52z?73g<6j?16859523:8yv2?=3:1>v3;8582f3=:<1?1>?64}r6;0?6=;r7?494=299>16d=9k=018=m:0`;?xu3?j0;6?u249595g0<5==h6?<7;|q73a<72;q68:m51c58911c2;837p};7d83>7}:<>i1=o64=55f>74?3tymh7>53z?73c<6j>168:h51c:89cb=:;20q~:8f;296~;3?o09>55246g95g13<7>52z?7<5<5:1168:k51c:8yv2?93:1>v3;80816==:<>o1=n=4}r6;6?6=:r7?4?4=299>02c=9jo0q~:73;296~;30:09>55246g95a753z?07}:;0?1=o94=2:g>74?3ty8584?:3y>7<3=:;201>7;:0`5?xu40l0;6?u239f95g1<5:2n6?<7;|q0a2;837p}g}:;0:1=o64=2;2>4b53492o7?m7:?0e0<6j>16?lk51c:896ga28in70=m8;3a<>;4j00:h<523b7967><5:in6;41j0:n5523`795g><5:kn6c29>7gg=9m;01>mm:30;?xu4190;6?u2382967><5:2m6a28h37p}<9383>7}:;081>?64=2:e>4e43ty85i4?:3y>7<0=9k<01>7j:30;?xu41>0;6?u238g95g0<5:3<6?<7;|q0==<72;q6?4951c5896??2;837p}<9883>7}:;0=1=o64=2;:>74?3ty85l4?:3y>776:0`4?xu41k0;6?u238`967><5:32628i87p}7}:;0l1=o84=2c:>74?3ty8m=4?:3y>7d?=9k<01>o?:30;?xu4i80;6?u23`295g1<5:k:6?<7;|q0e7<72;q6?l>51c:896g52;837p}7}:;h91>?64=2c1>4d03ty8m94?:3y>7d2=:;201>o=:0`;?xu4i<0;6?u23`7967><5:k967}:;h=1>?64=2c1>4b63ty?;44?:3y>03`=9k<0199n:30;?xu3?10;6?u246795g0<5==36?<7;|q735<72;q68:o51c4891172;837p};7083>7}:<>:1=o94=552>74?3ty?;?4?:3y>026=9k20199=:30;?xu3?:0;6?u2461967><5==967}:<><1>?64=55;>4d03ty?;:4?:3y>021=:;201997:0`;?xu4j80;6>u23`c95g0<5:h86{t;k91<774?349i>7?m6:p7de=838p1>om:0`4?85fk38946s|3`f94?4|5:ki6{t;hl1<774?349jh7?m8:p7g6=838p1>l?:30;?85fl3;h?6s|3ca94?5|5:h?6;4j<09>55rs2`f>5<5s49ii7<=8:?0fa<6j?1v>l9:18185e=3;i;63;4j>0:n:5rs2`:>5<5s49i57<=8:?0f2<6j11v>ln:18185ei389463cd9~w7eb2908w0;5l90:n5522bd967>52z?1`5<6j>16>nl523:8yv4c83:1>v3=d1816==::jl1=o84}r0`g?6=:r79oo4>b69>6fe=:;20q~53z?1aa<6j?16>k=51c:897`52;837p}=ed83>7}::o91=o94=3gf>74?3ty9j>4?:3y>6c5=:;201?h=:0`5?xu5mo0;6?u22dg95g1<5;om6?<7;|q1b5<72;q6>hk51c:897`72;837p}=f983>6}::o>1=o84=3db>4d?348m57<=8:p6c3=838p1?hn:0`4?84a=38946s|2gc94?4|5;lj6?<7;<0e=?7e>2wx>k850;0x97`228h<70{t:o=1<74d?348m;7<=8:p07e=838p19<=:0`5?825l38946s|43`94?4|5=8=6;6m10:n55rs500>5<5s4>9h7?m6:?766<5:11v9<;:181825;3;i;63;25816==z{=8>6=4={<617?7e027?>84=299~w1402909w0:=7;01<>;3:k0:n:5rs50;>5<5s4>947<=8:?76g<6j11v9<6:1818251389463;2c82g6=z{=8j6=4={<61e?45027?>o4>cd9~w7b22908w0;5l>0:n5522e4967>7>52z?1`2<6j>16>i<523:8yv4c?3:1>v3=d6816==::m<1=o84}r0g7?6=:r79h?4>b69>6a5=:;20q~53z?1`=<6j?16>ik51c:897bc2;837p}=d883>7}::mo1=o94=3f:>74?3ty9hh4?:3y>6ac=:;201?jk:0`5?xu5lh0;6?u22e;95g1<5;nj6?<7;|q1`g<72;q6>i751c:897be2;837p}=e283>6}::ml1=o84=3g6>4d?348n87<=8:p6`6=838p1?k::0`4?84b838946s|2d794?4|5;o>6?<7;<0f0?7e>2wx>h?50;0x97c728h<70{t:l81<74d?348n>7<=8:p6`g=839p1?k9:0`5?84bk3;i463=ec816==z{;o<6=4={<0fg?7e?279i:4=299~w7cd2909w0;5mk0:n;5rs3g;>5<5s48n;7?m7:?1a=<5:11v?k6:18184b?3;i463=e8816==z{=<;6=4={<661?7e>27?:<4=299~w1312909w0:91;3a2>;3=?09>55rs574>5<5s4>>:7?m7:?712<5:11v9;7:181822>3;i463;59816==z{=?26=4={<66=?45027?954>b69~w13f2909w0::a;01<>;3=10:n55rs013>5<4s4>>n7?m7:?71g<6j116=>>523:8yv22j3:1>v3;5c816==:<<21=n=4}r07b?6=;r7?9n4>b69>00e=9k201?:i:30;?xu3=j0;6?u244a967><5=?367}:<?64=57;>4b53ty?9k4?:3y>00`=:;2019;7:0f0?xu2?o0;6?u256`95g0<5<2;6?<7;|q63f<72;q695>51c48901d2;837p}:7e83>7}:=>i1=o94=45g>74?3ty>;h4?:3y>12e=9k20189j:30;?xu6m;0;6?u21e:95g0<58o86?<7;|q2`<<72;q6=h=51c4894b>2;837p}>d`83>7}:9m31=o94=0fb>74?3ty:ho4?:3y>5a?=9k201<58ni6dd83>7}:9mo1>?64=0fa>4e43ty:hk4?:3y>5a`=:;201<58ni6;|q2a4<72;q6=h?523:894be28n97p}>ed83>6}:9l>1=o84=0d3>4d?34;nj7<=8:p5`3=838p12wx=h850;0x94c228h<70?j6;01<>{t9l=1<74d?34;n;7<=8:p5`>=838p1{t9lh1<774?34;n;7?le:p5`e=838p1kh50;1x97`e28h=70=?1;3a<>;48909>55rs3d`>5<5s49;=7?m7:?1bf<5:11v>>>:1818579389463<0182f3=z{;lo6=4={<0eg?7e?279ji4=299~w7`b2909w0;5nl09>55rs2a:>5<5s49h97?m6:?0gd<5:11v>m9:18185di3;i:63;4k109>55rs2f6>5<5s49o=7?m6:?0`3<5:11v>j=:18185c>3;i:634=299~w6b32909w0=k2;3a<>;4l=09>55rs0db>5<4s49o87?m7:?0`1<6j116=ko523:8yv4>n3:1>v3=9482f3=::h:1>?64}r0:a?6=:r79544>b79>652z?1=3<6j>16>49523:8yv4>03:1>v3=9782f==::021>?64}r0:e?6=:r795l4=299>655228g95g>52z?1=f<5:116>4k51b18yv4>l3:1>v3=9e816==::0o1=nk4}r1;0?6=:r784=4>b79>7=3=:;20q~=71;296~;40<0:n;52393967>7>52z?0<4<6j>16?5<523:8yv5?;3:1>v3<8082f==:;191>?64}r1;e?6=:r784;4>b79>7=d=:;20q~=77;296~;40k0:n;52395967>52z?0<2<6j>16?56523:8yv5?13:1>v3<8682f==:;131>?64}r1`7?6=:r78nk4>b79>7f2=:;20q~=l0;296~;4k=0:n;523b2967>52z?0g5<6j>16?n?523:8yv5d:3:1>v3?64}r1`b?6=:r78oo4>b79>7a6=:;20q~=lc;296~;4l90:n;523ba967>52z?0gf<6j>16?nj523:8yv5dm3:1>v3?64}r63a?6=:r7?b79>05`=:;20q~:?b;296~;38o0:n;5241`967>;o7>52z?74g<6j>168=m523:8yv27l3:1>v3;0c82f==:<9n1>?64}r620?6=:r7?==4>b79>043=:;20q~:>1;296~;39<0:n;52403967>:>7>52z?754<6j>168<<523:8yv26;3:1>v3;1082f==:<891>?64}r45>5<5s4<;674?3ty=<7>52z?54?45027?4i4>b69~w37=838p1;951c48937=:;20q~8=:18180628h<708=:30;?xu1;3:1>v391;3a<>;1;38946s|6583>7}:>=09>5526282f2=z{??1<7<5?91=o64}r51>5<5s4<3674?3ty=47>52z?5b99~w27=838p1;m51c48927=:;20q~86:18181428h=7086:30;?xu1i3:1>v399;3a3>;1i38946s|6c83>7}:>00:n5526c816==z{?n1<7<5>;1=o94}r4f>5<5s44d?3ty=j7>52z?5b?45027<=7?l3:p35<72;q6;=4=299>34<6kl1v?>::18187ai3;i:63=07816==z{8li6=4={<032?7e>27:jo4=299~w4`d2909w0?ib;3a3>;6nj09>55rs0dg>5<5s4;mn7?m8:?2ba<5:11vk850;1x94`b28h<70?ie;3a<>;a>38946s|1gg94?4|58ln6?<7;<3e`?7e?2wx9>j50;1x94`a28h<70?if;3a<>;2;m09>55rs0de>5<5s4;mj7<=8:?2ba<6j11v?>?:1818478389463>fe82g6=z{;::6=4={<035?45027:ji4>cd9~w7652909w0;6nm0:h<5rs320>5<5s48;?7<=8:?2ba<6l;1v?>;:181847<389463>fe82`6=z{:8m6=4={<11f?7e>278?=4=299~w64d2909w0=<0;3a2>;4:j09>55rs20g>5<5s499o7?m7:?06a<5:11v>278;44=299~w6122909w0=89;3a2>;4?<09>55rs255>5<5s49<97?m7:?033<5:11v>98:181850=3;i463<76816==z{:=n6=4={<14e?7e>278;k4=299~w61e2909w0=8f;3a2>;4?k09>55rs25`>5<5s499k:181850j3;i463<7e816==z{=:96=4={<1ea?7e>27?<>4=299~w6`a2909w0:?3;3a2>;4no09>55rs523>5<5s49mj7?m7:?745<5:11v9>>:18185an3;i463;00816==z{=:36=4={<630?7e>27?<44=299~w1622909w0:?9;3a2>;38<09>55rs525>5<5s4>;97?m7:?743<5:11v9>8:181827=3;i463;06816==z{=8;6=4={<622?7e>27?><4=299~w1712909w0:>6;01<>;31?0:n55rs53e>5<5s4>:m7?m6:?75c<5:11v9?8:18182593;i:63;16816==z{=;36=4={<623?7e?27?=54=299~w17>2909w0:>7;3a<>;39009>55rs53a>5<5s4>:n7<=8:?75c<6j>1v9?l:181826k389463;1g82f==z{=;o6=4={<62`?45027?=k4>c29~w17b2909w0:>e;01<>;39o0:oh5rs4;;>5<5s4?3h7?m6:?6=<<5:11v86k:18683?l389463:1c82`4=:=;81=i?4=00;>4b534;957?k3:p1=c=838p1876:0`5?83?m38946s|59d94?4|5<2n650;0x90>b28h370;60;01<>{t9==1<7=t=4;2>4d034?2=7?m8:?202<5:11v87>:18183>9389463:9182f2=z{8>n6=4<{<7:6?7e?27>5?4>b99>51c=:;20q~;62;296~;21;09>55258295g>52z?6=6<5:11694>51b18yv3><3:1>v3:95816==:=0:1=nk4}r7:1?6=:r7>584=299>1<6=9m;0q~;66;296~;21?09>55258295a452z?6=2<5:11694>51e18yv3e;3:1>v3:a982f3=:=k>1>?64}r7b=?6=:r7>n94>b79>1d?=:;20q~;na;296~;2i00:n:525`c967>52z?6e<<6j1169ll523:8yv7403:1?v3:ab82f2=:=hi1=o64=01;>74?3ty>mn4?:3y>1de=:;2018om:0`4?xu6;o0;6>u25`f95g1<5{t=ho1<774?34?jn7?l3:p1d`=838p18oi:30;?83fj3;hi6s|5c294?4|5{t=k81<774?34?jn7?k3:p0d2=838p197j:0`5?82f=38946s|48d94?4|5=k>650;0x91?a28h<70:n0;01<>{t4d?34>j=7<=8:p0d4=838p19o=:30;?82f93;i;6s|4`194?4|5=k86?<7;<6b5?7e02wx8n750;0x91e428h=70:la;01<>{t4d134>h47<=8:p0f2=838p19mn:0`5?82d<38946s|4b794?4|5=i?6{t4d134>n;7<=8:p0`7=838p19k8:0`5?82b938946s|4d094?4|5=o:6{t1<774?34>n?7?m7:p0`3=838p19k::30;?82b;3;i46s|4gg94?4|5=l36{t4d034>mm7<=8:p0cd=838p19h6:0`;?82aj38946s|4ga94?4|5=lh6?<7;<6ef?7e?2wx8kj50;0x91`c2;8370:ib;3a<>{t=9n1<74d134?;i7<=8:p150=838p18>j:0`5?837>38946s|51594?4|5<:=6{t=931<774?34?;47?m7:p15g=838p18>n:30;?83703;i46s|51`94?4|5<:i6?<7;<73{t=<21<74d134?>57<=8:p107=838p18;6:0`5?832938946s|54094?4|5{t=<>1<774?34?>?7?m7:p103=838p18;::30;?832;3;i46s|54494?4|5{t:<>1<74d1348>87<=8:p605=838p1?:i:0`5?842;38946s|25c94?4|5;??69l50;0x972f28h<70<;b;01<>{t:=i1<74d?348?o7<=8:p61b=838p1?:k:30;?843k3;i;6s|25g94?4|5;>n6?<7;<07g?7e02wx>8>50;0x97372;8370<:3;3a3>{t:<;1<774?348>?7?m8:p604=838p1?;=:30;?842;3;h?6s|24`94?4|5;?>68850;0x973e28h=70<:6;01<>{t:<=1<74d0348>;7<=8:p60>=838p1?;9:0`;?842038946s|24;94?4|5;?26?<7;<068o50;0x973f2;8370<:8;3a<>{t:?81<74d1348=>7<=8:p60b=838p1?8=:0`5?842l38946s|24g94?4|5;?o68h50;0x973c28h370<:f;01<>{t:?:1<774?348>j7?m7:p637=838p1?8>:30;?842n3;i46s|27;94?4|5;<86;:50;0x970>28h=70<94;01<>{t:??1<74d0348=97<=8:p630=838p1?8;:0`;?841>38946s|27594?4|5;<<6?<7;<052?7e?2wx>;650;0x970?2;8370<96;3a<>{t:>:1<74d1348<<7<=8:p63d=838p1?9?:0`5?841j38946s|27a94?4|5;;j50;0x970e28h370<9d;01<>{t:?o1<774?348=h7?m7:p63`=838p1?8i:30;?841l3;i46s|26594?4|5;=:6:<50;0x971028h=70<82;01<>{t:>91<74d03486?<7;<040?7e?2wx>:850;0x97112;8370<84;3a<>{t:>o1<74d1348:l50;0x971>28h370<8b;01<>{t:>i1<774?3485>50;0x97>228h=70<70;01<>{t:1;1<74d03483=7<=8:p6=4=838p1?6?:0`;?84?:38946s|29194?4|5;286?<7;<0;6?7e?2wx>5:50;0x97>32;8370<72;3a<>{t:1i1<74d13483o7<=8:p6=1=838p1?6l:0`5?84??38946s|29:94?4|5;2<65750;0x97>028h370<79;01<>{t:1k1<774?348357?m7:p6=d=838p1?6m:30;?84?13;i46s|28194?4|5;2o65k50;0x97?428h=70<7e;01<>{t:1l1<74d03483j7<=8:p6<6=838p1?6j:0`;?84>838946s|28394?4|5;3:6?<7;<0:4?7e?2wx>4<50;0x97?52;8370<60;3a<>{t4d134>ih7<=8:p0ge=838p19l7:0`5?82ek38946s|4c:94?5|5=h36?<7;4d034om67}:74?3ty?n94?:3y>0g4=9k2019l;:30;?xu3j<0;6?u24c7967><5=h?6b69>0g0=9k201hm523:8yv2e>3:1>v3;b7816==:1=o64}r6a3?6=:r7?n:4=299>0g2=9j90q~:m9;296~;3j009>5524ca95g1im7>52z?7fd<5:1168om51c:8yv2ej3:1>v3;bc816==:=<4>b79>143=:;20q~;>2;296~;29<0:n;52500967>52z?657<6j>169<=523:8yv36<3:1>v3:1382f==:=8>1>?64}r77e?6=:r7>8;4>b79>11g=:;20q~;;7;296~;252z?602<6j>16996523:8yv3313:1>v3:4682f==:==31>?64}r745?6=:r7>:i4>b79>127=:;20q~;9e;296~;2?80:n;5257g967>52z?62`<6j>169;h523:8yv3083:1>v3:6d82f==:=>:1>?64}r6;a?6=:r7?4l4>b79>0=c=:;20q~:7b;296~;30l0:n;5249`967>3o7>52z?71685m523:8yv2?l3:1>v3;8c82f==:<1n1>?64}r6e1?6=:r7?il4>b79>0c3=:;20q~:i4;296~;3n90:n;524g6967>nn7>52z?7b0<6j?168hl523:8yv2bk3:1>v3;ec82f2=:?64}r6f`?6=:r7?io4>b99>0`b=:;20q~:je;296~;3ml09>5524df95g1nj7>52z?7ac<5:1168hj51c:8yv2a93:1>v3;f0816==:1=o94}r6e6?6=:r7?j?4=299>0c2=9k20q~:i3;296~;3n:09>5524g695f552z?663<6j?169>?523:8yv3483:1>v3:2`82f3=:=::1>?64}r713?6=:r7>?<4>b79>171=:;20q~;=8;296~;2:>0:n:5253:967>52z?662<6j1169?7523:8yv75>3:1?v3:2882f2=:=;31=o64=005>74?3ty>>o4?:3y>17d=:;2018=?:0`4?xu2:j0;6?u253a967><5<9;67}:=;o1>?64=413>4eb3ty>>k4?:3y>17`=:;2018=?:0f2?xue>3:1>v3m0;3a2>;e>38946s|b483>7}:j=0:n;52b4816==z{k>1<7=t=c6967><58>;6f3<6j?16n<4=299~wg4=838p1o?51c589g4=:;20q~l<:1818d628h370l<:30;?xud:3:1>v3ma;3a2>;d:38946s|c083>7}:jl0:n;52c0816==z{kh1<7?64}r``>5<5s4hi674?3tyih7>52z?af?7e027ih7<=8:pfc<72;q6nk4=299>g4<6j>1vn>50;0x9f6=:;201n?51c:8yv2>?3:1?v3;9082f3=:?=0:n;5248:967>2>7>52z?7==<6j?1684<523:8yv2>;3:1>v3;9382f2=:<091>?64}r6:0?6=:r7?5?4>b99>0<2=:;20q~:65;296~;31<09>55248695g12:7>52z?7=3<5:11684:51c:8yv36k3:1>v3:1982f3=:=8i1>?64}r72=?6=:r7>=n4>b79>14?=:;20q~;>a;296~;2900:n:5250c967>52z?65<<6j1169v3:1g82f3=:=;91>?64}r714?6=:r7>>>4>b79>176=:;20q~;=1;296~;2:90:n:52533967>7>52z?665<6j1169?<523:8yv33;3:1>v3:3682f3=:==91>?64}r776?6=:r7>?i4>b79>114=:;20q~;<8;296~;2<:0:n;5252:967>52z?67=<6j>169>7523:8yv34i3:1>v3:3982f==:=:k1>?64}r70f?6=:r7>?o4=299>16g=9k=0q~;55252c95g>52z?67`<5:11699<51c58yv34n3:1>v3:3g816==:==81=o64}r774?6=:r7>8=4=299>114=9j90q~;;1;296~;2<809>55255095fcji7>52z?7e=<6j?168lk523:8yv2fl3:1>v3;ab82f3=:?64}r6bg?6=;r7?mn4=299>5=7=9k=01<6>:0`;?xu3i00;6?u24`g95g0<5=k26?<7;|q7ed<72;q68l751c5891gf2;837p};ac83>7}:74?3ty86=4={<695g0<5:09>55rs183>7}:;3;i:63?:30;?xu62909w0>51c5894<5:11v?4?:3y>4?7e02796?<7;|q;>5<5s431=o84=9816==z{00;68u29;01<>;6>>0:o<5216c95f7<58=i6;<34g?7d92wx97>52z?;>4d134?1>?64}r494?4|5<0:n:526;01<>{t?3:1>v3::0`;?81=:;20q~kk:1818cd28h=70kk:30;?xua=3:1>v3je;3a2>;a=38946s|eg83>7}:mo09>552f482f2=z{o:1<7<5o?1=o64}rd2>5<5s4l:6?<7;4e43tym>7>52z?e6?45027m97?le:pb6<72;q6j>4=299>b0<6l81vk:50;0x9c2=:;201k;51e08yv`d2909w0h9:0`5?8`d2;837p}i7;296~;a?389463ic;3a3>{tn10;6?u2f9816==:nj0:n55rsg;94?4|5o31>?64=ga95f574?34lh6;:30;?xuam3:1>v3ie;01<>;68=0:n:5rsgd94?4|5ol1>?64=027>4d?3ty:<=4?:3y>556=:;201<>;:0a0?xu6880;6?u2113967><58:?60283>7}:9991>?64=027>4b53ty:553=9k<01<>l:30;?xu68m0;6>u211495g1<58:=6{t99=1<774?34;;o7?m8:p55>=838p1<>7:30;?877k3;h?6s|11;94?4|58:26?<7;<33g?7dm2wx==o50;0x946f2;8370??c;3g5>{t99h1<774?34;;o7?k2:p547=838p1<>k:0`5?876938946s|11g94?4|58:n6?<7;<325?7e?2wx==h50;0x946a2;8370?>1;3a<>{t98:1<774?34;:=7?l3:p540=838p138946s|10094?5|58;96?<7;<37b?7e?27:8k4>b99~w4742909w0?>3;01<>;69?0:n:5rs037>5<5s4;:87<=8:?253<6j11v1782g6=z{8;o6=4={<323?7e>27:=i4=299~w4702908w0?>7;01<>;69o0:n:5210d95g>52z?25=<5:116=v3>18816==:98n1=o64}r32e?6=:r7:=l4=299>54b=9j90q~?>b;296~;69k09>55210f95fc52z?25f<5:116=v3>1d82f3=:9;?1>?64}r32b?6=:r7:=k4=299>573=9k=0q~?=0;296~;6:909>55213795g>52z?264<5:116=?;51b18yv75:3:1>v3>23816==:9;?1=nk4}r317?6=:r7:>>4=299>573=9m;0q~?=4;296~;6:=09>55213795a452z?263<6j?16=?o523:8yv75?3:1>v3>26816==:9;k1=o94}r3154=299>57g=9k20q~?=9;296~;6:009>55213c95f552z?26g<6j?16=?h523:8yv75k3:1>v3>2b816==:9;l1=o94}r31`?6=:r7:>i4=299>57`=9k20q~?=e;296~;6:l09>55213d95f552z?275<6j?16=>9523:8yv7493:1>v3>30816==:9:=1=o94}r306?6=:r7:??4=299>561=9k20q~?<3;296~;6;:09>55212595f552z?271<5:116=>951bg8yv74=3:1>v3>34816==:9:=1=i?4}r302?6=:r7:?;4=299>561=9m80q~?52z?27<<5:116=>k51c58yv74i3:1>v3>3`816==:9:o1=o64}r30f?6=:r7:?o4=299>56c=9j90q~?55212g95fc52z?27a<5:116=>k51e38yv73>3:1>v3>3g82f3=:9=<1>?64}r374?6=:r7:8=4=299>510=9k=0q~?;1;296~;6<809>55215495g>7>52z?207<5:116=9851b18yv73;3:1>v3>42816==:9=<1=nk4}r370?6=:r7:894=299>510=9m;0q~?;5;296~;6<<09>55215495a452z?202<6j?16=9j523:8yv7303:1>v3>49816==:9=n1=o94}r37=?6=:r7:844=299>51b=9k20q~?;a;296~;655215f95f552z?20g<5:116=9j51bg8yv73k3:1>v3>4b816==:9=n1=i?4}r361?6=:r7:8h4>b79>503=:;20q~?;f;296~;655214795g1<7>52z?215<5:116=8;51c:8yv7293:1>v3>50816==:9503=9jo0q~?:3;296~;6=:09>55214795a787>52z?211<5:116=8;51e08yv72l3:1>v3>5782f3=:9?64}r36a?6=;r7:9:4>b69>501=9k201<;j:30;?xu6=>0;6?u2145967><58?o65883>7}:9<31>?64=07g>4e43ty:9l4?:3y>50g=:;201<;k:0af?xu6=k0;6?u214`967><58?o6;|q21f<72;q6=8m523:8943c28n97p}>6583>7}:974?3ty:9k4?:3y>50`=:;201<8;:0`4?xu6>90;6?u2172967><586383>7}:9?81>?64=047>4eb3ty::>4?:3y>535=:;201<8;:0f2?xu6>10;6?u217795g0<58<36?<7;|q220<72:q6=;;523:8940f28h<70?9a;3a<>{t9?<1<774?34;=47?m7:p531=838p1<88:30;?87103;i46s|16294?4|58<26{t9?h1<774?34;<<7?m8:p53e=838p1<8l:30;?87083;h?6s|17f94?4|58{t9?l1<774?34;<<7?k2:p52>=838p1<9>:0`5?870038946s|16;94?5|58=96;6?10:n:5rs050>5<5s4;7982g6=z{8=>6=4={<341?45027:;54>cd9~w4112909w0?86;01<>;6?10:h<5rs054>5<5s4;<;7<=8:?23=<6l;1v<9i:18187013;i:63>7g816==z{8=j6=4={<34e?45027:;k4>b69~w41e2909w0?8b;01<>;6?o0:n55rs05`>5<5s4;7g82g`=z{8=n6=4={<34a?45027:;k4>d09~w4>02909w0?70;3a2>;60>09>55rs0:2>5<5s4;3=7<=8:?2<2<6j>1v<6=:18187?:389463>8682f==z{8286=4={<3;7?45027:4:4>c29~w4>32909w0?74;01<>;60>0:oh5rs0:6>5<5s4;397<=8:?2<2<6l81v<69:18187?>389463>8682`7=z{82n6=4={<3;27:4h4=299~w4>>2909w0?79;01<>;60l0:n:5rs0:b>5<5s4;3m7<=8:?2<`<6j11v<6m:18187?j389463>8d82g6=z{82h6=4={<3;g?45027:4h4>cd9~w4>c2909w0?7d;01<>;60l0:h<5rs0;5>5<5s4;3j7?m6:?2=3<5:11v<78:18087>83;i;63>9182f==:90=1>?64}r3:4?6=:r7:5=4=299>5<0=9k=0q~?61;296~;61809>55218495g>7>52z?2=7<5:116=4851b18yv7>;3:1>v3>92816==:90<1=nk4}r3:0?6=:r7:594=299>5<0=9m;0q~?65;296~;61<09>55218495a452z?2=2<6j?16=4o523:8yv7>03:1>v3>99816==:90k1=o94}r3:=?6=:r7:544=299>553z?2=g<5:116=l=51c5894g428h37p}>9b83>7}:90i1>?64=0c2>4d03ty:5i4?:3y>5:0`;?xu61l0;6?u218g967><58k:6a183>7}:9h:1>?64=0c2>4b63ty:m44?:3y>5d4=9k<01<58k2628h37p}>a483>7}:9h?1>?64=0c:>4e43ty:m;4?:3y>5d0=:;2010;6?u21`5967><58k26;|q2e=<72;q6=l6523:894g>28n97psa6gd3>5<6std=jk?50;3xyk0an;0;6ol36=4>{|l5bc?=83;pqc8if`83>4}zf?lmn7>51zm2c`d290:wp`9fgf94?7|ug5<6std<<=?50;3xyk178;0;6;:182xh089?1<7?t}o5343<728qvb:>?7;295~{i?9:36=4>{|l445?=83;pqc9?0`83>4}zf>:;n7>51zm356d290:wp`801f94?7|ug=;5<6std<<>7;295~{i?9;36=4>{|l444?=83;pqc9?1`83>4}zf>::n7>51zm357d290:wp`800f94?7|ug=;=h4?:0y~j266n3:1=vsa7103>5<6std<=7;295~{i?9836=4>{|l447?=83;pqc9?2`83>4}zf>:9n7>51zm354d290:wp`803f94?7|ug=;>h4?:0y~j265n3:1=vsa7113>5<6std<<>?50;3xyk17;;0;6<7;295~{i?9936=4>{|l446?=83;pqc9?3`83>4}zf>:8n7>51zm355d290:wp`802f94?7|ug=;?h4?:0y~j264n3:1=vsa7163>5<6std<<9?50;3xyk17<;0;6;7;295~{i?9>36=4>{|l441?=83;pqc9?4`83>4}zf>:?n7>51zm352d290:wp`805f94?7|ug=;8h4?:0y~j263n3:1=vsa7173>5<6std<<8?50;3xyk17=;0;6:7;295~{i?9?36=4>{|l440?=83;pqc9?5`83>4}zf>:>n7>51zm353d290:wp`804f94?7|ug=;9h4?:0y~j262n3:1=vsa7143>5<6std<<;?50;3xyk17>;0;697;295~{i?9<36=4>{|l443?=83;pqc9?6`83>4}zf>:=n7>51zm350d290:wp`807f94?7|ug=;:h4?:0y~j261n3:1=vsa7153>5<6std<<:?50;3xyk17?;0;6?1<7?t}o5333<728qvb:>87;295~{i?9=36=4>{|l442?=83;pqc9?7`83>4}zf>:51zm351d290:wp`806f94?7|ug=;;h4?:0y~j260n3:1=vsa71:3>5<6std<<5?50;3xyk170;0;677;295~{i?9236=4>{|l44=?=83;pqc9?8`83>4}zf>:3n7>51zm35>d290:wp`809f94?7|ug=;4h4?:0y~j26?n3:1=vsa71;3>5<6std<<4?50;3xyk171;0;667;295~{i?9336=4>{|l444}zf>:2n7>51zm35?d290:wp`808f94?7|ug=;5h4?:0y~j26>n3:1=vsa71c3>5<6std<n7;295~{i?9k36=4>{|l44d?=83;pqc9?a`83>4}zf>:jn7>51zm35gd290:wp`80`f94?7|ug=;mh4?:0y~j26fn3:1=vsa71`3>5<6std<m7;295~{i?9h36=4>{|l44g?=83;pqc9?b`83>4}zf>:in7>51zm35dd290:wp`80cf94?7|ug=;nh4?:0y~j26en3:1=vsa71a3>5<6std<l7;295~{i?9i36=4>{|l44f?=83;pqc9?c`83>4}zf>:hn7>51zm35ed290:wp`80bf94?7|ug=;oh4?:0y~j26dn3:1=vsa71f3>5<6std<k7;295~{i?9n36=4>{|l44a?=83;pqc9?d`83>4}zf>:on7>51zm35bd290:wp`80ef94?7|ug=;hh4?:0y~j26cn3:1=vsa71g3>5<6std<j7;295~{i?9o36=4>{|l44`?=83;pqc9?e`83>4}zf>:nn7>51zm35cd290:wp`80df94?7|ug=;ih4?:0y~j26bn3:1=vsa71d3>5<6std<i7;295~{i?9l36=4>{|l44c?=83;pqc9?f`83>4}zf>:mn7>51zm35`d290:wp`80gf94?7|ug=;jh4?:0y~j26an3:1=vsa7023>5<6std<==?50;3xyk168;0;6;:182xh099?1<7?t}o5243<728qvb:??7;295~{i?8:36=4>{|l455?=83;pqc9>0`83>4}zf>;;n7>51zm346d290:wp`811f94?7|ug=:5<6std<=7;295~{i?8;36=4>{|l454?=83;pqc9>1`83>4}zf>;:n7>51zm347d290:wp`810f94?7|ug=:=h4?:0y~j276n3:1=vsa7003>5<6std<=??50;3xyk16:;0;6{|l457?=83;pqc9>2`83>4}zf>;9n7>51zm344d290:wp`813f94?7|ug=:>h4?:0y~j275n3:1=vsa7013>5<6std<=>?50;3xyk16;;0;6{|l456?=83;pqc9>3`83>4}zf>;8n7>51zm345d290:wp`812f94?7|ug=:?h4?:0y~j274n3:1=vsa7063>5<6std<=9?50;3xyk16<;0;636=4>{|l451?=83;pqc9>4`83>4}zf>;?n7>51zm342d290:wp`815f94?7|ug=:8h4?:0y~j273n3:1=vsa7073>5<6std<=8?50;3xyk16=;0;6{|l450?=83;pqc9>5`83>4}zf>;>n7>51zm343d290:wp`814f94?7|ug=:9h4?:0y~j272n3:1=vsa7043>5<6std<=;?50;3xyk16>;0;6{|l453?=83;pqc9>6`83>4}zf>;=n7>51zm340d290:wp`817f94?7|ug=::h4?:0y~j271n3:1=vsa7053>5<6std<=:?50;3xyk16?;0;6?1<7?t}o5233<728qvb:?87;295~{i?8=36=4>{|l452?=83;pqc9>7`83>4}zf>;51zm341d290:wp`816f94?7|ug=:;h4?:0y~j270n3:1=vsa70:3>5<6std<=5?50;3xyk160;0;6{|l45=?=83;pqc9>8`83>4}zf>;3n7>51zm34>d290:wp`819f94?7|ug=:4h4?:0y~j27?n3:1=vsa70;3>5<6std<=4?50;3xyk161;0;6{|l459`83>4}zf>;2n7>51zm34?d290:wp`818f94?7|ug=:5h4?:0y~j27>n3:1=vsa70c3>5<6std<=l?50;3xyk16i;0;6{|l45d?=83;pqc9>a`83>4}zf>;jn7>51zm34gd290:wp`81`f94?7|ug=:mh4?:0y~j27fn3:1=vsa70`3>5<6std<=o?50;3xyk16j;0;6{|l45g?=83;pqc9>b`83>4}zf>;in7>51zm34dd290:wp`81cf94?7|ug=:nh4?:0y~j27en3:1=vsa70a3>5<6std<=n?50;3xyk16k;0;6{|l45f?=83;pqc9>c`83>4}zf>;hn7>51zm34ed290:wp`81bf94?7|ug=:oh4?:0y~j27dn3:1=vsa70f3>5<6std<=i?50;3xyk16l;0;6{|l45a?=83;pqc9>d`83>4}zf>;on7>51zm34bd290:wp`81ef94?7|ug=:hh4?:0y~j27cn3:1=vsa70g3>5<6std<=h?50;3xyk16m;0;6{|l45`?=83;pqc9>e`83>4}zf>;nn7>51zm34cd290:wp`81df94?7|ug=:ih4?:0y~j27bn3:1=vsa70d3>5<6std<=k?50;3xyk16n;0;6{|l45c?=83;pqc9>f`83>4}zf>;mn7>51zm34`d290:wp`81gf94?7|ug=:jh4?:0y~j27an3:1=vsa7323>5<6std<>=?50;3xyk158;0;6;:182xh0:9?1<7?t}o5143<728qvb:{|l465?=83;pqc9=0`83>4}zf>8;n7>51zm376d290:wp`821f94?7|ug=95<6std<>7;295~{i?;;36=4>{|l464?=83;pqc9=1`83>4}zf>8:n7>51zm377d290:wp`820f94?7|ug=9=h4?:0y~j246n3:1=vsa7303>5<6std<>??50;3xyk15:;0;6{|l467?=83;pqc9=2`83>4}zf>89n7>51zm374d290:wp`823f94?7|ug=9>h4?:0y~j245n3:1=vsa7313>5<6std<>>?50;3xyk15;;0;6{|l466?=83;pqc9=3`83>4}zf>88n7>51zm375d290:wp`822f94?7|ug=9?h4?:0y~j244n3:1=vsa7363>5<6std<>9?50;3xyk15<;0;636=4>{|l461?=83;pqc9=4`83>4}zf>8?n7>51zm372d290:wp`825f94?7|ug=98h4?:0y~j243n3:1=vsa7373>5<6std<>8?50;3xyk15=;0;6{|l460?=83;pqc9=5`83>4}zf>8>n7>51zm373d290:wp`824f94?7|ug=99h4?:0y~j242n3:1=vsa7343>5<6std<>;?50;3xyk15>;0;6{|l463?=83;pqc9=6`83>4}zf>8=n7>51zm370d290:wp`827f94?7|ug=9:h4?:0y~j241n3:1=vsa7353>5<6std<>:?50;3xyk15?;0;6?1<7?t}o5133<728qvb:<87;295~{i?;=36=4>{|l462?=83;pqc9=7`83>4}zf>851zm371d290:wp`826f94?7|ug=9;h4?:0y~j240n3:1=vsa73:3>5<6std<>5?50;3xyk150;0;6{|l46=?=83;pqc9=8`83>4}zf>83n7>51zm37>d290:wp`829f94?7|ug=94h4?:0y~j24?n3:1=vsa73;3>5<6std<>4?50;3xyk151;0;6{|l464}zf>82n7>51zm37?d290:wp`828f94?7|ug=95h4?:0y~j24>n3:1=vsa73c3>5<6std<>l?50;3xyk15i;0;6{|l46d?=83;pqc9=a`83>4}zf>8jn7>51zm37gd290:wp`82`f94?7|ug=9mh4?:0y~j24fn3:1=vsa73`3>5<6std<>o?50;3xyk15j;0;6{|l46g?=83;pqc9=b`83>4}zf>8in7>51zm37dd290:wp`82cf94?7|ug=9nh4?:0y~j24en3:1=vsa73a3>5<6std<>n?50;3xyk15k;0;6{|l46f?=83;pqc9=c`83>4}zf>8hn7>51zm37ed290:wp`82bf94?7|ug=9oh4?:0y~j24dn3:1=vsa73f3>5<6std<>i?50;3xyk15l;0;6{|l46a?=83;pqc9=d`83>4}zf>8on7>51zm37bd290:wp`82ef94?7|ug=9hh4?:0y~j24cn3:1=vsa73g3>5<6std<>h?50;3xyk15m;0;6{|l46`?=83;pqc9=e`83>4}zf>8nn7>51zm37cd290:wp`82df94?7|ug=9ih4?:0y~j24bn3:1=vsa73d3>5<6std<>k?50;3xyk15n;0;6{|l46c?=83;pqc9=f`83>4}zf>8mn7>51zm37`d290:wp`82gf94?7|ug=9jh4?:0y~j24an3:1=vsa7223>5<6std>;:182xh0;9?1<7?t}o5043<728qvb:=?7;295~{i?::36=4>{|l475?=83;pqc9<0`83>4}zf>9;n7>51zm366d290:wp`831f94?7|ug=85<6std?;:182xh0;8?1<7?t}o5053<728qvb:=>7;295~{i?:;36=4>{|l474?=83;pqc9<1`83>4}zf>9:n7>51zm367d290:wp`830f94?7|ug=8=h4?:0y~j256n3:1=vsa7203>5<6std<;:182xh0;;?1<7?t}o5063<728qvb:==7;295~{i?:836=4>{|l477?=83;pqc9<2`83>4}zf>99n7>51zm364d290:wp`833f94?7|ug=8>h4?:0y~j255n3:1=vsa7213>5<6std?50;3xyk14;;0;6=;:182xh0;:?1<7?t}o5073<728qvb:=<7;295~{i?:936=4>{|l476?=83;pqc9<3`83>4}zf>98n7>51zm365d290:wp`832f94?7|ug=8?h4?:0y~j254n3:1=vsa7263>5<6std:;:182xh0;=?1<7?t}o5003<728qvb:=;7;295~{i?:>36=4>{|l471?=83;pqc9<4`83>4}zf>9?n7>51zm362d290:wp`835f94?7|ug=88h4?:0y~j253n3:1=vsa7273>5<6std;;:182xh0;{|l470?=83;pqc9<5`83>4}zf>9>n7>51zm363d290:wp`834f94?7|ug=89h4?:0y~j252n3:1=vsa7243>5<6std;0;68;:182xh0;??1<7?t}o5023<728qvb:=97;295~{i?:<36=4>{|l473?=83;pqc9<6`83>4}zf>9=n7>51zm360d290:wp`837f94?7|ug=8:h4?:0y~j251n3:1=vsa7253>5<6std9;:182xh0;>?1<7?t}o5033<728qvb:=87;295~{i?:=36=4>{|l472?=83;pqc9<7`83>4}zf>951zm361d290:wp`836f94?7|ug=8;h4?:0y~j250n3:1=vsa72:3>5<6std6;:182xh0;1?1<7?t}o50<3<728qvb:=77;295~{i?:236=4>{|l47=?=83;pqc9<8`83>4}zf>93n7>51zm36>d290:wp`839f94?7|ug=84h4?:0y~j25?n3:1=vsa72;3>5<6std7;:182xh0;0?1<7?t}o50=3<728qvb:=67;295~{i?:336=4>{|l474}zf>92n7>51zm36?d290:wp`838f94?7|ug=85h4?:0y~j25>n3:1=vsa72c3>5<6stdo;:182xh0;h?1<7?t}o50e3<728qvb:=n7;295~{i?:k36=4>{|l47d?=83;pqc94}zf>9jn7>51zm36gd290:wp`83`f94?7|ug=8mh4?:0y~j25fn3:1=vsa72`3>5<6stdl;:182xh0;k?1<7?t}o50f3<728qvb:=m7;295~{i?:h36=4>{|l47g?=83;pqc94}zf>9in7>51zm36dd290:wp`83cf94?7|ug=8nh4?:0y~j25en3:1=vsa72a3>5<6stdm;:182xh0;j?1<7?t}o50g3<728qvb:=l7;295~{i?:i36=4>{|l47f?=83;pqc94}zf>9hn7>51zm36ed290:wp`83bf94?7|ug=8oh4?:0y~j25dn3:1=vsa72f3>5<6stdj;:182xh0;m?1<7?t}o50`3<728qvb:=k7;295~{i?:n36=4>{|l47a?=83;pqc94}zf>9on7>51zm36bd290:wp`83ef94?7|ug=8hh4?:0y~j25cn3:1=vsa72g3>5<6stdk;:182xh0;l?1<7?t}o50a3<728qvb:=j7;295~{i?:o36=4>{|l47`?=83;pqc94}zf>9nn7>51zm36cd290:wp`83df94?7|ug=8ih4?:0y~j25bn3:1=vsa72d3>5<6stdh;:182xh0;o?1<7?t}o50b3<728qvb:=i7;295~{i?:l36=4>{|l47c?=83;pqc94}zf>9mn7>51zm36`d290:wp`83gf94?7|ug=8jh4?:0y~j25an3:1=vsa7523>5<6std<8=?50;3xyk138;0;6;:182xh0<9?1<7?t}o5743<728qvb::?7;295~{i?=:36=4>{|l405?=83;pqc9;0`83>4}zf>>;n7>51zm316d290:wp`841f94?7|ug=?5<6std<87;295~{i?=;36=4>{|l404?=83;pqc9;1`83>4}zf>>:n7>51zm317d290:wp`840f94?7|ug=?=h4?:0y~j226n3:1=vsa7503>5<6std<8??50;3xyk13:;0;6{|l407?=83;pqc9;2`83>4}zf>>9n7>51zm314d290:wp`843f94?7|ug=?>h4?:0y~j225n3:1=vsa7513>5<6std<8>?50;3xyk13;;0;6{|l406?=83;pqc9;3`83>4}zf>>8n7>51zm315d290:wp`842f94?7|ug=??h4?:0y~j224n3:1=vsa7563>5<6std<89?50;3xyk13<;0;636=4>{|l401?=83;pqc9;4`83>4}zf>>?n7>51zm312d290:wp`845f94?7|ug=?8h4?:0y~j223n3:1=vsa7573>5<6std<88?50;3xyk13=;0;6{|l400?=83;pqc9;5`83>4}zf>>>n7>51zm313d290:wp`844f94?7|ug=?9h4?:0y~j222n3:1=vsa7543>5<6std<8;?50;3xyk13>;0;6{|l403?=83;pqc9;6`83>4}zf>>=n7>51zm310d290:wp`847f94?7|ug=?:h4?:0y~j221n3:1=vsa7553>5<6std<8:?50;3xyk13?;0;6?1<7?t}o5733<728qvb::87;295~{i?==36=4>{|l402?=83;pqc9;7`83>4}zf>>51zm311d290:wp`846f94?7|ug=?;h4?:0y~j220n3:1=vsa75:3>5<6std<85?50;3xyk130;0;6{|l40=?=83;pqc9;8`83>4}zf>>3n7>51zm31>d290:wp`849f94?7|ug=?4h4?:0y~j22?n3:1=vsa75;3>5<6std<84?50;3xyk131;0;67=tJKNv>r@ARxyEF \ No newline at end of file diff --git a/cpld/XC95144/MXSE.ngc b/cpld/XC95144/MXSE.ngc new file mode 100644 index 0000000..392a50c --- /dev/null +++ b/cpld/XC95144/MXSE.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$5164=79;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97?0M1??>49B8479=2K7=?0:;@>27;3G;9?4>7L2>7?78E97?6<1J0<714:C?5;3G;::03285N<31=0>G;:7>0M1=14:C?0;2GKM9?0MAK?M49BH@6Tk2KGI=Qbuy2345bGKM9Ufyu>?011g?DJB8Vg~t=>?05f8EIC7Wds<=>?559BH@7d3HFN=RQ`r1235a=FDL;TSb|?01320>GIL;>0MCJ<4:CM@12GTzoUecy>?003:?DYA[K6:<374A^DPF976601JSK]M<00==>GXNZH7=>06;@]EWG:6<730MRH\B=36:<=FWOYI0<819:C\BVD;9>427LQISC>2<;?99B[CUE48427LQISC>14;?>89B[CUE4;85n6OPFR@?66<6601JSK]M<31=<>GXNZH7>364A^DPF95902KTJ^L34?:8EZ@TJ5?546OPFR@?2;>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]Oi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQCbGXNZHT>RGMUGa8EZ@TJV9TEO[Ic:C\BVDXDFK]?0NLM[149AEFR5=2HJOY|;;CGQV0=EM[X;:6LJRS2qa>DBZ[:ySRa}0123b>DBZ[:ySRa}01235c=EM[X;~RQ`r123473DBZ[xyi6LJRSpq[kis89::j6LJRSpq[kis89::=55MUR]JJCI63J>0O<8B3:A;I1=DIJ^97NK<;BNH=>EKC;RTEBLj;BNH6]YNGKUBNXH8;BNH[CUEk2IGGRH\B^KAQC15LLS18GKR>3JEFADZ[EE58GWCF\LN97N]9;Bnfew7a3Jfnm?P_`lg4567n2Igil|>_`lg4567981O;6J[ABIqb2=C\HI@~h4DUC@OwtXff~;<=?>0:FWEFMuzVddx=>?1058@drf494<7Io{a=3=5>C23LY7<3;4ER>2:0=B[58596K\<2<6?@U;<7>0I^Q?d:GP[5YXign;<=>j;DQ\4ZYffm:;<=?j;DQ\4ZYffm:;<=CTW8UTmcj?0122a>CTW8UTmcj?01210>CTW;n0I^Q=_^cm`5678l1N_RCTW=UTmcj?012f?@UX?013f?@UX?0102b>CTWDkohRQnde2345YJpfxT^h}zlu>2:4`>1`9FWZKflmUTmij?012\[dhc89::=<74ER]NeabXWhno<=>?_^cm`567:8k0I^QBaef\[dbc89:;SRoad12364b_np34565m2OXS\Q>_np34564m2OXS\Q>_np34563m2OXS\Q>_np34562m2OXS\Q>_np34561m2OXS\Q>_np34560m2OXS\Q>_np3456?m2OXS\Q>_np3456>m2OXSRokd1234979m2OXSRokd1234949m2OXSRokd1234959m2OXSRokd1234929=2O_MNEk;DVBGNYffm:;<=k4EUC@OZgil9:;<k4EUC@OZgil9:;<9k4EUC@OZgil9:;<8k4EUC@OZgil9:;<;;4E^KLFd=BW@EISDLZF39Fv6=Bz;h0IQLlj]JJS723LxTB=:4FBNH6>@C<2LOOH=4FR@2?B5<4I508M04OFKZ;>7DOLS378MDET;=1BNXH6;HLJPVRF\L90ECX:;HMBGQb0:KLF@TUWds<=>?1233?LIEM[XTaxv?0122046>8g9JKGCUZVg~t=>?03d8MJDBZ[Ufyu>?011e?LIEM[XTaxv?0127b>OHJLXYS`{w01231c=NGKOY^Rczx12343`7DALS048MJET99<0EBM\1048MJET9;<0EBM\1248MJET9=<0EBM\1448MJET9??0EBM\249JKFU4=2CDO^::;HM@W03OHKZ2>7DALS868MJJ7k2CD@=Qbuy2345bOHD9Ufyu>?011g?LIK8Vg~t=>?05f8MJJ7Wds<=>?559JKI7d3@EG=R``t1235a=NGE;Tbbz?01321>OHDMY=7DA_WBQ4?LIW_JY:;6G@PVAP62=NGY]H_>94INRTGV223@EYI\j4INPFUZkrp9:;k4INPFUZkrp9:;<9k4INPFUZkrp9:;<8k4INPFUZkrp9:;<;k4INPFUZkrp9:;<:k4INPFUZkrp9:;<5k4INPFUZkrp9:;<484INPFUw3n7DA]W1]mkq6788?n7DA]W1]mkq67887DA]W0f8MJTP9Vkeh=>?0d9JKWQ6Whdo<=>?1d9JKWQ6Whdo<=>?2d9JKWQ6Whdo<=>?3d9JKWQ6Whdo<=>?4d9JKWQ6Whdo<=>?5d9JKWQ6Whdo<=>?669JKWcflp;;7DA]e`fz[Zgil9:;<?1038MJTbimsTSl`k01236f=NGZUM_@QIFe3e?LITWOYFSKHk1,Km55=NGZUM_@QIFe3.Mk7682CD_RH\M^DE`4+Nf;;;7DA\_GQN[C@c9$Ce?<>4INQ\BVKXNOn:!D`;119JKVYA[DUMJi?"Io7`?LITWOYFSKHk2g9JKVYA[DUMJi<"Io33?LITWOYFSKHk2,Km546 Ga5b9JKVYA[DUMJi=i;HMP[CUJWOLo? Ga119JKVYA[DUMJi="Io325>OH[VLXARHId2/Jj46692CD_RH\M^DE`6+Nf8;:=6G@S^DPIZ@Al:'Bb<<>0:KLWZ@TEVLMh>#Fn333?LITWOYFSKHk3,Km7461028MJUXNZGTJKj;-Hl155=NGZUM_@QIFe6.Mk5682CD_RH\M^DE`1+Nf=;;7DA\_GQN[C@c<$Ce9<>4INQ\BVKXNOn?!D`9119JKVYA[DUMJi:"Io524>OH[VLXARHId5/Jj=773@EXSK]B_GDg0(Oi1=1BCX>l;HMV4Zkrp9:;Pmtz34562<2CDY7DAZDR68MJQBm2ANI]QGIDPBVFN^?2FDKDMNL`9OTHY_G[8T=85Co`f2a>Jhim;TSl`k01230>K_[L80B=<4N058J@RPG[A:7B:4OCWE7>IU::1D^>=4OS67?Jhdmj1DbnkP_np3456c3FdhiRQ`r12344bIiklUTc>?016g?JhdmVUd~=>?04f8KkebWVey<=>?6e9LjfcXWfx;<=>8d:Mmg`YXg{:;<=6k;Nl`aZYhz9:;<4?4Pb9S*766;8::?RB;;QCQPd=WAGUIY^GKXc9SMKYE]ZDJAHl4PR]EWHYANm;n7]]PFRO\BCb6%@dm7]]PFRO\BCb6%@d:j6^\_GQN[C@c9$Ce>o5_S^DPIZ@Al;o0\^QISL]EBa4*Agl0\^QISL]EBa4*Ag;:<6^\_GQN[C@c:$Ce==??;QQ\BVKXNOn9!D`>1028TVYA[DUMJi<"Io3155=W[VLXARHId3/Jj45a3YYTJ^CPFGf1)Lh5n2ZXSK]B_GDg6(Oi;o1[_RH\M^DE`7+Nf=l0\^QISL]EBa4*Ag?m7]]PFRO\BCb5%@d=j6^\_GQN[C@c:$Ce;k5_S^DPIZ@Al;'Bb5h4PR]EWHYANm8&Ec7m;QQ\BVKXG|~;o6^\_GQN[Jss88i0\^QISL]Lqq65l2ZXSnc_ds345663X?0^L2?>79QE977611YM1?>:1<5?WG;984>7_O31?78VD:56<1YM1=15:PB81823[K793;4R@>5:0=UI5=596\N<9<6?WG;1790^LC:;SCNGV084R@O@W10_lw{4567991YM@JFS0]nq}6789;:=6\NMEKP5Zkrp9:;<<>>1:PBIAOT9Vg~t=>?00325>TFEMCX=Rczx123444692XJAIG\1^ov|567889:=6\NMEKP5Zkrp9:;<<:>1:PBIAOT9Vg~t=>?00725>TFEMCX=Rczx123440692XJAIG\1^ov|56788=:=6\NMEKP5Zkrp9:;<<6>1:PBIAOT9Vg~t=>?00;24>TFEMCX=Rczx12347773[KFHD]>_lw{4567;8:0^LCKIR3\ip~789:?==5]ALFJW4Yj}q:;<=;>0:PBIAOT9Vg~t=>?0733?WGJL@Y:S`{w0123346TFEMCX>RQ`r1234460:PBIAOT:VUd~=>?0233?WGJL@Y9SRa}0123046?8028VDKCAZ8TSb|?012:3>TFEMCX?:5]ALFJW11>139QEHTbimsTaxv?01224442:PBIWcflpUfyu>?013057=UIDXnmiwPmtz34566<880^LC]e`fz[hs89:;=8?=;SCNV`gcqVg~t=>?00425>TFE[ojhtQbuy23454692XJA_kndx]nq}67899:=6\NMSgb`|Yj}q:;<=:>1:PBIWcflpUfyu>?01725>TFE[ojhtQbuy23450692XJA_kndx]nq}6789=:=6\NMSgb`|Yj}q:;<=6>1:PBIWcflpUfyu>?01;6?WGTMEn0^L]JL^ov|5678l1YM^KC_lw{45679o1YM^KC_lw{456799l0^L]JL^ov|56788;m7_O\EM]nq}6789;9j6\NSDN\ip~789::?k5]ARGO[hs89:;=9h4R@QFHZkrp9:;<<;i;SCPAIYj}q:;<=?9f:PBW@JXe|r;<=>>7g9QEVCKWds<=>?19d8VDUBDVg~t=>?00;f?WGTMEUfyu>?010e?WGTMEUfyu>?0103b>TF[LFTaxv?01215c=UIZOGS`{w012367`o0^L]JL^ov|56781o0^L]JL^ov|5678030^LQ?_N@VBf=UIV:Taxv?012g?WGX8Vg~t=>?00f8VDY7Wds<=>?2e9QEZ6Xe|r;<=>3[KT=RAMUGa8VDY6Wds<=>?d:PB[4Yj}q:;<=?k;SC\5Zkrp9:;Rczx1234a=UIV8Taxv?0122`>TFW;Ufyu>?010g?WGX:Vg~t=>?02f8VDY5Wds<=>?489QEZ5XGK_Mo6\N_2]nq}6789n0^LQ<_lw{45679m1YMR=Pmtz34565l2XJS>Qbuy23455c3[KT?Rczx12341?k;SC\0Zkrp9:;<i5]A^6\ip~789:8h6\N_5]nq}6789>27_OP5^MAQCeS`{w0123`>TFW?013g?WGX=Vg~t=>?03f8VDY2Wds<=>?3e9QEZ3Xe|r;<=>;9:PB[3YHJ\Lh7_OP6^ov|5678m1YMR8Pmtz34566l2XJS;Qbuy23454c3[KT:Rczx12346b?00f8VDY?Wds<=>?2e9QEZ>Xe|r;<=>TB[=1YI^?:;SGPvc30:PP[CUJWOLo= Ga1333?WUXNZGTJKj>-Hl2746m7_]PFRO\BCb6%@d>j6\\_GQN[C@c9$Ce:k5]S^DPIZ@Al8'Bb:h4RR]EWHYANm;&Ec6i;SQ\BVKXNOn:!D`6b:PP[CUJWOLo>h5]S^DPIZ@Al;'Bbk5]S^DPIZ@Al;'Bb0028VVYA[DUMJi<"Io3255=U[VLXARHId3/Jj44682XXSK]B_GDg6(Oi9:;;7_]PFRO\BCb5%@d:8<>4RR]EWHYANm8&Ec?:119QWZ@TEVLMh?#Fn0424>TTWOYFSKHk2,Km52773[YTJ^CPFGf1)Lh608:0^^QISL]EBa4*Ag;2j6\\_GQN[C@c:$Ce>k5]S^DPIZ@Al;'Bb>h4RR]EWHYANm8&Ec:i;SQ\BVKXNOn9!D`:f:PP[CUJWOLo> Ga6g9QWZ@TEVLMh?#Fn6d8VVYA[DUMJi<"Io:e?WUXNZGTJKj=-Hl:f>TTWOYFSKHk3d9QWZ@TEVLMh>#Fng9QWZ@TEVLMh>#Fn033?WUXNZGTJKj<-Hl24c=U[VLXARHId2/Jj7`6k2XXSK]B_Nww<73>0:Pfea7zVUd~=>?0033?Wcflp:ySRa}012363=Umhnr=:5]e`fz5w`r^]lv56788;;7_kndx3q[Ziu89:;>;5]e`fz62=Umhnr>h4Rdcg}7tXWfx;<=>>0:Pfea5zVUd~=>?0033?Wcflp8ySRa}012363=Umhnr?;5]e`fz0d=UmhnrSDAMS89Qadb~W[KFo6\jae{\kw6788n0^hoky^mq45669m1Yiljv_np34575l2XnmiwPos2344513[omMne6;SgeGkr;8730^hhLnu>2:<=UmoIex1<19:PfbFhs4:427_kiCov?0;?89QacEi|5<556\jfBlw828?3[omOczP099QacEi|V;37_kiCov\6==UmoIexR=7;SgeGkrX<11YikMat^7;?WcaKg~T:55]egAmpZ15;2XnjN`{_Lcg`ZYflm:;<=QBxnp\V`urd}6:2?=4Rdd@jqYJimnTSljk0123[H~hzVXnxb{<3<17>TbnJdS@okd^]b`a6789UFtb|PRdqvhq:46;90^hhLnu]NeabXWhno<=>?_LzlvZTb{|f090=3:PfbFhsWDkohRQnde2345YJpfxT^h}zlu>6:75?01]N|jtXZly~`y28>348V``Df}UFmijP_`fg4567W[oxyazP0^llp56788;o7_kiCov\IdbcWVkoh=>?0^]bja6789;n7_kiCov\IdbcWVkoh=>?0^]bja6789;:h6\jfBlw[HgclVUjhi>?01]\ekb789;:i6\jfBlw[HgclVUjhi>?01]\ekb789;:=i5]egAmpZKflmUTmij?012\[dhc89:9=h5]egAmpZKflmUTmij?012\[dhc89:9=d:PfbFhsWDkohRQnde2345YXign;<=;>e:PfbFhsWDkohRQnde2345YXign;<=;>139QacEi|VUjhi>?01>3:442:PfbFhsWVkoh=>?0=1=57=UmoIexRQnde2345:36880^hhLnu]\eab789:793?=;SgeGkrXWhno<=>?<7<26>TbnJdSRokd1234919?2XnjIaae028V``CggoTSl`k012354=UmoNdbhQPaof34566n2XnjIaae^]lv56788:0^hhKoog\[jt789::=?5]egFlj`YdeyUn}=>?0018V``CggoTo`~Pep234576;2XnjIaae^antZcv89:;><=4RddGkkcXkdzTi|>?01127>TbnMeeiRmbp^gr4567<890^hhKoog\ghvXmx:;<=;>3:PfbAiimVif|Rk~0123245?8018V``CggoTo`~Pep2345?13[om^L]9;SgeV`w03[om^h>9:PfbPt`mg~j7_kiUsefjq7f3[omYijnu0b?Wca]{mnby=n;SgeQwabf}>=7_k|umv5?VETAJY<7^M\IBQ23>UD[@IX>:5\CRK@W61<[JYBO^:8;RAPMFU2>2YDY_MJa:Qm`WGJKZ]Yn6]adSCNGVQU9j1Xbi\NMBQTV46d3Zdo^LCLSVP25f=TfmXJAN]XR00a?VhcZHGH_Z\=b:Qm`WGJKZ]Y?o5\nePBIFUPZ=h0_cj]ALAPSW3e3Zdo^LCLSVP5f>Uil[KFO^Y]7c9PjaTFEJY\^5l4SofQEHET_[3?7Y\ZE59W]UC?3]cfib{{A028Plkbg|~JSRa}012354=SadodyyOP_np345669;1_e`k`uuC\[jt789::<?2038Plkbg|~JSRa}0123747<\`gncxzN_^mq4567<8;0XdcjotvB[Ziu89:;9?6038Plkbg|~JSRa}0123347<\`gncxzN_^mq456708;0XdcjotvB[Ziu89:;555[ilglqqDe3]cfib{{BQpf56=SadodyyL_rd]\kw6789;?7YgbenwwFUtbWVey<=>?1068Plkbg|~I\kP_np34565991_e`k`uu@\[jt789::=6ZfmdmvpGYXg{:;<=?>1:Vji`ir|KUTc>?01025>Rnele~xOQPos23455d3\YN^RXFSH@OA6=QKJ30ZDKX_U[SA6=QXHi0Z]OP_`lg4567l2\[MRQnne23457e3_ZJSRa}0123g>PWIVUd~=>?00a8RUGXWfx;<=>=c:TSEZYhz9:;<>m4VQC\[jt789:?o6X_A^]lv5678Pnl[KFO^Y]65i2a>Pnl[KFO^Y]65i1a>Pnl[KFO^Y]65i0a>Pnl[KFO^Y]65i7a>Pnl[KFO^Y]65i6a>Pnl[KFO^Y]65i5a>Pnl[KFO^Y]65i4a>Pnl[KFO^Y]65i;5a=_AECET VKB!2-5%US]K*;"<.NSBKJ0>^HZ;<0T^ZCIC58\VRXOGN<7U][_WA@2>^cjVCo==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo48\j:76890T~z7;^cm`567801Tmcj?0122=>Yffm:;<=<7;^ov|5678:1hby<4cr18bvde3oyiSca{0123g>`tjVddx=>?00f8bvdXff~;<=>>1e9ewgYig}:;<=?=4:klfh2b:lB@jssDL::>o5aAEmvpIC79:o0bLJ`uuNF4ZIE]O30bLJ`uuNF5d=iIMe~xAK>1d9mEAir|EO:SBLZF29mEV1hF[Vddx=>?1b9mEVYig}:;<n5aAR]mkq67889h7cO\_omw4566;5aDhlOAg=iL`dGIRAMUGf8jAoiDLUd~=>?0d9m@lhKMVey<=>?1d9m@lhKMVey<=>?2d9m@lhKMVey<=>?3d9m@lhKMVey<=>?479m@lhHM>1eHd`@E058jAoiGL8<7cJfnNG0f>hCagENSBLZF69m@jssGLi0bIaztNG\KGSAn2dOcxz@E^llp56798:0bIaztNG\jjr789;:==5aDnwwK@Yig}:;<<<>0:lGkprHMVddx=>?1233?kBh}}ENSca{0122046hKLZUBCOQ@BTD`?kJC[Vkeh=>?1e9mHAUXign;<=?>d:lO@VYffm:;<<?000`?kJC[Vey<=>>3b9mHAUXg{:;<<:l;oNGWZiu89::9n5aLEQ\kw6788d3gFO_Ra}0122=1=iD^O>7cBXE0a8jIQBWhdo<=>?d:lOS@Yffm:;<=?k;oNTAZgil9:;55aOD]LFP@>3gE}ibny130?kIqm{fju=QNcmp\MKP6k2dDzh|cax2\J5763gE}ibny1]bja6789;97cAyesnb}5Yffm:;<=?>2:lLr`tkip:Tmcj?012157=iGoy`lw?_`lg4567;880bBxjrmcz4Zgil9:;<9?=;oMuawjfq9Ujbi>?01726>hH~lxgmt>Paof3456112dDzh|cax320>hH~lxgmt?PCmiFjZOI^8i0bBxjrmcz5ZH7?2dYM@BXEb9mVDKK_LUDNXH8;oPBIPQBk2dYM@[XE^MAQC20b_K\9:lQAVYNJ\L=7c\@MBQ4?kTHEJY:n6`]OLAP[JDRN?1e^BCXE69mVJKPM8h0b_ABWD]LFP@33g_O_55aUEQ\BVDc3g_O_RH\B^KAQC>?00f8jPQBWhdo<=>?2e9mQRCXign;<=>hQEHUTc>?01:g?kPJIVUd~=>?08a8jSKFWhdo<=>?d:lUIDYffm:;<=?k;oTNEZgil9:;55aVQC\BVDc3g\[MRH\B^MAQC>hPMVLXNn5aWD]EWGYNJ\L87ob8:z`=067pewKL}?=d`9CD}7fl3L1>7?tS859725=9j81=>:793d9gddasg9<<7?4n252>3=#;?l1?;o4}R;5>61428i96<=;880e>fgd92n:mi4?:082V?02:=861533k;jh7>542865?g2sA9><6*<3`82ea=]0>09w<4;:|&2e=<4;01b5?4?::m0e1<722c84o4?::m76f<722c?5<1<75`3``94?=h;j91<75`40194?=h;k81<75`3c594?=n<:;1<75`38;94?=h9l;1<7*>c482a5=i9j>1<65`1ed94?"6k<0:i=5a1b695>=h9lk1<7*>c482a5=i9j>1>65`1d;94?"6k<0:i=5a1b697>=h9l21<7*>c482a5=i9j>1865`1d594?"6k<0:i=5a1b691>=h9l<1<7*>c482a5=i9j>1:65`1d794?"6k<0:i=5a1b693>=h9l>1<7*>c482a5=i9j>1465`1d194?"6k<0:i=5a1b69=>=h9l81<7*>c482a5=i9j>1m65`1eg94?"6k<0:i=5a1b69f>=n<=0;6)?l5;60?k7d<3:07d:=:18'5f3=<:1e=n:51:9j04<72-;h97:<;o3`0?4<3`>;6=4+1b7906=i9j>1?65f3d83>!7d=3>87c?l4;68?l5c290/=n;5429m5f2==21b?n4?:%3`1?243g;h8784;h1a>5<#9j?18>5a1b693>=n;h0;6)?l5;60?k7d<3207d=6:18'5f3=<:1e=n:59:9j7=<72-;h97:<;o3`0?g<3`9<6=4+1b7906=i9j>1n65f3783>!7d=3>87c?l4;a8?l52290/=n;5429m5f2=l21b8n4?:%3`1?243g;h87k4;h6a>5<#9j?18>5a1b69b>=n3:9j03<72-;h97:<;o3`0?7332c?97>5$0a6>155<#9j?18>5a1b6953=b;6a>0`|@:?;7)=028q96p*>a9807<=n0m0;66a>4683>>i4:<0;66a<2b83>>o1<3:17d=i9;29?j75j3:17d:<0;29?j7bk3:17b<7c;29?j`>2900e<;50;&2g0<6<2d:o94?;:k27?6=,8i>6<:4n0a7>4=c4820>h6k=0976g>0;29 4e228>0bc4820>h6k=0>76gl:18'5f3=9=1e=n:56:9jf?6=,8i>6<:4n0a7>2=5<#9j?1=95a1b69e>=n?3:1(c58g?>o6l3:1(;?;ngf>5<51;294~"4;h089<5G37a8L6373f;h=7>5;|`0a4<72<0;6=u+32c9af=O;?i0D>;?;%df>5=n0j0;66g64;29?l>?2900e4m50;9l5ab=831vn>km:186>5<7s-98m7kl;I15g>N4=91/jh4?;h:`>5<1<75f8983>>o>k3:17b?kd;29?xd4m;0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg5bk3:1>7>50z&07dt$21b>7`<@:;?;hd2>5<>oa<3:17dh::188mc0=831bj:4?::ke5;|`eg?6=;3:1N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;;<1<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo==7;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn><7:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi??750;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e9=21<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd6<00;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg73i3:1>7>50z&07d7>5;hd0>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;l<1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4m>0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg5b03:1>7>50z&07d29086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th8il4?:583>5}#;:k1>o5G37a8L6373`l:6=44ig094?=nn:0;66a>b083>>{e9ln1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd6ml0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=k>50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:j<4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb0d1>5<4290;w)=>oa:3:17b?m1;29?xd6n:0;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo?i4;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a6=b=8381<7>t$21b>c`<@:5;|`1<`<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb3;3>5<5290;w)=>i6j80;66sm28394?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd51;0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn?7<:187>5<7s-98m7N4=91bj<4?::ke6?6=3`l86=44o0`2>5<7>55;294~"4;h09o6F<6b9K706>oa;3:17dh;:188k4d62900qo=93;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a732=8391<7>t$21b>60e3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th8:84?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K7066<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f60>290?6=4?{%10e?4e3A9=o6F<519jb4<722cm>7>5;hd0>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;:;1<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17pl<3383>0<729q/?>o52b9K73e<@:?;7dh>:188mc4=831bj>4?::ke0?6=3f;i=7>5;|`076<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb216>5<5290;w)=>i6j80;66sm32494?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl<3683>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f65?290>6=4?{%10e?4d3A9=o6F<519jb4<722cm>7>5;hd0>5<1<75`1c394?=zj:8n6=4<:183!54i38j7E=9c:J015=nn80;66gi2;29?j7e93:17pl<2g83>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg5483:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi=?m50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:?94?:483>5}#;:k1>n5G37a8L6373`l:6=44ig094?=nn:0;66gi4;29?j7e93:17pl>3483>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg74>3:1>7>50z&07d7>5;n3a5?6=3th:?54?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K7063c83>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f44c29086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th:>h4?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66gi3;29?j7e93:17pl>3183>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f45629086=4?{%10e?7e;2B8:n5G3428mc7=831bj?4?::m2f4<722wi=><50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<;?;hd2>5<7h>;<107?`73ty=6=4;{_489cg=n=16?><5f39>762=n91v:4?:5y]3>;ai3l>70=<2;d0?854=3l;7p}7:187[><5ok1j;5233f9b7=:9:?1j<5rs883>0}Y127mm7h8;<106?`33498:7h?;<301?`53tyj6=4<{_c89cg=n116??j5f09~wg<72=qUn63ib;d2?851:3l970?<4;d2?xud290?wSm4=g`9b7=:;?81j<521269b7=z{m0;69uQd:?ef?`4349=>7h<;<300?`43tyn6=4;{_g89cd=n=16?;<5f59>562=n=1v<>50;5xZ46<5oh1j8523349b4=:;l<1j=5229g9b5=:;?91j<521209b4=z{881<77t^0089cd=n?16??95f19>7`1=n916=hk5f39>6=`=n916?;=5f39>57e=n916=>95f39~w45=83ipR<=4=g`9b2=:;;<1j?5215:9b5=:;l?1j?521df9b5=:9lo1j<522839b4=:;?>1j?5212:9b5=:9:k1j<5212`9b4=z{8?1<77t^0789cd=n116??65f19>51g=n916?h65f19>5c6=n916>4>5f19>733=n916=>=5f09~w4e=839pR767=n;1v;:50;1xZ32<5:oh6k>4=2g6>c7vPi9:?eg?7e92wxjl4?:3y>bd<6j816jn4i1:pbg<72;q6jo4>b09>bf2e83>7}:9;n1=o?4=00f>c452z?26`<6j816=?h5f09~w44a2909w0?=f;3a5>;6;90m>6s|12294?4|589;6;<305?`53ty:?<4?:3y>567=9k;01<==:g08yv74:3:1>v3>3382f4=:9:91j?5rs017>5<5s4;887?m1:?275;50;0x945228h:70?=f;d1?xu6;?0;6?u212495g7<589i6k<4}r303?6=:r7:?:4>b09>57b=n81v<=7:18187403;i=63>2e8e6>{t9:31<74d634;9j7h<;|q27d<72;q6=>o51c3894562o;0q~?<6=4={_373>;65<5s4;?47?m1:?20g28h:70?;b;d2?xu6i6k=4}r3ba?6=:r78:i4je:?0ag<><2wx=lh50;0x960b28i:70=j1;;`?xu6mj0;6?uQ1da894`328h:7p}>ee83>7}:9ln1=o?4=0d2>c452z?2a`<6j816=k<5f09~w4ca2909w0?jf;3a5>;6n;0m>6s|1g294?4|58l;6;<3e0?`53ty:j<4?:3y>5c7=9k;01v3>f382f4=:9o91j?5rs0d0>5<5s4;m?7?m1:?2b15m50;0xZ7>d3482?7?m1:p6=b=838p1?6k:0`2?84>93l97p}=8d83>7}::1o1=o?4=3;0>c752z?14<5f09~w7?72909w0<60;3a5>;51;0m>6s|28394?4|5;3:6;<0:7?`53ty95?4?:3y>6<4=9k;01?7<:g18yv55=3:1>vP<249>77g=9k;0q~==6;296~;4:?0:n<5233c9b4=z{:8<6=4={<113?7e9278>44i1:p77>=838p1><7:0`2?85513l97p}<2883>7}:;;31=o?4=20b>c452z\06f=:;::1=o?4}r11`?6=:r78>i4>b09>77c=n81v>{t;;l1<74d63498<7h=;|q074<72;q6?>?51c3896502o80q~=<2;296~;4;;0:n<5233d9b4=z{:986=4={<107?7e9278?54i1:p762=838p1>=;:0`2?85403l97p}<3483>7}:;:?1=o?4=21;>c552z?073<6j816?>65f59~w6502909w0=<7;3a5>;4:l0m>6s|32:94?4|5:936;<11b?`53ty8:<4?:3y>73?=9k;01>=8:g38yv51:3:1>v3<6382f4=:;?31j?5rs240>5<5s49=?7?m1:?02=<0;6?u237795g7<5:<<6k<4}r152?6=:r78:;4>b09>73?=n:1v>88:181851?3;i=63<698e6>{t;?21<74d6349=57h>;|q0a4<72;q6?h?51ef896ce20i0q~=j3;296~;4m802863d349nm7?m1:p7`3=838p1>k::0`2?85bi3l97p}7}:;l<1=o?4=2g:>c752z?0a2<6j816?h75f39~w6c?2909w0=j8;3a5>;4mh0m?6s|3d;94?4|5:o26;<1fe?`63ty8io4?:6y>7`d=9mn01;4m;0m<6s|42294?2|V=9;70?;9;d3?851>3l;70?<9;d3?x{e<:91<7=;:b:9a2}O;<:0(>=n:0ga?_>02;q:6>4r$0c;>65>3`2o6=44i23e>5<5<5<5<=h9ml1<7*>c482a5=i9j>1=65`1dc94?"6k<0:i=5a1b696>=h9l31<7*>c482a5=i9j>1?65`1d:94?"6k<0:i=5a1b690>=h9l=1<7*>c482a5=i9j>1965`1d494?"6k<0:i=5a1b692>=h9l?1<7*>c482a5=i9j>1;65`1d694?"6k<0:i=5a1b69<>=h9l91<7*>c482a5=i9j>1565`1d094?"6k<0:i=5a1b69e>=h9mo1<7*>c482a5=i9j>1n65f1383>!7d=3;:7c?l4;28?l77290/=n;5109m5f2=921bi7>5$0a6>47!7d=3;:7c?l4;18?le=83.:o84>1:l2g1<332ci6=4+1b7954=i9j>1965fa;29 4e228;0b;o3`0?1<3`21<7*>c4825>h6k=0376g8:18'5f3=981e=n:59:9j2?6=,8i>6d=;o3`0?b<3`;i6=4+1b7954=i9j>1i65f1`83>!7d=3;:7c?l4;d8?l7>290/=n;5109m5f2=9910e<650;&2g0<692d:o94>1:9j52<72-;h97?>;o3`0?7532c::7>5$0a6>47c5820>=e;?n1<7?50;2x 65f2ll0D>8l;I164>ibm3:17pl=0c83>0<729q/?>o5eb9K73e<@:?;7)hj:19j5;h:;>5<5;|`53?6=;3:1N4>j1C?8>4i9:94?=n1j0;66a>de83>>{e:8k1<7=50;2x 65f2lh0D>8l;I164>"am3:0e5650;9j=f<722e:hi4?::a67e=8391<7>t$21b>`d<@:>i6lm0;66sm1g494?5=83:p(>=n:d`8L60d3A9><6*ie;28m=>=831b5n4?::m2`a<722wi?4i9:94?=n1j0;66a>de83>>{e;0n1<7=50;2x 65f2lh0D>8l;I164>o?03:17d7l:188k4bc2900qo<64;297?6=8r.8?l4jb:J02f=O;<:0(kk50:k;5<53;294~"4;h0nn6F<6b9K706<,oo1<6g78;29?l?d2900c50z&07d5;h;`>5<N4>j1C?8>4ig294?=h9k;1<75rb3f0>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e<9i1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4l>0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg5ak3:1>7>50z&07d=8381<7>t$21b>c`<@:5;|`15<<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<b083>>{e:j<1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd5k>0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn>7j:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi?kh50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|`0``<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<;?;hd2>5<b083>>{e<9l1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd3990;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg2693:197>50z&07d<5k2B8:n5G3428mc7=831bj?4?::ke7?6=3`l?6=44o0`2>5<52;294~"4;h0mj6F<6b9K706b083>>{e;mh1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4lj0;684?:1y'76g=:j1C?;m4H273?l`62900ek<50;9jb6<722cm87>5;n3a5?6=3th98l4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb36a>5<5290;w)=>i6j80;66sm25a94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg43l3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn?;=:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`116<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f73>29096=4?{%10e?`a3A9=o6F<519jb5<722e:n<4?::a60g=8391<7>t$21b>7g<@:5<n7>53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rb37f>5<4290;w)=>oa:3:17b?m1;29?xd5=o0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg4183:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi>;?50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|`121<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<6=4=:183!54i3lm7E=9c:J015=nn90;66a>b083>>{e:?<1<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo<97;297?6=8r.8?l4>b29K73e<@:?;7dh>:188mc4=831d=o?50;9~f70f29086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th9:o4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<53;294~"4;h09m6F<6b9K706>i6j80;66sm27f94?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd5?90;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn?9>:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi>:<50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<;?;hd2>5<7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo<88;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a62?=8391<7>t$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th9;n4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb35g>5<5290;w)=>i6j80;66sm26g94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg40n3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn?6=:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`1<6<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:1?1<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17pl=bc83>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg4el3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi>ok50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th9nk4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<55;294~"4;h09o6F<6b9K706>oa;3:17dh;:188k4d62900qob29K73e<@:?;7dh>:188mc4=831d=o?50;9~f7e529086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th9o>4?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:ki1<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17pl=a783>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qob29K73e<@:?;7dh>:188mc4=831d=o?50;9~f7d529096=4?{%10e?`a3A9=o6F<519jb5<722e:n<4?::a6g5=8391<7>t$21b>7g<@:5<52;294~"4;h0mj6F<6b9K7066=4=:183!54i3lm7E=9c:J015=nn90;66a>b083>>{e:k<1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd5j>0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn?l7:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi>l950;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:h31<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qot$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th9mn4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb3cg>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:ho1<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17pl=ag83>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f7d7290?6=4?{%10e?7e<2B8:n5G3428mc7=831bj?4?::ke7?6=3f;i=7>5;|`1=3<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb3;g>5<4290;w)=>oa:3:17b?m1;29?xd51l0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg4>n3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi>l>50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th9m<4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<7>52;294~"4;h0mj6F<6b9K706>i6j80;66sm28594?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg4>03:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi>4750;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66gi3;29?j7e93:17pl=9c83>1<729q/?>o51c68L60d3A9><6gi1;29?l`52900ek=50;9l5g7=831vn5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=o750;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:nl4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K7065<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a67c=8391<7>t$21b>7g<@:5<52;294~"4;h0mj6F<6b9K706:6=4=:183!54i3lm7E=9c:J015=nn90;66a>b083>>{e:=81<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd5<:0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg43<3:1>7>50z&07dt$21b>c`<@:5;|`102<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb313>5<5290;w)=>i6j80;66sm22394?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg44:3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi>>=50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66gi3;29?j7e93:17pl=3483>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg44>3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn?=8:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a66>=8391<7>t$21b>7g<@:5<53;294~"4;h09m6F<6b9K706>i6j80;66sm22`94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg44k3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn?=k:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`17`<72:0;6=u+32c95g5<@:5<53;294~"4;h09m6F<6b9K706>i6j80;66sm25294?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd6n10;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo50;9l5g7=831vn?>;:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi>=;50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig294?=h9k;1<75rb324>5<5290;w)=>i6j80;66sm21:94?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl=0883>1<729q/?>o52c9K73e<@:?;7dh>:188mc4=831bj>4?::m2f4<722wi>=o50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:j44?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<54;294~"4;h0:n95G37a8L6373`l:6=44ig094?=nn:0;66a>b083>>{e9oh1<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo?ic;290?6=8r.8?l4=b:J02f=O;<:0ek?50;9jb7<722cm?7>5;n3a5?6=3th:ji4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb0df>5<4290;w)=>oa:3:17b?m1;29?xd6no0;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qob29K73e<@:?;7dh>:188mc4=831d=o?50;9~f76629086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th95}#;:k1=o:4H24`?M5282cm=7>5;hd1>5<b083>>{e;o>1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4n<0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg5a>3:187>50z&07d<6j=1C?;m4H273?l`62900ek<50;9jb6<722e:n<4?::a7c1=8391<7>t$21b>7g<@:5<53;294~"4;h09m6F<6b9K706>i6j80;66sm21d94?2=83:p(>=n:3`8L60d3A9><6gi1;29?l`52900ek=50;9l5g7=831vn???:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi>5;n3a5?6=3th9=?4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K7065<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a640=8391<7>t$21b>7g<@:5<53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rb33`>5<3290;w)=>oa:3:17dh<:188k4d62900qo<=4;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a673=8381<7>t$21b>c`<@:5;|`163<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb30;>5<5290;w)=>i6j80;66sm23;94?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl=2`83>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo<=b;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn??k:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a64c=8391<7>t$21b>7g<@:5<53;294~"4;h09m6F<6b9K706>i6j80;66sm23294?2=83:p(>=n:0`7?M51k2B89=5ff083>>oa:3:17dh<:188k4d62900qo<=1;290?6=8r.8?l4=b:J02f=O;<:0ek?50;9jb7<722cm?7>5;n3a5?6=3th9>?4?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{t=3:1>vP:;<07g?`63ty=6=4={_4897332o;0q~950;0xZ2=::7}Y0279:=4i1:p=?6=:rT270<96;d2?xuf2909wSo4=34`>c7624=n81vn4?:3y]g>;5?10m=6s|d;296~Xc348;|qe>5<5sWl01?:n:g08yv772909wS??;<0;6?`53ty::7>52z\22>;5=;0m>6s|1683>7}Y9>16>865f39~w4>=838pR<64=37f>c4a;296~X6i279:l4i2:p5g<72;qU=o522629b7=z{8i1<7;58k02o63>b78:g>;5jm0m>63=c18e5>;5j;0m<63=b28e6>;5j10m<63=9g8e6>;6jk0m<63=388e4>;5;58:0m<63=048e5>;59:0m<63=268e4>{t>>0;68u26682`a=::k>1j=522579b5=::9<1j=5220f9b4=z{1n1<7mt^9f8976e2120167e=0116=k85899>74e=0116>4:5899>6d2=0116>o75899>733?7p}>b783>2}:9k<1=ij4=3`f>c6<5;k;6k>4=360>c6<58lj6k<4=32g>c4<5;8?6k?4}r3a3?6=:r7:n;47c:?2fa<6j81vbb8e6>{t9k31<74d634;io7h<;|q2fd<72;q6=oo51c3894dd2o>0q~?mb;296~;6jk0:n<521cf9b7=z{8hh6=4={<3ag?7e927:ni4i1:p5ac=838pRe083>6}Ym2T:i<522969b4=z{8o96=4={_3f6>;5=<0:n<5rs0g0>5<5sW;n?63=5c82f4=z{8o?6=4={_3f0>;5>80:n<5rs0g6>5<5sW;n963=6682f4=z{8o=6=4={_3f2>;5>m0:n<5rs0g4>5<5sW;n;63=7282f4=z{8o36=4={_3f<>;5?00:n<5rs0g:>5<5sW;n563=7g82f4=z{8oj6=4={_3fe>;50<0:n<5rs0g`>5;5jm0m=63=b48e4>;51o0m=63>bb8e5>;5<=0m<63=468e4>;5;:0m=63=058e4>;58>0m<63;59=0m=63=258e6>;5:?0m<6s|1g794?2|5;;26;<625?`6349oo7h>;<1e0?`73ty:j;4?:3y>5c0=9mn01??7:g28yv7a?3:1>v3>f78:g>;58;0:n<5rs0d;>5<5s4;m47?m1:?14528h:70?ic;d0?xu6nh0;6?u21gc95g7<58lo6k<4}r3ef?6=:r7:jo4>b09>5cc=n;1v{t9on1<74d634;mj7h>;|q2b`<72;q6=kk51c3894`a2o80q~?if;296~;6no0:n<522129b7=z{;:;6=4={<034?7e9279<<4i1:p657=838p1?>>:0`2?847:3l97p}=0283>7}::991=o?4=0db>c752z?141<6j816=ko5f29~w7622909w0;6nl0m=6s|21494?4|5;:=6;<3ef?`63ty9<:4?:3y>651=9k;01v3=0982f4=::9;1j?5rs32:>5<5s48;57?m1:?147=o50;0x976f28h:70?ic;d2?xu58k0;6?u221`95ab<5;;<6k>4}r03g?6=:r79k:181847l3;i=63=178e5>{t:9l1<74d6348:97h>;|q155<72;q6><>51c3897732o80q~<>1;296~;5980:n<522069b6=z{;;96=4={<026?7e9279=94i4:p645=838p1??<:0`2?847m3l97p}=1583>7}::8>1=o?4=336>c452z?150<6j816><85f39~w7712909w0<>6;3a5>;58l0m=6s|20594?4|5;;<6;<02=?`63ty9=54?:3y>64>=9k;01??6:g08yv46i3:1>v3=1`82`a=::m91j<5rs33a>5<5s48:m77l;<017?7e92wx>b09>677=n:1v??i:181846n3;i=63=218e5>{t:;:1<74d63489=7h=;|q164<72;q6>??51c3897452o80q~<=2;296~;5:;0:n<522319b4=z{;8?6=4={<010?7e9279>=4i2:p673=838p1?<::0`2?846l3l97p}=2783>7}::;<1=o?4=33e>c452z?162<6j816>?>5f29~w74?2909w0<=8;3a5>;5:80m=6s|23;94?4|5;826;<02a?`63ty9>l4?:3y>67g=9k;01??j:g08yv45j3:1>v3=2c82f4=::;91j?5rs30`>5<6>r79>n4>de9>7d4=n916>9o5f09>61d=n916>8<5f09>605=n916>865f09>60?=n916>8k5f09>60`=n916>;:5f09>633=n916>;o5f09>63d=n916>:>5f09>627=n916>:85f09>621=n916>:m5f09>62b=n916>5<5f09>6=5=n91v?{t:;o1<74d63488=7h>;|q16c<72;q6>?h51c38975c2o80q~<<0;296~;5;90:n<5222d9b7=z{;9:6=4={<005?7e9279?;4i1:p664=838p1?==:0`2?844m3l:7p}=3283>7}:::91=o?4=314>c752z?171<6j816>>95f39~w7522909w0<<5;3a5>;5;10m>6s|22494?4|5;9=6;<00e?`53ty9?:4?:3y>661=9k;01?=m:g08yv4403:1>v3=3982f4=:::i1j?5rs31:>5<5s48857?m1:?174>o50;0x975f28h:70<;0;d2?xu5;k0;6?u222`95g7<5;9h6k?4}r00g?6=:r79?n4>b09>66b=n81v?=k:181844l3;i=63=3d8e6>{t::o1<74d63488j7h>;|q17c<72;q6>>h51c3897272o80q~<;1;296~;5<80:n<522249b7=z{;>96=4={<076?7e9279??4i2:p615=838p1?:<:0`2?844;3l97p}=4583>7}::=>1=o?4=317>c752z?100<6j816>>:5f29~w7212909w0<;6;3a5>;5;<0m=6s|25594?4|5;><6;<001?`53ty9844?:3y>61g=9k;01?:k:g38yv43i3:1>v3=4c82f4=::=i1j?5rs36a>5<5s48?o7?m1:?10a8?50;0x973528h:70<:5;d2?xu5=;0;6?u224195g7<5;??6k<4}r067?6=:r79994>b09>603=n;1v?;8:18184203;i=63=5c8e5>{t:<21<74d6348>m7h=;|q11<<72;q6>8o51c38973e2o80q~<:d;296~;5=l0:n<522739b4=z{;?n6=4={<06b?7e9279:=4i2:p60`=838p1?8?:0`2?84193l97p}=6283>7}::?>1=o?4=344>c752z?120<6j816>;85f39~w7022909w0<96;3a5>;5>>0m>6s|27;94?4|5;;<05`?`63ty9:l4?:3y>63d=9k;01?8l:g08yv41j3:1>v3=6b82f4=::?n1j?5rs34e>5<5s48<<7?m1:?136:>50;0x971628h:70<82;d1?xu5?80;6?u226095g7<5;=86k<4}r041?6=:r79;;4>b09>62?=n81v?99:181840?3;i=63=798e6>{t:>=1<74d6348<57h=;|q13g<72;q6>:m51c38971a2o;0q~<8c;296~;5?m0:n<5226g9b7=z{;=o6=4={<04a?7e9279;k4i2:p6=7=838p1?6=:0`2?84?=3l:7p}=8383>7}::191=o?4=3:7>c452z?1<1<6j816>5;5f39~w7>d2909wS<7c:?0bf4:50;3284><3;oh63=c78e4>;5jk0m>63=c18e7>;5j80m=63=b68e6>;51l0m<63=988e5>;6jh0m<63=2d8e6>;5;90m<63=098e4>;6nj0m>63=0g8e5>;5990m<63=1b8e5>;5:10m<6s|28794?4|5;3?64m4=3;a>4d63ty95;4?:3y>6<0=9k;01?o<:g38yv4>?3:1>v3=9682f4=::0h1j<5rs3;;>5<5s48247?m1:?1=d4750;0x97?>28h:70<6b;d1?xu51h0;6?u228c95g7<5;3i6k=4}r0:g?6=:r795n4>b09>6d5=n;1v?7k:18184>l3;i=63=968e5>{t:0o1<74d63482;7h=;|q1=c<72;q6>4h51c3897?f2o80q~i3l87p}=a283>7}::h91=o?4=3;:>c4515y>6d2=9mn01?m8:g3897de2o;01?m?:g6897d12o:01?o8:g3897?12o:01?7k:g3897g62o:012o801?>n:g28976a2o801??>:g28977d2o801?<6:g28yv4f=3:1>v3=a58:g>;5j90:n<5rs3c5>5<5s48j:7?m1:?1e2l950;0x97g028h:70b09>6dd=n;1v?on:18184fi3;i=63=b18e7>{t:hh1<74d6348jo7h>;|q1ef<72;q6>lm51c3897gc2o80q~7}::k;1=o?4=3cf>c77>52z?1f7<6j816>l65f39~w7d42909w0;5i00m=6s|2c694?4|5;h?6;<0b=?`53ty9n84?:3y>6g3=9k;01?ol:g08yv4e>3:1>v3=b782f4=::hl1j?5rs3`4>5<5s48i;7?m1:?1edo650;0x97d?28h:704bc348ij7h?;<0b2?`7348i=7h=;<0:g?`73482h7h=;<0b6?`734;i47h?;<076?`73488m7h>;<3e6g?=1j16>om51c38yv4ej3:1>v3=bc82f4=::j91j<5rs3`g>5<5s48ih7?m1:?1g7ok50;0x97db28h:70b09>6ge=n;1v?m>:18184d93;i=63=c38e6>{t:j81<74d6348h?7h=;|q1g6<72;q6>n=51c3897e32o;0q~6=4={<0`2?7e9279o:4i2:p6f>=838pR?m7;<0g7?7e92wx>nk50;0x97eb28h:70uQ2d28967d28no70=i5;d3?xu49m0;68uQ30f897d42o;01?=;:g0897622o801?<::g28yv56n3:1ovP<1g9>6f6=n;16>n?5f09>6g1=n816>l65f09>6dd=n816>?k5f09>66>=n816>=75f09>5cb=n816>=j5f09>67d=n91v>98:181856k33h70{t;1k1<78t^2:b?85an3l970=kf;d2?827m3l;70=k9;d3?85a>3l97p}<9e83>7}Y;0n01>7k:0fg?xu41o0;6?u238f9<==:;0o1=o?4}r1b5?6=:r785i46c:?0e7<6j81v>m?:181[5d8278ho4i0:p7a0=838pR>j9;<1g3?7e92wx?i650;0x96b02o:01>jl:0`2?xu4l00;6?u23e;95g7<5:nh6k<4}r1ge?6=:r78hl4>b09>7ae=n:1v>jm:18185cj3;i=63{t;mn1<7;<1gb?`53ty8j?4?:3y]7c4<5:l<6;|q0b6<72;q6?k=51c3896`12o;0q~=i4;296~;4n=0:n<523g49b6=z{:l>6=4={<1e1?7e9278j:4i2:p7c0=838p1>h9:0`2?85a?3l:7p}7}Y;oh01>hl:0`2?xu4nl0;6?uQ3gg896`a28h:7p};0083>7}Y<9;019??:g28yv27j3:1>vP;0c9>05e=9k;0q~:?d;296~;38j0m<63;1082f4=z{=:n6=4={<63a?7e927?=<4i2:p05`=838p19>i:0`2?82693l87p};1183>7}:<8:1=o?4=532>c28<7>55z\775=:;ol1j<523eg9b5=:<9l1j=523ec9b5=zuk93<7>512851?0asA9><6*<3`826d=#9h21?>74i9f94?=n98?1<75f15594?=n99:1<75f39c94?=h>10;66g94;29?j73k3:17d=l0;29?l75j3:17b?:9;29?l2483:17d:?1;29?j74k3:17bt$21b>``<@:5}#;:k1in5G37a8L6373`2h6=44i8694?=n010;66g6c;29?j7cl3:17pl98;291?6=8r.8?l4jc:J02f=O;<:0e5m50;9j=1<722c347>5;h;`>5<N4>j1C?8>4$gg94>o?k3:17d7;:188m=>=831b5n4?::m2`a<722wi>:4?:483>5}#;:k1in5G37a8L6373`2h6=44i8694?=n010;66g6c;29?j7cl3:17pl69;297?6=8r.8?l4jb:J02f=O;<:0e5650;9j=f<722e:hi4?::a5dd=8391<7>t$21b>`d<@:5<4b83>6<729q/?>o5ec9K73e<@:?;7d67:188m5<2290;w)=>o><3:17d67:188m6=4?{%10e?cd3A9=o6F<519j5;h:;>5<?2900e4m50;9l5ab=831vn5<7s-98m7kl;I15g>N4=91b4n4?::k:0?6=3`236=44i8a94?=h9mn1<75rb060>5<2290;w)=>o><3:17d67:188m6=4?{%10e?cd3A9=o6F<519j5;h:;>5<=n:3c8L60d3A9><6gi1;29?l`52900c:188yg73=3:1>7>50z&07d;?;hd2>5<b083>>{e9>81<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo<6:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a5ag=8381<7>t$21b>c`<@:5;|`2`g<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo=m5;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a50d=8391<7>t$21b>7g<@:5<o7>52;294~"4;h0mj6F<6b9K7065d83>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg74m3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi=>h50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:8=4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<53;294~"4;h09m6F<6b9K706>i6j80;66sm15094?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd61l0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn<7i:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=l>50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:m<4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb0c1>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e9ji1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd6l80;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo?k2;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a5a5=8381<7>t$21b>c`<@:5;|`2`1<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e9m<1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd6l>0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`2ga<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<>i6j80;66sm1bd94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg7c83:187>50z&07d<6j=1C?;m4H273?l`62900ek<50;9jb6<722e:n<4?::a5f>=8391<7>t$21b>7g<@:5<53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rb3af>5<5290;w)=>i6j80;66sm2e194?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl=d983>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f7b>290?6=4?{%10e?7e<2B8:n5G3428mc7=831bj?4?::ke7?6=3f;i=7>5;|`20`<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<;?;hd2>5<5383>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo?:3;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn<;;:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`210<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<>i6j80;66sm14594?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg73n3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn<86:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`22d<72:0;6=u+32c95g5<@:5<52;294~"4;h0mj6F<6b9K706b083>>{e9?n1<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo?9e;290?6=8r.8?l4=b:J02f=O;<:0ek?50;9jb7<722cm?7>5;n3a5?6=3th::=4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb042>5<5290;w)=>i6j80;66sm17094?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl>6283>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo?94;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a533=8391<7>t$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th::;4?:583>5}#;:k1>o5G37a8L6373`l:6=44ig094?=nn:0;66a>b083>>{e?k0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg1d29096=4?{%10e?`a3A9=o6F<519jb5<722e:n<4?::a3a<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<5<5290;w)=>i6j80;66sm7g83>0<729q/?>o52b9K73e<@:?;7dh>:188mc4=831bj>4?::ke0?6=3f;i=7>5;|`;4?6=;3:1;?;hd2>5<b083>>{e9k31<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd6jh0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg7ej3:1>7>50z&07d7>5;n3a5?6=3th:ni4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb0`f>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e9kl1<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo?l0;297?6=8r.8?l4>b29K73e<@:?;7dh>:188mc4=831d=o?50;9~w4c=838pR0z\13>;5?3;oh636b;d1?872j3l:70?:c;d3?874m3l:70?m3l:70?6f;d3?87c93l970c7<58n96k<4=072>c4<58<26k?4=043>c4<5>o1j=521c`9b5=z{?21<7=9mn0q~97:18180?20i01:751c38yv1f2908w087:9a8940a21i015>51c38yv1e2909w09m:0`2?81a2o80q~9l:18181d28h:709i:g18yv1c2909w09k:0`2?81a2o>0q~9j:18181b28h:706?:g08yv1a2909w09i:0`2?8>72o;0q~6k:1826~X?l27::k478:?5?34;i:767;<04>=><503145521``9<==:9l03463>4b8;<>;69=03463>9b8;<>;6;j03463>588;<>;6i:03463>428;<>;6>>03463>c78;<>;6kh0346s|9883>7}:100:hi52288e5>{t1h0;6?u2988:g>;>j3;i=6s|11294?4|V8:;70?>4;;`?xu69=0;6;u210695ab<58ih6k>4=0f6>c4<58i36k<4=06f>c6<5>i1j=5rs036>5<4sW;:96389;d1?87093l;7p}>2c83>=}Y9;h01>l<:g3894b52o;01?j<:g2894362o;01<86:g0894072o;01vP>3b9>56e=9mn0q~?4382f4=z{89n6=4={<30a?7e927:8?4i1:p56`=838p1<=i:0`2?87393l:7p}>4183>7}:9=:1=o?4=062>c452z?204<6j816=9<5f39~w4242909w0?;3;3g`>;6;l0m>6s|15694?4|58>864m4=066>4d63ty:8:4?:2y]511<5;n36k?4=0``>c752z\20f=:9=i1=ij4}r37`?6=:r7:8n46c:?20c<6j81v<:j:181873m3;i=63>558e6>{t9<:1<74d634;>:7h>;|q214<72;q6=8?51c3894322o;0q~?:2;296~;6=;0:n<521479b7=z{8?86=4={<367?7e927:9:4i2:p502=838p1<;;:0`2?873n3l:7p}>5483>7}:9c4:7>52z?213<6j816=895f09~w4302909w0?:7;3a5>;66s|14;94?4|V8?270?:9;3g`>{t9;|q21g<72;q6=8l51c38943b2o;0q~?:c;296~;6=j0:n<5214f9b7=z{8?o6=4={<36`?7e927:9h4i2:p50`=838p1<;6:9a8940128h:7p}>6183>7}:9?:1=o?4=047>c752z?224<6j816=;:5f39~w4052909w0?92;3a5>;6>?0m=6s|17194?4|58<86;<352?`43ty::94?:3y>532=9k;01<8::g08yv71=3:1>v3>6482f4=:9?<1j?5rs044>5<5s4;=;7?kd:?21g28h:70?9e;d1?xu6>00;6?u217c95g7<58b09>53b=n;1v<8m:181871k3;i=63>6d8e7>{t9?i1<74d634;=i7h>;|q22c<72;q6=;h51ef897b>2o80q~?80;296~;6>o02o63>7382f4=z{8=:6=4={<345?7e927:;?4i2:p5b09>5d7=n81va08e6>{t9h;1<74d634;j>7h=;|q2e6<72;q6=l=51ef894?b2o80q~?n4;296~;6i:02o63>a482f4=z{8ki6=4:{<04>d34;??76l;<0:>c453z?2eg<>k27:::47c:?22`<6j81v0156e=1=16=875959>5d5=1=16=9=5959>531=1=1v3;oh63>d28e4>;6=;0m<63>6b8e4>;6>80m<638f;d2?xu6j>0;6?u21c49b09>5ge=n;1vbe8e5>{t9kk1<74d634;ih7h=;|q2fg<72;q6=ol51c3894e72o80q~?mc;296~;6jj0:n<521cg9b4=z{8ho6=4={<3a`?7e927:nh4i2:p5gc=838p1bg83>7}:9kl1=o?4=0a3>c759z?2g3<6lm16=io5f19>5a2=n916=i;5f09>5f>=n816=8=5f19>53g=n816=;=5f19>5g?=n91v33h70?l9;3a5>{t9j21<74d634;h57h=;|q2gd<72jq6=no51ef894be2o;01:g3894b12o:012o;01<;?:g3894332o;01<8n:g0894052o:01:l5f19>5gg=n91v{t9ji1<74d634;o;7h=;|q2ga<72;q6=nj51c3894b72o90q~?le;296~;6kl0:n<521bd9b4=z{8im6=4={<3`b?7e927:h=4i1:p5a7=838p1:0`2?87dm3l:7p}>d383>7}:9m81=o?4=0f;>c752z?2`6<6j816=i65f39~w4b32909w0?k4;3a5>;6ko0m>6s|1e794?4|58n>6;<3``?`63ty:h;4?:3y>5a0=9k;01v3>d682f4=:9m:1j?5rs0f;>5<5s4;o47?m1:?2g`;61j03o63>3b8;g>;>j3l:70?kb;3a5>{t:j21<7;<0g4?:3y>6a5=9k;01?j6:g18yv4c03:1>v3=d982f4=::m31j<5rs2:b>5<5sW93m63{t;k81<7;<1a1?`63ty8n94?:3y>7g2=9k;01>l::g08yv5d83:1?vP513=n916=9>5f19~w1662908wS:?1:?2e0pR9=?;<353??d349i?7h=;<36`?`63twi?:o50;a96g<4;rB89=5+32c9aa=#9h21?>74o50:>5<5<1<75fe`83>>o113:17d8j:188m7e>2900e?mj:188m7b42900c>78:188mc?=831i?;j50;394?6|,:9j6hh4H24`?M5282eni7>5;|`0e6<72<0;6=u+32c9af=O;?i0D>;?;h:`>5<1<75f8983>>o>k3:17b?kd;29?xd5kh0;684?:1y'76g=mj1C?;m4H273?l>d2900e4:50;9j<=<722c2o7>5;n3g`?6=3th9ok4?:483>5}#;:k1in5G37a8L6373`2h6=44i8694?=n010;66g6c;29?j7cl3:17pl=d583>0<729q/?>o5eb9K73e<@:?;7d6l:188m<2=831b454?::k:g?6=3f;oh7>5;|`5e?6==3:1N4>j1C?8>4i9a94?=n1=0;66g78;29?l?d2900c6=4?{%10e?cd3A9=o6F<519j5;h:;>5<?2900e4m50;9l5ab=831vn>>50;194?6|,:9j6hl4H24`?M5282.mi7>4i9:94?=n1j0;66a>de83>>{e><0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg0129086=4?{%10e?7e;2B8:n5G3428mc7=831bj?4?::m2f4<722wi>ij50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th9hh4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<53;294~"4;h09m6F<6b9K706>i6j80;66sm3583>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo=n9;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn>on:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a703=8391<7>t$21b>7g<@:5<b083>>{e?;0;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo8l:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi:i4?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig294?=h9k;1<75rb3f4>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:m;1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd5l;0;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo50;9l5g7=831vn?mk:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a74<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb50a>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;021<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17pl9e;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn:=50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th<87>52;294~"4;h0mj6F<6b9K706?1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd0>3:197>50z&07d<5k2B8:n5G3428mc7=831bj?4?::ke7?6=3`l?6=44o0`2>5<;7>53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rb27;>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;<31<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4=h0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg52j3:197>50z&07d<5k2B8:n5G3428mc7=831bj?4?::ke7?6=3`l?6=44o0`2>5<o7>53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rs2294?4|5::1=ij4=749b4=z{:81<71<7ht^76893`=1j16:l46c:?1`1<>k279ok46c:?1gd<>k27=:7?m1:?0e<4=3f5>c6<5;n:6k>4=3a`>c6<5:?j6k>4}r46>5<5s4<>6;<45>c4;<16f?`63ty=57>53z\5=>;1l3l:709::g28yv0f2909w08n:0fg?80b2o:0q~8m:18180f21i01;j51c38yv0d2909w08l:0`2?80c2o80q~8j:180[0b34=96k?4=619b5=z{?l1<7>1j=5rs6294?4|5?l14n527382f4=z{>;1<781j?5rs6194?4|5?o1=o?4=649b4=z{>>1<7<1j?5rs6794?4|5>>1=o?4=649b6=z{><1<7<1j95rsdc94??|Vlk01>o<:9:897ef21201?mi:9:897b321201;o5899>2c478:?05?`73tym57>53z\e=>;4ih0m=63<548e6>{t9ho1<76t=24g>`c<5:k864:4=3ab><2<5;im64:4=3f7><2<5?k159526g8:0>;4=:0286s|2b;94?5|V;i2707}::jk1=ij4=27;>c452z?1gdb09~w7ed2909w0;5km0m>6s|2bg94?5|V;in707}::jl1=ij4=3fg>c652z?1gcb09~w7b62909w0;5l;0m>6s|2e194?5|V;n8707}::m>1=ij4=274>c452z?1`1b09~w7b12909w0;5l>0m>6s|2ea94?4|5;nm6;<16=?`73ty9hi4?:3y>6ab=9k;01?ji:g38yv4cm3:1>v3=dd82f4=::ml1j?5rs270>5<5s49>?7?kd:?76d;::0`2?xu4=?0;6>u23419;7>52z?012<6j816?8l5f39~w63?2909w0=:8;3a5>;4=k0m?6s|34;94?4|5:?26;<16f?`33ty89l4?:3y>70g=9k;01>;l:g08yv52j3:1>v3<5c82f4=:;5<2sW93m6395;d3?8532o:01916?4651c38yv5f;3:1>vP7d5=9mn0q~=n8;296~;4i:02o63l1<7?::b:9`6}O;<:0(>=n:00:?!7f039856a>1483>>o3:00;66g>o6i>0;66a>0183>>i40h0;66gn3;29?l5a13:17d?;c;29?j5>=3:17d6n:188m=?=831d?n>50;9j510=831d8=?50;9j5d0=831b?4950;9l7dd=831d?o950;9l5`<722e?=?4?::`02a<7280;6=u+32c9ac=O;?i0D>;?;ngf>5<:>7>55;294~"4;h0no6F<6b9K706>o?03:17d7l:188k4bc2900qoo6:180>5<7s-98m7km;I15g>N4=91b454?::k:g?6=3f;oh7>5;|`a`?6=;3:1N4>j1C?8>4i9:94?=n1j0;66a>de83>>{ej00;6>4?:1y'76g=mk1C?;m4H273?l>?2900e4m50;9l5ab=831vno;50;194?6|,:9j6hl4H24`?M5282c347>5;h;`>5<5<4290;w)=>o>k3:17b?kd;29?xd4j>0;6>4?:1y'76g=mk1C?;m4H273?l>?2900e4m50;9l5ab=831vn5<7s-98m7km;I15g>N4=91b454?::k:g?6=3f;oh7>5;|`2a?6=;3:1N4>j1C?8>4i9:94?=n1j0;66a>de83>>{e99:1<7=50;2x 65f2lh0D>8l;I164>o?03:17d7l:188k4bc2900qok8:180>5<7s-98m7km;I15g>N4=91b454?::k:g?6=3f;oh7>5;|`1<<<72:0;6=u+32c9ag=O;?i0D>;?;h:;>5<1<7=50;2x 65f2lh0D>8l;I164>o?03:17d7l:188k4bc2900qo=:e;297?6=8r.8?l4jb:J02f=O;<:0e5650;9j=f<722e:hi4?::a=`<72:0;6=u+32c9ag=O;?i0D>;?;h:;>5<6<729q/?>o5ec9K73e<@:?;7d67:188mf29086=4?{%10e?ce3A9=o6F<519j<=<722c2o7>5;n3g`?6=3th8mo4?:283>5}#;:k1io5G37a8L6373`236=44i8a94?=h9mn1<75rbd494?5=83:p(>=n:d`8L60d3A9><6g78;29?l?d2900c50z&07d=831b5n4?::m2`a<722wi4<4?:283>5}#;:k1io5G37a8L6373`236=44i8a94?=h9mn1<75rb27g>5<4290;w)=>o>k3:17b?kd;29?xd>l3:1?7>50z&07d=831b5n4?::m2`a<722wi=8650;194?6|,:9j6hl4H24`?M5282.mi7>4i9:94?=n1j0;66a>de83>>{elj0;6>4?:1y'76g=mk1C?;m4H273?!`b291b454?::k:g?6=3f;oh7>5;|`236<72:0;6=u+32c9ag=O;?i0D>;?;%df>5=n010;66g6c;29?j7cl3:17pl>7`83>6<729q/?>o5ec9K73e<@:?;7)hj:19j<=<722c2o7>5;n3g`?6=3th:4<4?:283>5}#;:k1io5G37a8L6373-ln6=5f8983>>o>k3:17b?kd;29?xd60o0;6>4?:1y'76g=mk1C?;m4H273?!`b291b454?::k:g?6=3f;oh7>5;|``0?6=;3;1?vF<519'76g=9hk0el?50;9je7<722e8>94?::`037<72:0;6=u+32c973d<@:5<<;;<146?7e92wvnn=50;195?5|@:?;7)=of93:17do=:188k6432900n>9=:180>5<7s-98m7=9b:J02f=O;<:0ek?50;9jb7<722e:n<4?::pe4<72;qUm<523609b7=z{h81<7>d4?;0;6>4?:1y'76g=;?h0D>8l;I164>oa93:17dh=:188k4d62900q~o>:181[g6349<>7h=;|qb6?6=:rTj>63<738e5>{t;;>1<7;?;%10e?7fi2cj=7>5;hc1>5<5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{ti80;6?uQa09>724=n;1vl<50;0xZd4<5:=96k?4}r110?6=:rT8>95236095g75}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb7g94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg5d>3:1>7>50z&07d7>5;n3a5?6=3th?<:4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<;47>53;294~"4;h09m6F<6b9K706>i6j80;66sma183>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo=90;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn5850;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th94o4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K706b083>>{e<8<1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xden3:1>7>50z&07dt$21b>7g<@:5<b083>>{ejj0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vno950;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3thi47>53;294~"4;h09m6F<6b9K706>i6j80;66smb283>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qol;:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|``2?6=;3:1N4>j1C?8>4ig394?=nn;0;66a>b083>>{ek10;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vnno50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<5<5290;w)=>i6j80;66sm8283>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo?61;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn<7;:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=4;50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:5;4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<53;294~"4;h09m6F<6b9K706>i6j80;66sm18:94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg7>13:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi=4o50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|`2=g<72:0;6=u+32c95g5<@:5<7>53;294~"4;h09m6F<6b9K706>i6j80;66sm18194?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd6?j0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn<9k:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=:k50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|`23c<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<>i6j80;66sm16794?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg70>3:1>7>50z&07d5;hd1>5<;?;hd2>5<8l;I164>oa83:17b?m1;29?xdcn3:1>7>50z&07dt$21b>c`<@:5;|`f5?6=:3:1N4>j1C?8>4ig294?=h9k;1<75rbd094?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17plj3;293?6=8r.8?l4=d:J02f=O;<:0ek?50;9jb7<722cm?7>5;hd7>5<>i6j80;66sm3`g94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg5fn3:1>7>50z&07d7>5;n3a5?6=3th8n<4?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66gi3;29?l`32900c:188yg25;3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi8?:50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th?>84?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<9:7>53;294~"4;h09m6F<6b9K706>i6j80;66sm43594?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg2503:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi=5=50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:4:4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K706b083>>{e91k1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd60k0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg7?k3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn<6k:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`2<`<72=0;6=u+32c96g=O;?i0D>;?;hd2>5<>i6j80;66sm19694?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd60<0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn<69:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a67<72:0;6=u+32c95g5<@:5<>i6j80;66sm2583>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo<::180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`12?6=;3:1;?;hd2>5<b083>>{e;0;1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd41;0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg5>;3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn>7;:187>5<7s-98m7N4=91bj<4?::ke6?6=3`l86=44o0`2>5<7>52;294~"4;h0mj6F<6b9K706b083>>{e99?1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd68?0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg77?3:1>7>50z&07dt$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th:5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb02a>5<3290;w)=>oa:3:17dh<:188k4d62900qo??3;297?6=8r.8?l4>b29K73e<@:?;7dh>:188mc4=831d=o?50;9~f17?290<6=4?{%10e?4c3A9=o6F<519jb4<722cm>7>5;hd0>5<1<75ff483>>oa>3:17b?m1;29?xd3900;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg26i3:1>7>50z&07dt$21b>c`<@:5;|`75a<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig394?=nn;0;66gi3;29?j7e93:17pl;1g83>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg2583:187>50z&07d<5j2B8:n5G3428mc7=831bj?4?::ke7?6=3f;i=7>5;|`0fd<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb2``>5<5290;w)=>i6j80;66sm3cf94?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl1<729q/?>o52c9K73e<@:?;7dh>:188mc4=831bj>4?::m2f4<722wi?oh50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|``g?6=:3:1N4>j1C?8>4ig294?=h9k;1<75rbe294?2=83:p(>=n:3`8L60d3A9><6gi1;29?l`52900ek=50;9l5g7=831vni?50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3tho>7>52;294~"4;h0mj6F<6b9K7068l;I164>oa83:17b?m1;29?xdc<3:1>7>50z&07dt$21b>c`<@:5;|`g2?6=;3:1N4>j1C?8>4ig394?=nn;0;66a>b083>>{el>0;694?:1y'76g=:k1C?;m4H273?l`62900ek<50;9jb6<722e:n<4?::aga<72:0;6=u+32c95g5<@:5<:188ygea29086=4?{%10e?7e;2B8:n5G3428mc7=831bj?4?::m2f4<722wimo4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<>oa;3:17dh;:188k4d62900qook:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wimh4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb`d94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188ygd729086=4?{%10e?7e;2B8:n5G3428mc7=831bj?4?::m2f4<722wi?nl50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig294?=h9k;1<75rb2ae>5<5290;w)=>i6j80;66sm3e294?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo=k2;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn>j<:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`0`1<72:0;6=u+32c95g5<@:5<53;294~"4;h09m6F<6b9K706>i6j80;66sm3ba94?2=83:p(>=n:3`8L60d3A9><6gi1;29?l`52900ek=50;9l5g7=831vn>mk:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a55b=8381<7>t$21b>c`<@:5;|`24`<72:0;6=u+32c95g5<@:5<52;294~"4;h0mj6F<6b9K706>i6j80;66sm10394?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg76:3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi=<=50;694?6|,:9j6N4=91bj<4?::ke6?6=3`l86=44o0`2>5<53;294~"4;h09m6F<6b9K706>i6j80;66sm13394?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl>2383>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo?=3;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn<<;:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=?;50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:>;4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K7061983>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f47>29086=4?{%10e?7e;2B8:n5G3428mc7=831bj?4?::m2f4<722wi=5;hd1>5<>i6j80;66sm10`94?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd69j0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a54c=8391<7>t$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th:=k4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb003>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{t9l0;6?uQ1d9>5`<6lm1v??50;0x94c=1j16>;4>b09~w74=838p1?<51c38970=n81v?=50;0x975=9k;01?;5f09~w72=838p1?:51c38973=n;1v?;50;0x973=9k;01?85f39~w3>=839p1;k51c3894>?2o:01<>::g28yv>62909w06>:0fg?80b2o80q~6=:18b8>6212019>>:9:896e721201>om:9:896>f21201>;k:9:897>?21201<;7:9:894>b09~w=2=838p15:51ef893c=n81v5;50;1x9=2=1j164<46c:?;2?7e92wx444?:04xZ=?<5=;96564=`;9<==:jm03463m9;:;?8d221201o?5899>7g1=0116=<;5899>5`?34835767;<:7>=><5:?n6564=8g9<==:lj03463>728;<>;6?h03463>808;<>;60o0346373;d3?xu?i3:19vP7a:?fde9>5=1=n916==:5f19~w<`=839p14k59b9>=a<>k27j<7?m1:pe6<72;qUm>52e78:g>{ti=0;6lu2a882`a=:k80j=63l6;d1?8bb2o:019<=:g68917?2o;019?n:g289a6=n816h?4i0:?b`?`73tyjm7>52z?b=??d34h;6;|qbf?6=:r7jn7?m1:?ba?`53tyjo7>52z?bg?7e927jj7h>;|qb`?6=:r7jh7?m1:?bb?`53tyji7>52z?ba?7e927i<7h>;|qbb?6=:r7jj7?m1:?a4?`53tyj97>5az?a5?7cl27h=7o=;c7<5l:1j=524319b4=:<821j?5240;9b5=:l90m>63k1;d3?8gd2o;0q~l=:1818d620i01o:51c38yvd42909w0l<:0`2?8d32o80q~o9:18:8d228no70m=:`089f>=n816i?4i0:?766c45<5s4h<6;<`;>c44bc34i86l<4=bc9b4=:m80m<63;258e4>;39m0m<63k4;d3?8gd2o90q~ln:1818d>20i01om51c38yvde2909w0lm:0`2?8dd2o80q~o7:18;8dc28no70m;:`089`5=n;168?;5f19>04e=n916h=4i3:?g7?`734kh6k:4}r`f>5<5s4ho64m4=b295g74d634i;6k<4}ra6>5<4s4i96l?4=b495g7<5j21j?5rsb594?5|5j91m<52c982f4=:kh0m>6s|c883>7}:k=0j=63la;3a5>{tkk0;68u2bg8e4>;ej3l;70l8:g289g5=n916ok4>b09~wfe=838p1nm51c389a0=n;1vnj50;0x9fb=9k;01nk5f39~wfc=838p1nk51c389f`=n;1vi>50;0x9a6=9k;01nj5f09~wa7=838p1i?51c389a1=n;1vi<50;0x9a4=9k;01i95f09~wa5=838p1i=51c389a1=n:1vi:50;0x9a2=9k;01nk5f09~wa3=838p1i;51c389fc=n:1vi850;0x9a0=9k;01nh5f09~wa1=838p1i951c389fb=n;1vi650;0x9f7=;;>01o:5f09~wa?=838p1n<533689g>=n81vio50;0x9f5=;;>01om5f09~wad=838p1n:533689f6=n81vim50;1x9ae=9mn01<66:g2894612o:0q~jk:1818bd20i01h=51c38yvbb2909w0jj:0`2?8c42o;0q~ji:1818ba28h:70k<:g18yvc72909w0k?:0`2?8c42o?0q~k>:1818c628h:70k<:g68yvc52909w0k=:0`2?8c42o<0q~k9:1878c020i01h851ef89fe=n916mo4i0:pa2<72:q6i:4>de9>`3;|qf=?6=:r7n:767;4d63ty:<=4?:5y]556<58:;6:47h;;|q244<72=q6===51c3894772o;01<<::g28947>2o;0q~??2;296~;68;0:n<5211;9b4=z{8:?6=4={<330?7e927:<44i2:p553=838p1<>::0`2?877j3l:7p}>0783>7}:99<1=o?4=02b>c752z?242<6j816==o5f39~w46?2909w0??8;3a5>;68:0m>6s|11;94?4|58:26;<33f?`53ty:55g=9k;01<>m:g18yv77j3:1>v3>0c82f4=:9991j<5rs02`>5<5s4;;<77l;<327?7e92wx==j50;0x946c28h:70?>0;d1?xu68l0;6?u211g95g7<58;:6k?4}r33b?6=:r7:b09>547=n;1v138e6>{t98;1<74d634;:?7h<;|q257<72;q6=<<51c3894742o;0q~?>5;297~X69<16=<;51ef8947a2o;0q~?>6;296~;69<02o63>2182f4=z{8;<6=4={<323?7e927:=o4i1:p54>=838p11883>7}:9831=o?4=03`>c752z?25d<6j816=?>5f39~w47e2909w0?>b;3a5>;69l0m=6s|10a94?4|58;h6;<32`?`53ty:=i4?:3y>54b=9k;01v3>1d82f4=:98l1j?5rs03e>5<5s4;:j7?m1:?265>4>b09>54?=n;1v<<;:181875<3;i=63>1b8e6>{t9;?1<74d634;:m7h=;|q263<72;q6=?851c38947f2o90q~?=7;296~;6:>0:n<5210c9b1=z{8836=4={<31de9>5>4i1:?24`7<:g3896eb2o:01>j;:g38946a2o:017882f4=z{8=>6=4={<341?7e927:;:4i2:p520=838p1<99:0`2?87003l97p}>7683>7}:9>=1=o?4=05:>c752z?23=<6j816=:75f39~w41f290nw0?8a;3g`>;61=0m<63>9c8e5>;6?o0m=63>768e5>;5;3l970=60;d3?85ek3l;70=lf;d3?85c83l;70??d;d3?877m3l970?=2;d3?87503l:7p}>7c83>7}:9>k15n5219295g752z?23f<6j816=:k5f39~w41c2909w0?8d;3a5>;6?o0m>6s|16g94?4|58=n6;<3;4?`63ty:;k4?:3y>52`=9k;01<6?:g08yv7?93:1jv3>8082`a=:90;1j=5218:9b4=:9>o1j<5216:9b4=:;k:1j<521979b4=::;0m>63<938e4>;4jh0m<63;69;0m=63>228e4>;6910m=63>1`8e5>{t9181<7;|q2<6<72;q6=5=51c3894>d2o;0q~?74;296~;60=0:n<521979b7=z{82>6=4={<3;1?7e927:4;4i2:p5=1=838p1<68:0`2?87?k3l97p}>8983>7}:9121=o?4=0:f>c752z?2<<<6j816=5j5f09~w4>f2909w0?7a;3a5>;60m0m>6s|19`94?4|582i6;<3;0?`53ty:4n4?:3y>5=e=9k;01<6j:g08yv7?l3:1>v3>8e82f4=:91o1j>5rs0:f>5<5s4;3i7?m1:?2<1968e5>;6?j0m>63>748e5>;6??0m<63;4io0m<63>878e5>;5:3l:70=61;d3?85ej3l;70=lb;d2?85c93l;70?>3;d1?876?3l970?=4;d3?875>3l;7p}>9183>7}:91l15n5218195g752z?2=4<6j816=495f39~w4?52909w0?62;3a5>;61:0m>6s|18694?4|583?6;<3:5<3=9k;01<76:g08yv7>>3:1>v3>9782f4=:9081j?5rs0;4>5<5s4;2;7?m1:?2=db09>5<5=n81v<7m:18187>j3;i=63>938e5>{t9h<1<7a683>6}Y9h=01>lk:g2896ee2o80q~<76;297~;50>0:n<5219c9b5=:99=1j=5rs3:;>5<5s48347?kd:?1<25750;0x97>>28no70<77;d2?xu50h0;6>u229;9=f=::1215n5229`95g7h7>53z?01a<6lm168?<5f29>04>=n<1v>;j:180852m3;oh63;238e6>;3910m:6s|34d94?5|5:?n64m4=27g>;|q04bc3ty84k4?:3y>7=g=1j16?4:51c38yv5>83:1>v3<9182f4=:;091j?5rs2;2>5<5s492=7?m1:?0=15<4sW92;6376;d3?875?3l;7p}7}Y;h901l>5f19~w6ge2909wS=nb:?0eg<6lm1v>ok:18185fj33h70=m1;3a5>{t;ho1<74d6349i=7h>;|q0ec<72;q6?lh51c3896d72o80q~=m0;296~;4j90:n<523c39b7=z{:h<6=4={_1a3>;4j>0:hi5rs2`:>5<5s49i;77l;<1ab?7e92wx?oo50;0x96df28h:70=me;d1?xu4jk0;6?u23c`95g7<5:hn6k?4}r1ag?6=:r78nn4>b09>7gc=n:1v>lk:18185el3;i=63{t;ko1<74d6349ij7h>;|q0g5<72;qU?n>4=2a3>4bc3ty8o84?:3y>7f1=9k;01>m6:g28yv5d>3:1>v35<5s49h<77l;<1`=?7e92wx?no50;1x96e12o:019>8:g2896ec28h:7p}7}:;jh1=o?4=2f6>c752z?0gf<6j816?nj5f39~w6eb2909w0=le;3a5>;4l:0m=6s|3bd94?4|5:im6;<1g7?`53ty8h=4?:3y>7a6=9k;01>j;:g08yv5c93:1>v35<5s49o>7?m1:?0gfb09>7fb=n81v>h6:181[5a12794o4i0:p057=838pR9>>;<635?7cl2wx8=850;0x916?28h:70:?a;d3?xu38>0;6?u241595g7<5=:36k<4}r63=?6=:r7?<<46c:?74d<6j81v9?=:180[26:27?=?4>de9>`c0;6?u24009b09>04`=n81v9?6:18182613;i=63;1d8e6>{t<8k1<74d634>:i7h>;|q75g<72;q68c;296~;39j0:n<524329b4=z{=;o6=4={<62`?7e927?>=4i3:p04c=838p19?j:0`2?826n3l97p};1g83>7}:<8l1=o?4=503>c49=7>52z?75354>b09~w1452909w0:=2;3a5>;3:10m=6s|43194?4|5=886;<613?`63ty?>94?:3y>072=9k;019<9:g38yv25=3:1>v3;2482f4=:<;<1j?5rs505>5<5s4>9:7?m1:?7622<4i39owE=:0:&07d<>=2.:m54<389l74`=831b>h>50;9l74b=831bil4?::m07g<722e88:4?::k;f?6=3k9=h7>51;294~"4;h0nj6F<6b9K7065<2290;w)=>o><3:17d67:188m6=4?{%10e?cd3A9=o6F<519j5;h:;>5<5;|`002<72<0;6=u+32c9af=O;?i0D>;?;h:`>5<1<75f8983>>o>k3:17b?kd;29?xd5m00;6>4?:1y'76g=mk1C?;m4H273?!`b291b454?::k:g?6=3f;oh7>5;|`1ad<72:0;6=u+32c9ag=O;?i0D>;?;%df>5=n010;66g6c;29?j7cl3:17pl=ec83>6<729q/?>o5ec9K73e<@:?;7)hj:19j<=<722c2o7>5;n3g`?6=3th9in4?:283>5}#;:k1io5G37a8L6373-ln6=5f8983>>o>k3:17b?kd;29?xd5mm0;6>4?:1y'76g=mk1C?;m4H273?!`b291b454?::k:g?6=3f;oh7>5;|`1a`<72:0;6=u+32c9ag=O;?i0D>;?;%df>5=n010;66g6c;29?j7cl3:17pl=eg83>6<729q/?>o5ec9K73e<@:?;7)hj:19j<=<722c2o7>5;n3g`?6=3th9j=4?:283>5}#;:k1io5G37a8L6373-ln6=5f8983>>o>k3:17b?kd;29?xd5n>0;6>4>:2yK706<,:9j65<81<7=50;2x 65f2:;4?;0:n<5r}c0e2?6=;3;1?vF<519'76g=9hk0el?50;9je7<722e8>94?::`037<72:0;6=u+32c973d<@:5<<;;<146?7e92wvn?h::180>4<4sA9><6*<3`82ed=ni80;66gn2;29?j55<3:17o=82;297?6=8r.8?l4<6c9K73e<@:?;7dh>:188mc4=831d=o?50;9~wd7=838pRl?4=251>c47}Y;;>01>9=:0`2?x{e:o>1<7=51;1xL6373-98m7?na:kb5?6=3`k96=44o207>5<>i6j80;66s|a083>7}Yi816?:<5f39~wd4=838pRl<4=251>c752z\061=:;>81=o?4}|`1b6<72:0:6>uG3428 65f28kj7do>:188md4=831d??:50;9a724=8391<7>t$21b>60e3A9=o6F<519jb4<722cm>7>5;n3a5?6=3tyj=7>52z\b5>;4?;0m>6s|a383>7}Yi;16?:<5f09~w6432909wS==4:?037<6j81vqo>i4:=0;66l<7383>6<729q/?>o537`8L60d3A9><6gi1;29?l`52900c:188yvg62909wSo>;<146?`53tyj>7>52z\b6>;4?;0m=6s|33694?4|V:8?70=82;3a5>{zj;l:6=4<:080M5282.8?l4>a`9je4<722cj>7>5;n110?6=3k9<>7>53;294~"4;h08:o5G37a8L6373`l:6=44ig094?=h9k;1<75rs`394?4|Vh;01>9=:g08yvg52909wSo=;<146?`63ty8>94?:3y]772<5:=96;|a74c=8381<7>t$21b>c`<@:5;|`00d<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<;?;hd2>5<>i6j80;66sm31d94?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl=f`83>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f7`d29086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th9jh4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb223>5<4290;w)=>oa:3:17b?m1;29?xd48;0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn>>;:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`1b=<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;;;1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4:;0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn><<:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`056<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb236>5<5290;w)=>i6j80;66sm30494?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl<1683>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo=>8;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn>?6:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi?5;n3a5?6=3th8=o4?:883>5}#;:k1>k5G37a8L6373`l:6=44ig094?=nn:0;66gi4;29?l`22900ek850;9jb2<722cm47>5;n3a5?6=3th8?i4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K706;6=4=:183!54i3lm7E=9c:J015=nn90;66a>b083>>{e;=;1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4<;0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg53;3:1>7>50z&07dt$21b>c`<@:5;|`003<7210;6=u+32c96`=O;?i0D>;?;hd2>5<>oa<3:17dh::188mc0=831bj:4?::m2f4<722wi?>k50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|`00a<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<n6=4=:183!54i3lm7E=9c:J015=nn90;66a>b083>>{e;=l1<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17p}7b;293~X?j2788546c:?07g<>k2788:46c:?00dr79i44>de9>6c7=i816>ko5f39>6c>=n916?<=5f19>76b=n91v?k=:18684bi3;oh63=f08b6>;5nh0m=63<158e4>;4;o0m<6s|2d194?3|5;oi6;<121?`7349?<7h?;|q1a1<72hm51ef897`42h801?hj:g3896712o:01>:>:g28yv4b=3:19v3=ee82`a=::o>1m?523129b4=:;8=1j=523519b5=z{;o=6=49{<0fa?7cl279j84n2:?047?4i1:?05=3k970=?4;d2?85583l970=>9;d3?853<3l;7p}=e983>1}::o:1=ij4=3d4>d4<5:8;6k?4=23b>c653z?1b7b09>6ce=n;1v?hm:18084a;3k:70;5nl0m>6s|2gf94?5|5;l?6l?4=3df>4d6349;<7h=;|q1bc<72:q6>k;5a09>756=9k;01>>=:g08yv5793:1?v3=f78b5>;48;0:n<523169b7=z{::86=4={<0e3?g6349;87?m1:p753=838p1?k6:8a897`?28h:7p}<0783>7}::lk15n522g3977252z?1ag<>k279j?4<259~w66?2909w06433ty86`c=1j16>k;53368yv57j3:1>v3=eg8:g>;5n?08>95rs22`>5<5s48m<77l;<0e3?55<2wx?=j50;1x966c28no70=>e;d3?85593l;7p}<0d83>7}:;9n15n5231d95g752z?04ab09~w675290>w0=;b;d2?85693l970=?f;d3?856j3;i=63<4e8e5>{t;891<74d6349:n7h>;|q051<72;q6?<:51c38967e2o80q~=>5;296~;49<0:n<5230`9b6=z{:;=6=4={<122?7e9278=o4i4:p741=838p1>?8:0`2?856j3l>7p}<1983>7}:;821=o?4=23a>c052z?05<<6j816?a;3a5>;49k0m46s|30f94?4|V:;o70=>e;3a5>{t;8l1<7;<117?`63ty8><4?:3y>777=9k;01><=:g08yv55:3:1>v3<2382f4=:;;91j?5rs21a>5<5sW98n63<3c82`a=z{:9h6=4={<10f?>d3498i7?m1:p76b=838p1>=k:0`2?853>3l:7p}<3g83>7}:;:l1=o?4=265>c452z?005<6j816?985f29~w6262909w0=;1;3a5>;496;<172?`13ty88>4?:3y>715=9k;01>:9:g78yv53<3:1>v3<4582f4=:;=<1j:5rs266>5<5s49?97?m1:?07`0;6?uQ3558962028no7p}<4983>7}:;=21=ij4=26g>c452z?00=b09~w62f2909w0=;a;3a5>;46s|35a94?4|5:><65m4=26e>4d63ty88i4?:3y>71b=9k;01>:i:g38yv53m3:1>v3<4d82f4=:;=l1j?5r}c1;N4>j1C?8>4ig294?=h9k;1<75rb256>5<5290;w)=>i6j80;66sm36494?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg5?;3:1>7>50z&07d329096=4?{%10e?`a3A9=o6F<519jb5<722e:n<4?::a7=3=8391<7>t$21b>7g<@:5<52;294~"4;h0mj6F<6b9K706>i6j80;66sm9283>7<729q/?>o5fe9K73e<@:?;7dh?:188k4d62900qo=7c;296?6=8r.8?l4id:J02f=O;<:0ek>50;9l5g7=831vn9><:181>5<7s-98m7hk;I15g>N4=91bj=4?::m2f4<722wi?4m50;094?6|,:9j6kj4H24`?M5282cm<7>5;n3a5?6=3th8jl4?:383>5}#;:k1ji5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mh6F<6b9K706b083>>{e;j81<7<50;2x 65f2on0D>8l;I164>oa83:17b?m1;29?xd?n3:1>7>50z&07dt$21b>cb<@:5;|`f1?6=:3:1N4>j1C?8>4ig294?=h9k;1<75rb511>5<5290;w)=>i6j80;66sm5c83>7<729q/?>o5fe9K73e<@:?;7dh?:188k4d62900qo;n:181>5<7s-98m7hk;I15g>N4=91bj=4?::m2f4<722wi944?:383>5}#;:k1ji5G37a8L6373`l;6=44o0`2>5<b083>>{e=?0;6?4?:1y'76g=nm1C?;m4H273?l`72900c:188yg3229096=4?{%10e?`c3A9=o6F<519jb5<722e:n<4?::a11<72;0;6=u+32c9ba=O;?i0D>;?;hd3>5<5<5290;w)=>i6j80;66sm5383>7<729q/?>o5fe9K73e<@:?;7dh?:188k4d62900qo;>:181>5<7s-98m7hk;I15g>N4=91bj=4?::m2f4<722wi9=4?:383>5}#;:k1ji5G37a8L6373`l;6=44o0`2>5<m6=4=:183!54i3lo7E=9c:J015=nn90;66a>b083>>{e:188yg2c29096=4?{%10e?`c3A9=o6F<519jb5<722e:n<4?::a26<72;0;6=u+32c9ba=O;?i0D>;?;hd3>5<5<5290;w)=>i6j80;66sm6083>7<729q/?>o5fe9K73e<@:?;7dh?:188k4d62900qo8?:181>5<7s-98m7hk;I15g>N4=91bj=4?::m2f4<722wi9k4?:383>5}#;:k1ji5G37a8L6373`l;6=44o0`2>5<b083>>{e=m0;6?4?:1y'76g=nm1C?;m4H273?l`72900c:188yg3d29096=4?{%10e?`c3A9=o6F<519jb5<722e:n<4?::a12<72;0;6=u+32c9ba=O;?i0D>;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<5<5s4952z?0<5<6m278494i0:p62<72;q6?5>5269>7=>=n91v>;50;0xZ63<5=n1j=5rs2494?4|V:<019k5f19~w61=838pR>94=5d9b5=z{:21<7750;0xZ6?<5<;1j=5rs2c94?4|V:k018<5f19~w6d=838pR>l4=419b5=z{:i1<7j50;0xZ6b<5h4=459b5=z{=:1<7=n91v9?50;0xZ17<5<31j=5rs5094?4|V=8018o5f19~w12=838pR9:4=4`9b5=z{=?1<7=838pR964=4d9b5=z{=31<70=:<:91963;d;3a5>{tu236;92>;3;:0=70:j:0`2?xu3n3:1?v3<7884?824;3=019h51c38yv372908w0=89;:8915421169=4>b09~w07=839p1>96:89>065=127>=7?m1:p17<72:q6?:75a:?776;|q67?6=;r78;44m;<607?d<5<91=o?4}r77>5<4s49<57m4=510>f=:==0:n<5rs4794?5|5:=26i524219`>;2=3;i=6s|5783>6}:;>31i63;328f?83128h:7p}:7;296~;3;:0m70;8:0`2?xu203:1?v3<78824>;3;:0:<63:8;3a5>{t=00;6>u236;957=:<:91=?525882f4=z{45<55<5s49<57?:;<7a>4d63ty>o7>52z?776<6>27>o7?m1:p1a<72;q68>=5169>1a<6j81v8k50;0x9154282018k51c38yv3a2909w0:<3;3:?83a28h:7p}90;296~;3;:0:m6390;3a5>{t>80;6?u242195g=:>80:n<5rs7094?5|5:=264e<5?81=o?4}r40>5<4s49<57?k;<607?7c34<86;|q50?6==r78;4494:?776<1<2784=494:?03d<1<278;547b:p32<72;q6?5>5699>72g=>l1v5k50;0xZ=c<51l1j=5rs9d94?4|5:=m6574=9d95g7:185850132o70:<3;:g?85?832o70=8a;gb?85003oj707>:0`2?xu>:3:1>vP62:?:7?`73ty2?7>52z?03c{tm<0;6?u236d9e6=:m<0:n<5rsg;94?4|5:=26k74=25b>c?52z?0<5<68916?:h51128yv76=3:1>v3<818250=:;>l1=<;4}r31f?6=:r78;44>2c9>7=6=9;h0q~?52z?03<<6<>16?5>51558yv73k3:1>v3<81820f=:;>l1=9m4}r36=?6=:r784=4>589>72`=9h=0q~?6c;296~;4090:5n5236d95d052z\2``=::=21=o?4}r3gb?6=:rT:hk5225g95g752z\2a4=::=l1=o?4}r3f6?6=:rT:i?5224295g752z\2a6=::<<1=o?4}r3f0?6=:rT:i95224a95g752z\2a0=::?81=o?4}r3f2?6=:rT:i;5227:95g752z\2a2=::?o1=o?4}r3f52z\2a<=::>k1=o?4}r3fe?6=:rT:il5229295g752z?03<<6mj168>=51da8yv4303:1>v3;3282``=::=21j=5rs36f>5<5s4>8?7?kf:?10`9h50;0x915428o:70<;f;d3?xu5=90;6?u242195`4<5;?;6k>4}r062?6=:r7??>4>e29>600=n91v?;l:181824;3;n863=5b8e4>{t:?81<74c2348=>7h?;|q12=<72;q68>=51d48970?2o:0q~<9e;296~;3;:0:i:5227g9b5=z{;=?6=4={<607?7b0279;94i0:p62g=838p19=<:0g:?840i3l;7p}=8183>7}:<:91=ho4=3:3>c652z?03<<50j168>=529a8yv4ci3:1>v3<8181g==:;>k1>nk4}r0gf?6=:r7??>4=c99>72g=:j30q~52z?776<49m16?:6530f8yv56n3:1>v3;32805c=:;>21?723=n91v>{t;:h1<765e349;|q002<72;q6?:65355896112o;0q~=84;296~;4?h0=563<7782f4=z{:=>6=4={<141?7e9278;;4i2:p72d=838p1>9n:3f0?850m3;i=6s|36a94?4|5:=o6;<14a?`53ty84<4?:3y]7=7<5:2=6;|q0<7<72;q6?5=51c3896>22o;0q~=73;296~;40=0:n<523979b7=z{:2=6=4={<1;1?7e92784;4i0:p7=1=838pR>68;<1;=?7e92wx?5750;0x96>?28h:70=79;d3?xu40k0;6?uQ39`896>d2o:0q~=7c;290~;3;:084l5239297=g<5:=j6>6n;<1;g?7e92wx?5j50;0xZ6>c3493i7?m1:p7=c=838p1>9i:2:b?85?m3l;7p}<9483>7}Y;0?01>79:0`2?xu41?0;6?u236d97<3<5:3=6k>4}r1:=?6=:rT8545238c95g752z?03d<41>16?4o5f19~w6?e2909wS=6b:?0=f{t;0n1<77k;<1b4?`73ty8m94?:3y]7d2<5:k>6;|q0e0<72;q6?:o53`1896g22o:0q~=n6;296~X4i?16?l95f19~w6g02909w0=8f;1b7>;4i>0:n<5rs2ca>5<5sW9jn63l=;<1a2?7e92wx?o850;0x96>72:h970=m6;d3?xu4j>0;6?uQ3c5896d?28h:7p}7}:;>l1?o94=2`;>c652z\0g4=:;j81j=5rs2a1>5<4s4>8?7=l0:?0<5<4k916?n<51c38yv5d;3:1>vP7f2=9k;0q~=l4;296~;4?o08o=523b69b5=z{:no6=4={_1g`>;4m90:n<5rs2g3>5<5s4>8?7=kd:?0a57}Y;o:01>h>:0`2?xu4n80;6?u2421905d<5:l:6k>4}r1e6?6=:rT8j?523g:95g752z?776<4n;16?k65f19~w6`>2909wS=i9:?0bd2:l270=8f;1e=>;4nh0:n<5rs2da>5<5sW9mn63hj;<634?7e92wx8=>50;0x91542:ln70:?0;d3?xu38;0;6?uQ410891642o:0q~:?3;297~;3;:0?<<523929057<5=:86;|q741<72;qU8=:4=526>4d63ty?<84?:3y>72`=<9;019>::g28yv26;3:1>vP;129>042=9k;0q~:>4;296~;4?o0?=?524069b5=z{=8h6=4={_61g>;3:m0:n<5rs50g>5<5s499j7h?;|q76c<72;q6?:h543;8914a28h:7p};3083>7}Y<:;019==:g28yv24:3:18v3<788775=:<:918>>4=2:3>15734>8>7?m1:~jc452909wE=:0:mb75=838pD>;?;|le61<72;qC?8>4}od11?6=9rB89=5rng05>5<6sA9><6saf3594?7|@:?;7p`i2983>4}O;<:0qch=9;295~N4=91vbkn4?:0yK70651zJ015=zfo8n6=4>{I164>{in;l1<7?tH273?xha;90;6;?;|le71<728qC?8>4}od01?6=9rB89=5rng15>5<6sA9><6saf2594?7|@:?;7p`i3983>4}O;<:0qch<9;295~N4=91vbk=n:182M5282wej>l50;3xL6373tdm?n4?:2yK70653zJ015=zfo9n6=4<{I164>{in:l1<7=tH273?xha<90;6>uG3428yk`393:1?vF<519~jc252908wE=:0:mb15=839pD>;?;|le01<72:qC?8>4}od71?6=5<5sA9><6saf5594?5|@:?;7p`i4983>1}O;<:0qch;9;296~N4=91vbk:n:181M5282wej9l50;0xL6373tdm8n4?:3yK70652zJ015=zfo>n6=4={I164>{in=l1<7;?;|le11<72:qC?8>4}od61?6=9rB89=5rng75>5<5sA9><6saf4594?7|@:?;7p`i5983>3}O;<:0qch:9;295~N4=91vbk;n:181M5282wej8l50;3xL6373tdm9n4?:3yK706h7>53zJ015=zfo?n6=4<{I164>{in90;6>uG3428yk`193:1?vF<519~jc052908wE=:0:mb35=839pD>;?;|le21<72:qC?8>4}od51?6=;rB89=5rng45>5<6sA9><6saf7594?7|@:?;7p`i6983>4}O;<:0qch99;295~N4=91vbk8n:182M5282wej;l50;3xL6373tdm:n4?:0yK70651zJ015=zfo{I164>{in?l1<7?tH273?xha?90;6;?;|le33<72;qC?8>4}od43?6=:rB89=5rng5;>5<5sA9><6saf6;94?4|@:?;7p`i7`83>7}O;<:0qch8b;296~N4=91vbk9l:181M5282wej:j50;0xL6373tdm;h4?:3yK70653zJ015=zfo2;6=4<{I164>{in1;1<7=tH273?xha0;0;6>uG3428yk`?;3:1?vF<519~jc>32908wE=:0:mb=3=838pD>;?;|le<3<72;qC?8>4}od;3?6=:rB89=5rng:;>5<5sA9><6saf9;94?4|@:?;7p`i8`83>4}O;<:0qch7b;296~N4=91vbk6l:182M5282wej5j50;3xL6373tdm4h4?:0yK70651zJ015=zfo3;6=4>{I164>{in0;1<7?tH273?xha1;0;6;3:1=vF<519~jc?3290?wE=:0:mb<3=83;pD>;?;|le=3<72;qC?8>4}od:3?6=9rB89=5rng;;>5<5sA9><6saf8;94?7|@:?;7p`i9`83>7}O;<:0qch6b;295~N4=91vbk7l:181M5282wej4j50;3xL6373tdm5h4?:3yK70651zJ015=zfok;6=4={I164>{inh;1<7?tH273?xhai;0;6?uG3428yk`f;3:1=vF<519~jcg32909wE=:0:mbd3=83;pD>;?;|lee3<72;qC?8>4}odb3?6=9rB89=5rngc;>5<5sA9><6saf`;94?7|@:?;7p`ia`83>6}O;<:0qchnb;295~N4=91vbkol:181M5282wejlj50;3xL6373tdmmh4?:3yK70651zJ015=zfoh;6=4={I164>{ink;1<7?tH273?xhaj;0;6?uG3428yk`e;3:1=vF<519~jcd32909wE=:0:mbg3=83;pD>;?;|lef3<72:qC?8>4}oda3?6=9rB89=5rng`;>5<5sA9><6safc;94?7|@:?;7p`ib`83>7}O;<:0qchmb;295~N4=91vbkll:180M5282wejoj50;3xL6373tdmnh4?:3yK70651zJ015=zfoi;6=4={I164>{inj;1<7?tH273?xhak;0;6?uG3428yk`d;3:1=vF<519~jce32909wE=:0:mbf3=83;pD>;?;|leg3<72=qC?8>4}o3b0?6=9rB89=5rn0c6>5<6sA9><6sa1`594?7|@:?;7p`>a983>4}O;<:0qc?n9;295~N4=91vb51zJ015=zf8kn6=4>{I164>{i9hl1<7?tH273?xh6j90;6;?;|l2f1<728qC?8>4}o3a1?6=9rB89=5rn0`4>5<6sA9><6sa1c:94?7|@:?;7p`>b883>4}O;<:0qc?ma;295~N4=91vb51zJ015=zf8i;6=4>{I164>{i9j;1<7?tH273?xh6k;0;6;?;|l2g2<728qC?8>4}o3`5<6sA9><6sa1bc94?7|@:?;7p`>cb83>4}O;<:0qc?ld;295~N4=91vb7>51zJ015=zf8n86=4>{I164>{i9m>1<7?tH273?xh6l<0;63:1=vF<519~j4b0290:wE=:0:m5a>=83;pD>;?;|l2`<<728qC?8>4}o3ge?6=9rB89=5rn0fa>5<6sA9><6sa1ea94?7|@:?;7p`>de83>4}O;<:0qc?ke;295~N4=91vb50;3xL6373td:i<4?:0yK7067>51zJ015=zf8o86=4>{I164>{i9l>1<7?tH273?xh6m<0;63:1=vF<519~j4c0290:wE=:0:m5`>=83;pD>;?;|l2a<<728qC?8>4}o3fe?6=9rB89=5rn0ga>5<6sA9><6sa1da94?7|@:?;7p`>ee83>4}O;<:0qc;=7;295~N4=91vb8<6:182M5282we9?o50;3xL6373td>>o4?:0yK70651zJ015=zf<8o6=4>{I164>{i=;o1<7?tH273?xh2:o0;6;?;|l605<728qC?8>4}o775?6=9rB89=5rn461>5<6sA9><6sa55194?7|@:?;7p`:4583>4}O;<:0qc;;5;295~N4=91vb8:9:182M5282we99950;3xL6373td>854?:0yK70651zJ015=zf<>j6=4>{I164>{i==h1<7?tH273?xh2;?;|l615<728qC?8>4}o765?6=9rB89=5rn471>5<6sA9><6sa54194?7|@:?;7p`:5583>4}O;<:0qc;:5;295~N4=91vb8;9:182M5282we98950;3xL6373td>954?:0yK70657>51zJ015=zf{I164>{i=;?;|l625<728qC?8>4}o755?6=9rB89=5rn441>5<6sA9><6sa57194?7|@:?;7p`:6583>4}O;<:0qc;95;295~N4=91vb889:182M5282we9;950;3xL6373td>:54?:0yK70651zJ015=zf<{I164>{i=?h1<7?tH273?xh2>j0;6;?;|l635<728qC?8>4}o745?6=9rB89=5rn451>5<6sA9><6sa56194?7|@:?;7p`:7583>4}O;<:0qc;85;295~N4=91vb899:182M5282we9:950;3xL6373td>;54?:0yK70651zJ015=zf<=j6=4>{I164>{i=>h1<7?tH273?xh2?j0;6;?;|l6<5<728qC?8>4}o7;5?6=9rB89=5rn4:1>5<6sA9><6sa59194?7|@:?;7p`:8583>4}O;<:0qc;75;295~N4=91vb869:182M5282we95950;3xL6373td>454?:0yK70651zJ015=zf<2j6=4>{I164>{i=1h1<7?tH273?xh20j0;6b290:wE=:0:m1=`=83;pD>;?;|l6=5<728qC?8>4}o7:5?6=9rB89=5rn4;1>5<6sA9><6sa58194?7|@:?;7p`:9583>4}O;<:0qc;65;295~N4=91vb879:182M5282we94950;3xL6373td>554?:0yK70651zJ015=zf<3j6=4>{I164>{i=0h1<7?tH273?xh21j0;6l3:1=vF<519~j0?b290:wE=:0:m1<`=83;pD>;?;|l6e5<728qC?8>4}o7b5?6=9rB89=5rn4c1>5<6sA9><6sa5`194?7|@:?;7p`:a583>4}O;<:0qc;n5;295~N4=91vb8o9:182M5282we9l950;3xL6373td>m54?:0yK70651zJ015=zf{I164>{i=hi1<7?tH273?xh2im0;6;?;|l6f4<728qC?8>4}o7a6?6=9rB89=5rn4`0>5<6sA9><6sa5c694?7|@:?;7p`:b483>4}O;<:0qc;m6;295~N4=91vb8l8:182M5282we9o650;3xL6373td>n44?:0yK70651zJ015=zf{I164>{i=ki1<7?tH273?xh2jm0;6;?;|l6g4<728qC?8>4}o7`6?6=9rB89=5rn4a0>5<6sA9><6sa5b694?7|@:?;7p`:c483>4}O;<:0qc;l6;295~N4=91vb8m8:182M5282we9n650;3xL6373td>o44?:0yK70651zJ015=zf{I164>{i=ji1<7?tH273?xh2km0;6;?;|l6`4<728qC?8>4}o7g6?6=9rB89=5rn4f0>5<6sA9><6sa5e694?7|@:?;7p`:d483>4}O;<:0qc;k6;295~N4=91vb8j8:182M5282we9i650;3xL6373td>h44?:0yK70651zJ015=zf{I164>{i=l81<7?tH273?xh2m:0;63:1=vF<519~j0c0290:wE=:0:m1`>=83;pD>;?;|l6a<<728qC?8>4}o7ff?6=9rB89=5rn4gg>5<6sA9><6sa5dg94?7|@:?;7p`:eg83>4}O;<:0qc;i0;295~N4=91vb8h::182M5282we9k850;3xL6373td>j:4?:0yK70651zJ015=zf>3h6=4>{I164>{i?0l1<7?tH273?xh0i90;6;?;|l4e1<728qC?8>4}o5b1?6=9rB89=5rn6c4>5<6sA9><6sa7`:94?7|@:?;7p`8a`83>4}O;<:0qc9ne;295~N4=91vb:oi:182M5282we;o>50;3xL6373td7>51zJ015=zf>h86=4>{I164>{i?k>1<7?tH273?xh0j>0;6290:wE=:0:m3gg=83;pD>;?;|l4fg<728qC?8>4}o5ag?6=9rB89=5rn6`g>5<6sA9><6sa7cg94?7|@:?;7p`8bg83>4}O;<:0qc9l0;295~N4=91vb:m=:182M5282we;n=50;3xL6373td51zJ015=zf>i=6=4>{I164>{i?j=1<7?tH273?xh0k10;6;?;|l4gf<728qC?8>4}o5``?6=9rB89=5rn6af>5<6sA9><6sa7bd94?7|@:?;7p`8d183>4}O;<:0qc9k1;295~N4=91vb:j=:182M5282we;i=50;3xL6373td51zJ015=zf>n<6=4>{I164>{i?m21<7?tH273?xh0l00;6;?;|l4`a<728qC?8>4}o5ga?6=9rB89=5rn6fe>5<6sA9><6sa7d294?7|@:?;7p`8e083>4}O;<:0qc9j2;295~N4=91vb:k<:182M5282we;h:50;3xL6373td51zJ015=zf>o<6=4>{I164>{i?l21<7?tH273?xh0m00;6;?;|l4aa<728qC?8>4}o5fa?6=9rB89=5rn6ge>5<6sA9><6sa7g294?7|@:?;7p`8f083>4}O;<:0qc9i2;295~N4=91vb:h<:182M5282we;k:50;3xL6373td51zJ015=zf>l<6=4>{I164>{i?o21<7?tH273?xh0n00;6;?;|l4ba<728qC?8>4}o5eb?6=9rB89=5rn923>5<6sA9><6sa81394?7|@:?;7p`70583>4}O;<:0qc6?5;295~N4=91vb5m?:182M5282we4n?50;3xL6373td3o?4?:0yK70651zJ015=zf1i>6=4>{I164>{i0j=1<7?tH273?xh?k10;6d13:1=vF<519~j=ee290:wE=:0:m;?;|l;ga<728qC?8>4}o:`a?6=9rB89=5rn9ae>5<6sA9><6sa8e294?7|@:?;7p`7d083>4}O;<:0qc6k4;295~N4=91vb5j9:182M5282we4i950;3xL6373td3h54?:0yK70651zJ015=zf1ni6=4>{I164>{i0mi1<7?tH273?xh?ll0;6cn3:1=vF<519~j=c7290:wE=:0:m<`7=83;pD>;?;|l;a7<728qC?8>4}o:f7?6=9rB89=5rn9g7>5<6sA9><6sa8d794?7|@:?;7p`7e783>4}O;<:0qc6j7;295~N4=91vb5k7:182M5282we4h750;3xL6373td3il4?:0yK70651zJ015=zf1om6=4>{I164>{i0o:1<7?tH273?xh?n;0;6;?;|l`53<728qC?8>4}oa23?6=9rB89=5rnb3;>5<6sA9><6sac0;94?7|@:?;7p`l1`83>4}O;<:0qcm>b;295~N4=91vbn?l:182M5282weo=4?:0yK70651zJ015=zfj886=4={I164>{ik;>1<7?tH273?xhd:<0;63:1=vF<519~jf40290:wE=:0:mg7>=83;pD>;?;|l`6<<728qC?8>4}oa1e?6=:rB89=5rnb0a>5<6sA9><6sac3a94?7|@:?;7p`l2e83>7}O;<:0qcm=e;295~N4=91vbn>50;0xL6373tdh?<4?:0yK7067>51zJ015=zfj986=4={I164>{ik:>1<7?tH273?xhd;<0;63:1>vF<519~jf502909wE=:0:mg6>=838pD>;?;|l`7<<728qC?8>4}oa0e?6=9rB89=5rnb1a>5<6sA9><6sac2a94?7|@:?;7p`l3e83>4}O;<:0qcm50;3xL6373tdh8<4?:0yK7067>51zJ015=zfj>86=4>{I164>{ik=>1<7?tH273?xhd<<0;6?uG3428yke3>3:1>vF<519~jf202909wE=:0:mg1>=838pD>;?;|l`0<<728qC?8>4}oa7e?6=9rB89=5rnb6a>5<6sA9><6sac5a94?7|@:?;7p`l4e83>4}O;<:0qcm;e;295~N4=91vbn:i:182M5282weo8>50;3xL6373tdh9<4?:0yK706>7>51zJ015=zfj??6=4>{I164>{ik;?;|l`1d<728qC?8>4}oa6f?6=9rB89=5rnb7`>5<6sA9><6sac4f94?7|@:?;7p`l5d83>4}O;<:0qcm:f;295~N4=91vbn8?:182M5282weo;?50;3xL6373tdh:?4?:0yK70651zJ015=zfj{I164>{ik?<1<7?tH273?xhd>>0;6290:wE=:0:mg3g=83;pD>;?;|l`2g<728qC?8>4}oa5g?6=9rB89=5rnb4g>5<6sA9><6sac7g94?7|@:?;7p`l6g83>4}O;<:0qcm80;295~N4=91vbn9>:182M5282weo:<50;3xL6373tdh;>4?:0yK70651zJ015=zfj=>6=4>{I164>{ik><1<7?tH273?xhd?>0;6;?;|l`3a<728qC?8>4}oa4a?6=9rB89=5rnb5e>5<6sA9><6sac9294?7|@:?;7p`l8083>4}O;<:0qcm72;295~N4=91vbn6<:182M5282weo5:50;3xL6373tdh484?:0yK70651zJ015=zfj2<6=4>{I164>{ik121<7?tH273?xhd000;6e290:wE=:0:mg=e=83;pD>;?;|l`4}oa;a?6=9rB89=5rnb:e>5<6sA9><6sac8294?7|@:?;7p`l9083>4}O;<:0qcm62;295~N4=91vbn7<:182M5282weo4:50;3xL6373tdh584?:0yK70651zJ015=zfj3<6=4>{I164>{ik021<7?tH273?xhd100;6i3:1=vF<519~jf?e290:wE=:0:mg;?;|l`=a<728qC?8>4}oa:a?6=9rB89=5rnb;e>5<6sA9><6sac`094?7|@:?;7p`la283>4}O;<:0qcmn4;295~N4=91vbno::182M5282weol850;3xL6373tdhm:4?:0yK70651zJ015=zfjkj6=4>{I164>{ikhh1<7?tH273?xhdij0;6;?;|l`f1<728qC?8>4}oaa1?6=9rB89=5rnb`5>5<6sA9><6sacc:94?7|@:?;7p`lb883>4}O;<:0qcmma;295~N4=91vbnlm:182M5282weoom50;3xL6373tdhni4?:0yK70651zJ015=zfji;6=4>{I164>{ikj;1<7?tH273?xhdk;0;6;?;|l`g3<728qC?8>4}oa`3?6=9rB89=5rnba;>5<6sA9><6sacb;94?7|@:?;7p`lc`83>4}O;<:0qcmlb;295~N4=91vbnml:182M5282weonh50;3xL6373tdhh=4?:0yK70651zJ015=zfjn86=4>{I164>{ikm>1<7?tH273?xhdl<0;63:1=vF<519~jfb0290:wE=:0:mga>=83;pD>;?;|l``<<728qC?8>4}oage?6=9rB89=5rnbfa>5<6sA9><6sacea94?7|@:?;7p`lde83>4}O;<:0qcmke;295~N4=91vbnji:182M5282weoh>50;3xL6373tdhi<4?:0yK7067>51zJ015=zfjo86=4>{I164>{im991<7?tH273?xhb8<0;6?uG3428ykc7>3:1>vF<519~j`602909wE=:0:ma5>=838pD>;?;|lf4<<72;qC?8>4}og3e?6=:rB89=5rnd2a>5<5sA9><6sae1a94?4|@:?;7p`j0e83>7}O;<:0qck?e;296~N4=91vbh>i:181M5282wei<>50;0xL6373tdn=<4?:3yK7067>52zJ015=zfl;86=4>{I164>{im8>1<73:1>vF<519~j`702909wE=:0:ma4>=838pD>;?;|lf5<<72;qC?8>4}og2e?6=:rB89=5rnd3a>5<6sA9><6sae0a94?7|@:?;7p`j1e83>4}O;<:0qck>e;295~N4=91vbh?i:182M5282wei?>50;3xL6373tdn><4?:0yK7067>51zJ015=zfl886=4>{I164>{im;>1<7?tH273?xhb:<0;63:1=vF<519~j`4>290:wE=:0:ma7g=83;pD>;?;|lf6g<728qC?8>4}og1`?6=9rB89=5rnd0f>5<6sA9><6sae3d94?7|@:?;7p`j3183>4}O;<:0qck<1;295~N4=91vbh==:182M5282wei>=50;3xL6373tdn?94?:0yK70651zJ015=zfl9=6=4>{I164>{im:21<7?tH273?xhb;00;6;?;|lf7a<728qC?8>4}|~DEE|9;nj6l7=7`;02xFGJr:vLM^t}AB \ No newline at end of file diff --git a/cpld/XC95144/MXSE.ngd b/cpld/XC95144/MXSE.ngd new file mode 100644 index 0000000..45695c7 --- /dev/null +++ b/cpld/XC95144/MXSE.ngd @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$5c65=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4000857<4FNQWW>D:593;5=95=3;KMTPR=IVLXN1<<:0<6?D:687?0M1?>>49B8449=2K7=>0:;@>20;3285N<04=1>G;9>4>7L2>8?78E97>6=1J0<0:;@>14;115;36:1=F4?4?7L28>59B8=833H62295NLD26?DJB8D?0MAK?Sb9BH@6Xe|r;<=>k;@NF4Zkrp9:;<i5NLD2\ip~789:8h6OCE1]nq}6789>o7LBJ0^ov|5678<>0MAK>c:COA4YXg{:;<5NNE68EKB5<2KEH>:4AOF70>GIL?>0MCJ84:CM@=2GTzoUecy>?00f8EVtaWge<=>>189B[CUE48:556OPFR@?548>3HUM_O2>2?;8EZ@TJ5;8245N_GQA842912KTJ^L314<:?DYA[K6::374A^DPF970601JSK]M<0:==>GXNZH7=407;@]EWG:6601JSK]M<32==>GXNZH7><06;@]EWG:5:7h0MRH\B=00>48>3HUM_O2=3?:8EZ@TJ58546OPFR@?7;>GXNZH7;364A^DPF9>902KTJ^L39?f8EZ@TJV;;SDLZFe9B[CUEW8;TEO[Id:C\BVDX9;UBNXHk;@]EWGY6;VCIYKj4A^DPFZ73W@H^Ji5N_GQA[43XAK_Mh6OPFR@\53YNJ\Lo7LQISC]23ZOE]On0MRH\B^3;[LDRNm1JSK]M_0;\MGSAk2KTJ^LP1^KAQCbGXNZHT>?QFBTDg?DYA[KU9?RGMUGa8EZ@TJV8TEO[Ic:C\BVDX;VCIYKm4A^DPFZ2XAK_Mo6OPFR@\1ZOE]Oi0MRH\B^4\MGSAk2KTJ^LP7^KAQCeGXNZHT5RGMUG68FDES=2HJOY?:;CC@P73?01d8F@TU8{UTc>?013e?GCUZ9xTSb|?01211>DBZ[;=7OK]R0pf?GCUZ8xTSb|?012e?GCUZ8xTSb|?0122b>DBZ[;ySRa}012360=EM[X996LJRS16?GCUZ=?0NH\]589AAWTXAFHXh6LJRS]\ekb789:n7OK]R^]bja6789;h7OK]R^]lv5678m1II_\P_np34566l2HN^_QPos23454c3KOY^RQ`r12346bDBZ[UTc>?0145?GCUZ{l27OK]Rsd\J5g;Eg8@WEX[\IHI^]IUM58@QGDC{l<7IZNCJpqb>BSIJAy~R``t12354669Geqg;97;0I85JS=2=1>CT484>7H]32?78AV:46<1N_1:14:GP[5b_^cm`56788o0I^Q>_^cm`5678;>0I^Q=d:GP[7YXign;<=>j;DQ\6ZYffm:;<=?j;DQ\6ZYffm:;<=<;;DQ\7a=B[V9TSl`k0123a>CTW:UTmcj?0122a>CTW:UTmcj?01210>CTW=n0I^Q;_^cm`5678l1N_R:P_`lg45679l1N_R:P_`lg4567:8l0I^QBaef\[dbc89:;S@v`r^Pfwpjs484:j6K\_Lcg`ZYflm:;<=QBxnp\V`urd}692?_LzlvZTb{|f0>0>f:GP[HgclVUjhi>?01]N|jtXZly~`y2;>0;8AVYJimnTSljk0123[Zgil9:;<?_^cm`56788;27H]PM`fg[Zgcl9:;?0032=>CTWDkohRQnde2345YXign;<=<>a:GP[HgclVUjhi>?01]\ekb7898:h6K\_P]2[jt789:n7H]PQ^3\kw6789;m7H]PQ^3\kw6789;;j6K\_P]2[jt789::=k5JS^S\5Ziu89:;=?k4ER]R[4Yhz9:;k4ER]R[4Yhz9:;<9k4ER]R[4Yhz9:;<8k4ER]R[4Yhz9:;<;k4ER]R[4Yhz9:;<:k4ER]R[4Yhz9:;<5k4ER]R[4Yhz9:;<4k4ER]\eab789:7=3k4ER]\eab789:7>3k4ER]\eab789:7?3k4ER]\eab789:783;4EUC@Oa=B\HI@Sl`k0123a>CSIJATmcj?0122a>CSIJATmcj?0121a>CSIJATmcj?0120a>CSIJATmcj?0127a>CSIJATmcj?0126a>CSIJATmcj?0125<>C_XHDOII?>;DZSEKBBLVHHHRHFLD37?@^WIGNNHRM@NRVQELHS[8;0IU^NNEGG[LUBWOCGI85J_HMAe>CXAFHTEO[I2:Gq7>Cu:k1N~RMck^KMR43?5F339J07=N=;1B:?5F759JEFU23@KH_<;4I@AP60=NIJY886GMUG08MK>b3@EJOYQnne2345?c3@EJOYQbuy2345c4IN@FVWYj}q:;<=?=119JKGCUZVg~t=>?00124>OHJLXYS`{w012351773@EII_\Pmtz34566=8:0EBLJRS]nq}6789;===5FOCGQVZkrp9:;<<9>0:KLF@TUWds<=>?19d8MJDBZ[Ufyu>?010e?LIEM[XTaxv?0120b>OHJLXYS`{w01230c=NGKOY^Rczx12340`0EBLB4:KLFV0OHKZ;=7DALS025?LID[8;=7DALS005?LID[89=7DALS065?LID[8?=7DALS046?LID[;?0EBM\349JKFU3=2CDO^;:;HM@W33OHKZ3?7DAC0b9JKI6Xe|r;<=>k;HMO4Zkrp9:;<i5FOM2\ip~789:8h6G@L1]nq}6789>o7DAC0^ov|5678<>0EBB>c:KLH4Yig}:;<OHX^IX;6G@PVAP52=NGY]H_?94INRTGV503@E[[N];5:KLV@Wc3@EYI\Qbuy2345cb3@EYI\Qbuy2345?13@EYI\|:;HMQS5bOHZ^:Tbbz?0132a>OHZ^:Tbbz?0131a>OHZ^:Tbbz?0130a>OHZ^:Tbbz?0137a>OHZ^:Tbbz?0136a>OHZ^:Tbbz?0135a>OHZ^:Tbbz?01341>OHZ^;o7DA]W0]bja6789o0EB\X1^cm`56788o0EB\X1^cm`5678;o0EB\X1^cm`5678:o0EB\X1^cm`5678=o0EB\X1^cm`5678OHZlkouRQnne2345763@EYiljv_^cm`56788;:7DA]e`fz[Zgil9:;4INQ\BVKXNOn:!D`>119JKVYA[DUMJi?"Io024>OH[VLXARHId0/Jj6773@EXSK]B_GDg5(Oi<8:0EB]PFRO\BCb6%@d>o6G@S^DPIZ@Al;l0EB]PFRO\BCb5%@d:<6G@S^DPIZ@Al;'Bb Ga2028MJUXNZGTJKj=-Hl055=NGZUM_@QIFe0.Mk2682CD_RH\M^DE`7+Nf??;HMP[CUJWOLo? Ga4028MJUXNZGTJKj<-Hl655=NGZUM_@QIFe1.Mk0682CD_RH\M^DE`6+Nf>;;7DA\_GQN[C@c;$Ce4<>4INQ\BVKXNOn8!D`6c:KLWZ@TEVLMh9h4INQ\BVKXNOn?!D`>0:KLWZ@TEVLMh9#Fn032?LITWOYFSKHk4,Km55763@EXSK]B_GDg0(Oi98;;7DA\_GQN[C@c<$Ce><>4INQ\BVKXNOn?!D`<119JKVYA[DUMJi:"Io624>OH[VLXARHId5/Jj0773@EXSK]B_GDg0(Oi>8:0EB]PFRO\BCb3%@d<==5FOR]EWHYANm>&Ec6>0:KLWZ@TEVLMh9#Fn848MJR^XL>0EB[?c:KLQ5Yj}q:;<=j4INW3[hs89:;=i5FOT2\ip~789:9h6G@U1]nq}67899o7DAZ0^ov|5678=n0EB[?_lw{4567==1BCX?l;HMV5Zhh|9:;=i5FOT3\jjr789;:96G@UEQ7?LIPMl1@IH^PHHGQEWEOQ>1GEO\NRX48HJGCMM90@BM8;MMDMFGKi2F[ARV@R3]21>Jhim;n7Aand0]\ekb789:?7@V\Eb9Neoiu^lxxeb`l;LkmkwPbzzcdb?5A039M52=IM]]D^F?4O59LFP@43FDN>6A]3:MQ66=HZ:90C_:<;NWW0>Iikli0Ccmj_^mq4567l2EeohQPos23457c3FdhiRQ`r12347bIiklUTc>?017g?JhdmVUd~=>?07f8KkebWVey<=>?7e9LjfcXWfx;<=>7d:Mmg`YXg{:;<=7>;Qa8T+479:;;=>QC3:R246=W9890\<<<;Q307>V6<:1[=8=4P040?U70;2Z:4>5_1808T75V50:1[>4<4P218T6643Y99?6^<329S7155_4718T0643Y?9?6^:329S115V1;:1[:9=4P770?U01:2Z=87]973:R4=7=W0:1[4==4P930?U>5;2Z39>5_8718T=143Y22>6^63:R:46=W1890\4<<;Q;07>V><:1[58=4P840?U?0;2ZJH95_ASVb?UOIWK_XEIVm;QKM[GSTFHGN?6^]Ec9SWZ@TEVLMhh5_S^DPIZ@Al;'Bbk5_S^DPIZ@Al;'Bb0028TVYA[DUMJi<"Io3255=W[VLXARHId3/Jj44682ZXSK]B_GDg6(Oi9:l0\^QISL]EBa4*Ag8m7]]PFRO\BCb5%@d8j6^\_GQN[C@c:$Ce8k5_S^DPIZ@Al;'Bb8h4PR]EWHYANm8&Ec8i;QQ\BVKXNOn9!D`8f:RP[CUJWOLo> Ga8g9SWZ@TEVLMh?#Fn8`8TVYA[DUDyy>l;QQ\BVKXG|~;=n5_S^DPIZIr|98o7]]Pclr\at6789;0]85]A=2=2>TF48:546\N<0394;02:0=UI58596\N<2<6?WG;<7?0^L2:>49QE909=2XJ0:0:;SC?<;35]AL78VDKD[?1YM@M\179QEHET:?1YM@M\379QEHET?1YM@M\779QEHET0?1YM@JFS69QEHBN[8l0^LCKIR3\ip~789::<6\NMEKP5Zkrp9:;<;SCN@LU6Wds<=>?1132?WGJL@Y:S`{w012354763[KFHD]>_lw{45679;;:7_OBDHQ2[hs89:;=>?>;SCN@LU6Wds<=>?1532?WGJL@Y:S`{w012350763[KFHD]>_lw{45679?;:7_OBDHQ2[hs89:;=:?>;SCN@LU6Wds<=>?1932?WGJL@Y:S`{w01235<773[KFHD]>_lw{4567:8:0^LCKIR3\ip~789:8==5]ALFJW4Yj}q:;<=:>0:PBIAOT9Vg~t=>?0433?WGJL@Y:S`{w0123246>0:PBIAOT:VUd~=>?0333?WGJL@Y9SRa}0123746?7028VDKCAZ8TSb|?012;55=UIDNB_?QPos2345?03[KFHD]<7:PBIAOT<>1YM@JFS4:8VDKUmhnr==5]ALPfeaXe|r;<=>>1:PBIWcflpUfyu>?01326>TFE[ojhtQbuy2345779;1YM@\jae{\ip~789::=<<4R@OQadb~Wds<=>?1331?WGJZlkouRczx1234456:2XJA_kndx]nq}6789;?=?5]ALPfeaXe|r;<=>>5008VDKUmhnrS`{w012353763[KF^hoky^ov|5678;;:7_OBRdcg}Zkrp9:;<>?>;SCNV`gcqVg~t=>?0532?WGJZlkouRczx12340763[KF^hoky^ov|5678?;:7_OBRdcg}Zkrp9:;<:?>;SCNV`gcqVg~t=>?0932?WGJZlkouRczx1234<3>4g9QEVCKWds<=>?14d8VDUBDVg~t=>?004e?WGTMEUfyu>?0134b>TF[LFTaxv?0122m7_O\EM]nq}67898>j6\NSDN\ip~789:9:h5]ARGO[hs89:;?h5]ARGO[hs89:;8h5]ARGO[hs89:;9h5]ARGO[hs89:;:h5]ARGO[hs89:;;h5]ARGO[hs89:;4h5]ARGO[hs89:;545]A^2\KGSAk2XJS=Qbuy2345bTFW9Ufyu>?011g?WGX8Vg~t=>?05c8VDY68VEIYKo4R@]25ZIE]O30^LQ>_N@VBf=UIV;Taxv?012g?WGX9Vg~t=>?00f8VDY6Wds<=>?2e9QEZ7Xe|r;<=>Rczx12347bTFW:UDNXHl;SC\7Zkrp9:;TFW=Ufyu>?01f8VDY3Wds<=>?1e9QEZ2Xe|r;<=>=d:PB[1Yj}q:;<==k;SC\0Zkrp9:;<974R@]6[JDRNj1YMR;Pmtz3456c3[KT9Rczx12344bS`{w01236a=UIV?Taxv?0120`>TFW?016:?WGX>VEIYKm4R@]5[hs89:;h6\N_7]nq}6789;o7_OP6^ov|5678;n0^LQ9_lw{4567;m1YMR8Pmtz3456312XJS:Q@BTD`?WGX?Vg~t=>?0e9QEZ1Xe|r;<=>>d:PB[2Yj}q:;<=j4R@]4[hs89:;845]A^:\KGSAk2XJS5Qbuy2345bTFW1Ufyu>?011g?WGX0Vg~t=>?05;8VDY>WFH^Jn5]A^;\ip~789:o7_OP9^ov|56788n0^LQ6_lw{4567:m1YMR7Pmtz34564l2XJS4Qbuy2345243[OX86\JS078V@Uun<1YI^|}d:PFWwtXff~;<=?j;SGPvwYig}:;<84RNO@W10e:PP[CUJWOLo= Gaf:PP[CUJWOLo= Ga1028VVYA[DUMJi?"Io3355=U[VLXARHId0/Jj47682XXSK]B_GDg5(Oi9;;;7_]PFRO\BCb6%@d:?<>4RR]EWHYANm;&Ec?;f:PP[CUJWOLo= Ga2g9QWZ@TEVLMh<#Fn2d8VVYA[DUMJi?"Io6e?WUXNZGTJKj>-Hl6b>TTWOYFSKHk1,Km2c=U[VLXARHId0/Jj2`a3[YTJ^CPFGf2)Lh>j2XXSK]B_GDg6`=U[VLXARHId3/Jjc=U[VLXARHId3/Jj4773[YTJ^CPFGf1)Lh688:0^^QISL]EBa4*Ag;:==5]S^DPIZ@Al;'Bb<<>0:PP[CUJWOLo> Ga1233?WUXNZGTJKj=-Hl20468028VVYA[DUMJi<"Io3:b>TTWOYFSKHk2,Km6c=U[VLXARHId3/Jj6`o1Y_RH\M^DE`7+Nf>l0^^QISL]EBa4*Ag2m7_]PFRO\BCb5%@d2n6\\_GQN[C@c;l1Y_RH\M^DE`6+Nfo1Y_RH\M^DE`6+Nf8;;7_]PFRO\BCb4%@d:#Fn7d8VVYA[DUMJi="Io5e?WUXNZGTJKj<-Hl;b>TTWOYFSKHk3,Km=g=U[VLXARAzt9a8VVYA[DUDyy6>c:PP[CUJWF4?;4Rdcg}3=Umhnr<:5]e`fz4w`;5]e`fz52=Umhnr=h4Rdcg}4tXWfx;<=>>0:Pfea6zVUd~=>?0033?Wcflp;ySRa}012363=Umhnr>:5]e`fz6w`;5]e`fz73=Umhnr8l5]e`fz[LIE[01Yiljv_SCNg>TbimsTc>?00f8V`gcqVey<=>>1e9Qadb~Wfx;<=?=d:PfeaXg{:;<<=9;SgeEfm>3[omOcz30?;8V``Df}6:245]egAmp94912XnjN`{<2<:?WcaKg~78374Rdd@jq:2601YikMat=4==>TbnJd0:07;SgeGkrX811YikMat^3;?WcaKg~T>55]egAmpZ5?3[omOczP499QacEi|V?37_kiCov\2==UmoIexR9=3:PfbFhsWDkohRQnde2345YJpfxT^h}zlu>2:75?01]N|jtXZly~`y2<>318V``Df}UFmijP_`fg4567WDrd~R\jstnw8185;2XnjN`{_Lcg`ZYflm:;<=QBxnp\V`urd}6>2?=4Rdd@jqYJimnTSljk0123[H~hzVXnxb{<7<17>TbnJdS@okd^]b`a6789UFtb|PRdqvhq:06;<0^hhLnu]NeabXWhno<=>?_SgpqirX8Vddx=>?003g?WcaKg~TAljk_^cg`5678VUjbi>?013f?WcaKg~TAljk_^cg`5678VUjbi>?0132`>TbnJdS@okd^]b`a6789UTmcj?0132a>TbnJdS@okd^]b`a6789UTmcj?01325a=UmoIexRCnde]\eab789:TSl`k01215`=UmoIexRCnde]\eab789:TSl`k012154b31?31?WcaKg~TSljk01238786:2XnjN`{_^cg`5678595=?5]egAmpZYflm:;<=2;>008V``Df}UTmij?012?1;753[omOczP_`fg45674?4:>6\jfBlw[Zgcl9:;<1917:PfbAiim8:0^hhKoog\[dhc89:;=<5]egFlj`YXign;<=>>f:PfbAiimVUd~=>?0028V``CggoTSb|?012257=UmoNdbhQlmq]fu5678890^hhKoog\ghvXmx:;<=?>3:PfbAiimVif|Rk~0123645?4018V``CggoTo`~Pep234536;2XnjIaae^antZcv89:;:<=4RddGkkcXkdzTi|>?01527>TbnMeeiRmbp^gr45670890^hhKoog\ghvXmx:;<=79;SgeVDU13[om^h8;SgeV`w612XnjX|heovb?Wca]{mnby?n;SgeQwabf}8j7_kiUsefjq5f3[omYijnu65?Wct}e~=7^M\IBQ4?VETAJY:;6]LSHAP62=TKZCH_>94SBQJGV203ZIXEN]:3:QFPd=TfmXJAN]XRc9PjaTFEJY\^n6]adSCNGVQU>k1Xbi\NMBQTV2d<[gnYM@M\WS:a?VhcZHGH_Z\64:VQQ@2<\PZN46ZfmdmvpD773]cfib{{A^]lv56788;0XdcjotvB[Ziu89:;=<<4ThofkprFWVey<=>?1132?QojmfMRQ`r12347763]cfib{{A^]lv5678:;:7YgbenwwEZYhz9:;<9?>;UknajssIVUd~=>?0432?QojmfMRQ`r12343763]cfib{{A^]lv5678>;:7YgbenwwEZYhz9:;<5?>;UknajssIVUd~=>?08:8Plkbg|~In6ZfmdmvpGVum890XdcjotvATwcXWfx;<=>>4:Vji`ir|KZyiRQ`r12344733]cfib{{BQpf[Ziu89:;><>4ThofkprEWVey<=>?109Wmhch}}HTSb|?012254=SadodyyLP_np34565981_e`k`uu@\[jt789:8m6[\ES]BHLGTk2_XI_QYIRKAH@5<^JI27[GJW^VZT@5<^YKh7[^N_^cm`5678m1]\LQPaof34566j2\[MRQ`r1234f=QXHUTc>?013`?SVFWVey<=>?2b9UTDYXg{:;<==l;WRB[Ziu89:;8n5YP@]\kw6789?h7[^N_^mq4567><1]\L|i5:TSEwtc3_ZJ~Qaou2344c<^YKy~R``t12354b<^`nYM@M\WS47o`=QamXJAN]XR76h5`=QamXJAN]XR76h6`=QamXJAN]XR76h7`=QamXJAN]XR76h0`=QamXJAN]XR76h1`=QamXJAN]XR76h2`=QamXJAN]XR76h3`=QamXJAN]XR76h<4b0TB\=6:ZPPIOE?2RXXRIAD69[WQYQKJ?0TRAAE79[[_CUG?1ShoQFdc9[`mYWz`gBb{m4Xej\Twoj\pznj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl5?]i;87;87U}{4:XFVJ>?9:]bja6789;27Road12347>?3:amp7=d{:1mol4fr`\jjr789:h7k}m_omw45679m1moQaou234576l2lxnR``t12344433`eia95focq:?kGCg|~GI=o4n@FlqqJB88h0bLJ`uuNF447e3gKOcxzCE131f>hFLf@H>>3d9mEAir|EO;SBLZF89mEAir|EO:m6`NDnwwH@76m2dJHb{{LD3\KGSA;2dJ_:5aAR]EWGehF[VCDNn5aAR]JKGYHJ\Li7cO\_omw4566k2dJ_R``t12354ehF[Vddx=>?12a8jDUXff~;<=?;c:lBWZhh|9:;=894n@mvpJCd3gKdyyAJ_N@VB0=iJLXY:6`MESP2=>hEM[XTJ^Lj;o@FVWYA[KUDNXH6;o@FVWYNGKo0bOK]R^KLFZOE]O>0bNO\d:l@EVYDdbUBB[?8;oABWZH712dHM^Q@BTD`?kEF[Vddx=>?1e9mGDUXff~;<=?>6:lGPDELi2dOXLMD_GQAb>hC\HI@SK]M_N@VBd=iL]KHGRG@Bg9m@QGDCVCDNRGMUGg8jARFKBUTc>?01d8jARFKBUTc>?013e?kBSIJATSb|?01212>hCagFNn6`KioNF[JDRNm1eHd`CE^mq4567m2dOecBJ_np34566m2dOecBJ_np34565m2dOecBJ_np34564m2dOecBJ_np34563>2dOecAJ7:lGmkIB9>1eHd`@E358jAoiGL9i7cJfnNG\KGSA?2dOcxz@Eb9m@jssGLUDNXHi;oFlqqIBWge<=>>119m@jssGLUecy>?00324>hCg|~DIR``t12357773gNdyyAJ_omw4566;8:0bIaztNG\jjr789;?==5aDnwwK@Yig}:;<<;>0:lGkprHMVddx=>?1768jIBT02dGH^QISCf8jIBTWOYISDLZF99mHAUXAFHo7cBKS^KLFZIE]Oi0bAJ\_`lg4566l2dGH^Qnne23447c3gFO_Road12357ehKLZUecy>?003a?kJC[Vey<=>>c:lO@VYhz9:;=hKLZUd~=>?17a8jIBTWfx;<=?8c:lO@VYhz9:;=5m4nMFP[jt789;286`CWD78jIQB9j1e@ZKPaof3456c3gF\IRoad12344bhK_LUjbi>?0160?kIB<2dDI<:4nNG1<>hHMVEIYK74nNtfvig~8890bBxjrmcz4ZGdd{UBB[?l;oMuawjfq9UE<?0008jJpbzekr6`@vdpoe|6Xign;<=><139mKscudhs;Sl`k01230448;oPBIIQBk2dYM@BXE^MAQC13gXN_RGMUG48jWIJKZ=0b_ABCR3a?kTHEJYTCO[I6:lQKHQB?2dYC@YJ1c9mVJKPMVEIYK:4nTFP<>hRLZUM_Oj4nTFP[CUEW@H^J55aUEQ\MJDc3g_O_RG@B^MAQCehRLZUjbi>?013g?kSC[Vkeh=>?03a8jPBTWge<=>>d:lV@VYig}:;<hQEHUTmcj?0122g>hQEHUTc>?01f8jSKFWVey<=>?1e9mRHGXWfx;<=>=d:lUIDYXg{:;<==k;oTNEZYhz9:;<9j4nWOB[Ziu89:;9i5aVLC\[jt789:=h6`YM@]\kw6789=o7cXBA^]lv56781n0b[CN_^mq45671j1eZ@OPaof3456c3g\FMRoad12344bhQEHUjbi>?016g?kPJIVkeh=>?04f8jSKFWhdo<=>?6e9mRHGXign;<=>84:lUTD3hQXHUM_Oj4nWRB[CUEWFH^J55aVQC\MJDc3g\[MRG@B^KAQC5hPMVLXNRGMUG:8jbbe}`fo?6|nm99{g<378qf:=6vl94370}jsy8:;!<>7;ykomk~rf820ta`w_`wwkZ6Xpmh$o~z!xcagyEFw9k8:7MNw1b49B?2=9rYj<7=ke;3f4?74<139j7mnbgym7ad=92d8hn49;%1g3?5dn2wX5k4k4lab38W4e72=3m6>4>35::6c84;a39'b4<73-om69o;;%d3>4743k;h:7>5428:0?g2sA9i96*<9d82g3=]1909w:4n:|&2f`<41m1b5o4?::m755<722c8j:4?::m7==<722c?:h4?::m72d<722e?9h4?::k742<722e?9l4?::m74<<722c?:84?::k757<722e8j44?::m744<722e?9n4?::k7=d<722e?;=4?::m70<<722e8ii4?::m0b6<722c?>i4?::k:3?6=3`326=44o544>5<1<7*=268106=i:;<1<65`25094?"5:>098>5a23495>=h:=n1<7*=268106=i:;<1>65`25a94?"5:>098>5a23497>=h:=h1<7*=268106=i:;<1865`25c94?"5:>098>5a23491>=h:=31<7*=268106=i:;<1:65`25:94?"5:>098>5a23493>=h:==1<7*=268106=i:;<1465`25494?"5:>098>5a2349=>=h:=?1<7*=268106=i:;<1m65`25394?"5:>098>5a2349f>=n3:07d:6:18'671=?851:9j0=<72-89;7:n;o012?4<3`><6=4+23590d=i:;<1?65f4483>!45?3>j7c<=6;68?l23290/>?954`9m670==21b8>4?:%013?2f3g89:784;h61>5<#:;=18l5a23493>=n<80;6)<=7;6b?k45>3207d:?:18'671=?859:9j7c<72-89;7:n;o012?g<3`9n6=4+23590d=i:;<1n65f3e83>!45?3>j7c<=6;a8?l5d290/>?954`9m670=l21b9>4?:%013?2f3g89:7k4;h71>5<#:;=18l5a2349b>=n=80;6)<=7;6b?k45>3;;76g:0;29 7402=k0b?<9:038?l2a290/>?954`9m670=9;10e9k50;&162<3i2d9>;4>3:9j0a<72-89;7:n;o012?7332c?o7>5$304>1g5<#:;=18l5a234953=6=4>b;6a>0`|@:h>7)=6e;c2?_?728q36p*>bd80=a=n1?0;66a>5b83>>i4000;66a<9183>>o1j3:17d:95;29?j7383:17d:6c;29?j43n3:17b?850:9j5<<72-89;7?n;o012?7<3`;36=4+23595d=i:;<1>65f1783>!45?3;j7c<=6;18?l73290/>?951`9m670=<21b=>4?:%013?7f3g89:7;4;h31>5<#:;=1=l5a23492>=n980;6)<=7;3b?k45>3=07d??:18'671=9h1e>?858:9jb?6=,;8<6<=3k07dj50;&162<6i2d9>;4m;:k`>5<#:;=1=l5a2349g>=nj3:1(?<8:0c8j7412m10e?=50;&162<6i2d9>;4j;:k16?6=,;8<6c=:183!5>m3;;<6Fji;%3ab?2f;2emj7>5$2f:>4b432wi?i:50;394?6|,:3n6>l9;I1g6>N4j<1/?i751bg8 4da2=k87b?kf;29 6b>28n876sm45f94?3=:37j:gc8L6b53A9i96*>1085?!7en3>j?6g65;29?l?c2900e4?50;9je0<722e98=4?::`105<72?0;6=u+3e;9bf=n99l1<7*=n1?0;6)=k9;;5?>o40o0;6)=k9;1;b>=n:o=1<7*5}#;m31?ih4o0f0>5<#;m31=i=4;|q105<72;qU>9>4=363>4b43tyj97>52z\b1>;5<90:=3:1>vP65:?105<>=2wx5<4?:3y]=4=::=:15;5rs023>5<5s48?<7=7f:?0`3<6l:1vqo::7;291?4=>r.85h4ia:J0`7=O;k?0(:79'5g`=5;hc6>5<;6=44b363>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n=6=4>:183!5c139oj6a>d283>!5c13;o?65rs363>5<5sW8?<63=4182`6=z{h?1<7l2798=4=f69~w<3=838pR4;4=363><30183>7}::=:1?5h4=2f5>4b43twi89k50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f13?29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo??b;29=?6=8r.85h4<6:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65f11694?"4l00:5<#;m31==h4;h332?6=,:n26<>i;:k242<72-9o57??f:9j55>=83.8h44>0g98m46>290/?i7511d8?j7dk3:1(>j6:0f0?>{e99i1<7750;2x 6?b2:<0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>46a32c:<84?:%1g=?77n21b==850;&0`<<68o10e<>8:18'7a?=99l07d??8;29 6b>28:m76g>0883>!5c13;;j65`1ba94?"4l00:h>54}c33`?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg5?i3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`00g98k4ed290/?i751e18L6b?32wi?5j50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm39g94?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4753A9o>6Fj6:0f0?M5c021vn<;j:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6183>1<729q/?4k5339K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e<<81<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb570>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==>87>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`710<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd3=?0;694?:1y'7o68;0;6)=k9;33b>=n9991<7*5$2f:>4b432wi>8>50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f73629086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj;?96=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c067?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th9994?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`113<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;?<6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?h?:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e:o91<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb3d7>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd5n<0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1??5G3e08L6d23-9o57=?;%3ab?2f;2c:;:18'7a?=99l07b?lc;29 6b>28n876sm3b594?3=83:p(>7j:218L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;h330?6=,:n26<>i;:k240<72-9o57??f:9l5fe=83.8h44>d298yg5d03:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*53;294~"41l08h<5G3e08L6d23-9o57=k0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm3bc94?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj:ii6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c1`g?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg5dl3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*54;294~"41l08>6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21b==:50;&0`<<68o10c6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`0=0<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj:3=6=4::183!5>m3987E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32c:<94?:%1g=?77n21b==;50;&0`<<68o10c7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e;021<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb2;:>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`0=g<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd41j0;684?:1y'7o68;0;6)=k9;33b>=n9991<7*5$2f:>46a32e:on4?:%1g=?7c;21vn>7=:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb2;0>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd41=0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a51?=83?1<7>t$2;f>65<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?l77<3:1(>j6:02e?>o68<0;6)=k9;33b>=h9ji1<7*53;294~"41l0:h=5G3e08L6d23-9o57?lf:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm15`94?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj8>h6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<:k:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*4g83>6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`215<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd6<;0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*4?:283>5}#;0o1=i>4H2f1?M5e=2.8h44>cg9'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb067>5<3290;w)=6e;11?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:k241<72-9o57??f:9l5fe=83.8h44>d298yg73=3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*53;294~"41l0:h=5G3e08L6d23-9o57?lf:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm15594?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26=8391<7>t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*55d=99>01>79:021?85>?3;;=6s|c;290~Xd34;;n7??5:?0=3<68:16?4651138yvb=83>pRi5211`9550<5:3=6<>;;<1:=?7792wxi7>54z\f?877j3;;;63<908246=:9=k1==<4}rd94?3|Vo16==l511:896?128:>70=6a;335>;65rs0294?5|V8:01<>m:02:?85>93;;>6s|1083>1}Y9816==m5110896e028:870?;9;336>{t9;0;69uQ139>55e=99901>m8:021?87313;;?6s|1283>1}Y9:16==m5116896e028:?70?;9;330>{t9=0;69uQ159>55e=99?01>m8:026?87313;;96s|1783>2}Y9?16==m5114896>f28:970::2;335>;5n80:<<523b:9554<58><6<>=;|q20b8242=:;1h1==?4=570>466348>=7??3:?1b7<68816?n651118942628::70?;c;337>{t900;6nuQ189>55e=99201>6n:020?872l3;;=63;508246=::<:1==?4=372>465348m87??2:?0g<<68:16=9j51138942a28:970?:0;336>{t9k0;64uQ1c9>55e=99301>6l:022?872n3;;=63;558244=::<91==?4=3d0>466349hm7??1:?20=<68;1v?<50;1xZ74<58:i6<>=;<1:1?77:2wx>>4?:2y]66=:99h1===4=2;6>4643ty=n7>53z\5f>;3=10:<<5244395540c83>7}:99h1=nm4=02g>4653ty:55e=9ji01<>k:020?xu6<90;6?uQ1528942?28ih7p}>4083>7}:9=;1=nm4=06e>4643ty:8?4?:3y>514=9ji01<:<:020?xu6<:0;6?u215195fe<58>?6<>=;|q201<72;q6=9:51ba8942228:87p}>4483>7}:9=?1=nm4=065>4643ty:8;4?:3y>510=9ji01<:8:020?xu6<>0;6?u215595fe<58>36<><;|q20<<72;q6=9751ba8942228:97p}>4`83>7}:9=k1=nm4=067>4643ty:8o4?:3y>51d=9ji01<;?:020?xu696<>=;|q20a<72;q6=9j51ba8942528:87p}>4d83>7}:9=o1=nm4=067>4633ty:8k4?:3y>51`=9ji01<:9:021?xu6=90;6?u214295fe<58>86<>=;|q21f<72;qU=8m4=043>4ed3ty:9i4?:3y>50b=9ji01<8?:020?xu6=l0;6?u214g95fe<58<;6<>=;|q21c<72;q6=8h51ba8940728:?7p}>c883>7}:;m91jk524459=a=z{8ij6=4={<1g0?7cn27?8i4n5:p61`=838pR?:i;<063?7dk2wx>8>50;0x973728ih70<:4;337>{t:<;1<74ed348>97??2:p604=838p1?;=:0a`?842=3;;?6s|24194?4|5;?868:50;0x973328ih70<:6;336>{t:4ed348>:7??3:p600=838p1?;9:0a`?842?3;;>6s|2dd94?4|V;om70{t:o:1<74ed348m87??3:p6c7=838p1?h>:0a`?84a>3;;>6s|2g094?4|5;l96k=50;0x97`428ih70{t:o>1<74ed348m:7??3:p6c3=838p1?h::0a`?84a>3;;86s|39;94?4|V:2270=7e;3`g>{t;1k1<74ed3493i7??2:p7=d=838p1>6m:0a`?85?l3;;>6s|39a94?4|5:2h6c28ih70=7e;337>{t;0:1<7<3;ho6s|38394?4|5:3:6{t;091<74ed349287??3:p7<3=838p1>7::0a`?85>j3;;?6s|38494?4|5:3=6{t;021<74ed3492o7??3:p776:0a`?85>k3;;86s|38c94?4|5:3j6{t;0i1<74ed3492?7??3:p7f0=838p1>mj:0a`?85>j3;;>6s|3b594?4|5:i<6{t;j31<74ed349ho7??2:p7fg=838p1>mn:0a`?85dk3;;?6s|3b`94?4|5:ii6{t;jn1<74ed349hi7??2:p01b=838p19:k:363?822?3k>7p};4g83>7}:<=n15i5245g95fe><7>52z?70a<>=27?9;4>cb9~w1362909w0::1;3`g>;3=?0:<>5rs571>5<5s4>>>7?lc:?710<68;1v9;<:181822;3;ho63;548246=z{=??6=4={<660?7dk27?9;4>059~w1322909w0::5;3`g>;3=?0:5<0s4>>;7<;0:?117<68816>8:5110897`728::70=l9;336>;6>57>52z?712<>=27?954>cb9~w1022909wS:95:?70`<6881v97l:187[2>k27:9h4>009>7fd=99;01<:j:022?x{e7j:36f?_?72;q<644r$0`f>6?c3`3=6=44i2:0>5<?6=44o554>5<5<5<098>5a23494>=h:=81<7*=268106=i:;<1=65`25f94?"5:>098>5a23496>=h:=i1<7*=268106=i:;<1?65`25`94?"5:>098>5a23490>=h:=k1<7*=268106=i:;<1965`25;94?"5:>098>5a23492>=h:=21<7*=268106=i:;<1;65`25594?"5:>098>5a2349<>=h:=<1<7*=268106=i:;<1565`25794?"5:>098>5a2349e>=h:=;1<7*=268106=i:;<1n65f1983>!45?3;<7c<=6;28?l71290/>?95169m670=921b=94?:%013?703g89:7<4;h30>5<#:;=1=:5a23497>=n9;0;6)<=7;34?k45>3>07d?>:18'671=9>1e>?855:9j55<72-89;7?8;o012?0<3`l1<7*=26823>h5:?0<76gj:18'671=9>1e>?858:9j`?6=,;8<6<94n305><=3k07dl50;&162<6?2d9>;4m;:k17?6=,;8<6<94n305>f=h5:?0o76g=1;29 74028=0b?<9:d98m76=83.9>:4>7:l1635$304>414;h3f>5<#:;=1=:5a234954=h5:?0:>65f1b83>!45?3;<7c<=6;30?>o6=3:1(?<8:058j74128>07o=k3;295?6=8r.85h4>019K7a4<@:h>7)=k9;1gb>"6jo0?m>5`fg83>!5c13;o?65rb34f>5<22;0=w)=6e;db?M5c:2B8n85+10392>"6jo0?m>5f9483>>o>l3:17d7>:188md3=831d>9>50;9a616=83<1<7>t$2f:>ce6=4+3e;9=0==n;1l1<7*5$2f:>4b432wi?i850;394?6|,:n26>ji;n3g7?6=,:n265<5sW3o70<;0;0e3>{t1<0;6?uQ949>616=1<1v4?50;0xZ<7<5;>;6484}r334?6=:r798=4<8g9>7a0=9m90qpl>d583>0<52?q/?4k5f`9K7a4<@:h>7)?>1;48 4da2=k87d7::188m5;c074?6=>3:1o68o0;6)=k9;33b>=n1<0;6)=k9;;6?>o>>3:1(>j6:848?l5?n3:1(>j6:2:e?>o5n>0;6)=k9;0e3>=h9m91<7*51;294~"4l008hk5`1e194?"4l00:h>54}r074?6=:rT98=5225295a56=4={_c6?84383;;j6s|9e83>7}Y1m16>9>52g58yv?22909wS7:;<074??23ty2=7>52z\:5>;5<902:6s|11294?4|5;>;6>6i;<1g2?7c;2wvn;k50;196?2|,:3n6k74H2f1?M5e=2.:nk4;a29j=4<722cj97>5;n074?6=3k8?<7>55;092~"41l0mn6*>bg87e6=h:=:1<75f23:94?=ni<0;66g6d;29?l?62900nkl50;494?6|,:n26km4i02e>5<#;m31==h4;h;6>5<#;m315854i8494?"4l002:65f39d94?"4l0084k54i3d4>5<#;m31>k94;n3g7?6=,:n26t$2f:>4eb3f;oj7>5$2f:>4b432wxm84?:3y]e0=:nk0:52z\16==:nk084k5rs363>5<5sW8?<63ib;3g7>{t;k<1<75<6290;w)=m4;68 6?b28:;7)=k9;1gb>"6jo0?m>5`fg83>!5c13;o?65rs363>5<5sW8?<63=418105=z{h?1<7:181[?6348?<77>;|q1>5<4s48?<7<=8:?105<>l27:6kh4$2`7>0=zuk853;090~"41l0m56F>i5<90;66l=4183>0<52?q/?4k5fc9'5g`=5;cda>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n>6=4>:183!5c13;hi6a>dg83>!5c13;o?65rs`794?4|Vh?01kl511d8yv?62909wS7>;<07}Y:;201kl539d8yv4383:1>vP=419>bg<6l:1v>l9:1818`e20?01>j::0fe?x{e93:1=7>50z&0f1<33-92i7??0:&0`<<4lo1/=oh54`18kc`=83.8h44>d298yv4383:1>vP=419>616=:=:0q~o::181[g2348?<7o:;|q:5?6=:rT2=63=418:5>{t:3:1?v3=41816==::=:15i521;de?!5e<3?0qpl=8g83>6<52=q/?4k5f89K7a4<@:h>7)?>1;48 4da2=k87d7>:188md3=831d>9>50;9a616=83?1>78t$2;f>cd<,8hm69o<;n074?6=3`8947>5;hc6>5<>daj3:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k5;295?6=8r.8h44>cd9l5a`=83.8h44>d298yvg22909wSo:;46a3ty2=7>52z\:5>;aj33=7p}6d;296~X>l27mn7=838pR?<7;6>a3ty98=4?:3y]616<5oh1=i=4}r1a2?6=:r7mn77:;<1g1?7cn2wvn<4?:083>5}#;k>186*<9d8245=#;m31?ih4$0`e>1g43flm6=4+3e;95a5<3ty98=4?:3y]616<5;>;6?:?;|qb1?6=:rTj963=418b1>{t180;6?uQ909>616=181v?4?:2y>616=:;201?:?:8f8947:t$2;f>c?<@:n97E=m5:&254<13-;ij7:n3:k:5?6=3`k>6=44o363>5<;6=4::385!5>m3li7)?mf;6b7>i5<90;66g=2983>>of=3:17d7k:188m<7=831ijo4?:783>5}#;m31jn5f11d94?"4l00:!5c133=76g<8g83>!5c1393j65f2g594?"4l009j:54o0f0>5<#;m31=i=4;|`0`0<7280;6=u+3e;95fc52z\b1>;aj3;;j6s|9083>7}Y1816jo466:p=a<72;qU5i52fc81b2=z{;836=4={_01<>;aj393j6s|25294?4|V;>;70hm:0f0?xu4j?0;6?u2fc8:1>;4l<0:hk5r}c394?7=83:p(>l;:59'7j6:2fe?!7en3>j?6aif;29 6b>28n876s|25294?4|V;>;70<;0;074>{ti<0;6?uQa49>616=i<1v4?50;0xZ<7<5;>;64?4}r094?5|5;>;6?<7;<074??c34;1jk5+3c691>{zj:2;6=4<:387!5>m3l27E=k2:J0f0=#98;1:6*>bg87e6=n180;66gn5;29?j4383:17o<;0;291?4=>r.85h4ib:&2fc<3i:1d>9>50;9j67>=831bm84?::k:`?6=3`3:6=44bg`94?0=83:p(>j6:ga8m46a290/?i7511d8?l?2290/?i759498m<0=83.8h4466:9j7=`=83.8h44<8g98m7`0290/?i752g58?j7c;3:1(>j6:0f0?>{e;m?1<7?50;2x 6b>28in7b?kf;29 6b>28n876s|a483>7}Yi<16jo4>0g9~w<7=838pR4?4=g`9=3=z{0n1<7?;%1g=?5cn2.:nk4;a29lbc<72-9o57?k3:9~w7272909wS<;0:?105<5<91vl;50;0xZd3<5;>;6l;4}r;2>5<5sW3:70<;0;;2?xu52908w0<;0;01<>;5<902h63>:gd8 6d32<1vqo:?9;297?4=9>50;796?0|,:3n6kl4$0`e>1g43f8?<7>5;h016=44i8f94?=n180;66lib;292?6=8r.8h44ic:k24c<72-9o57??f:9j=0<72-9o577:;:k:2?6=,:n26484;h1;b?6=,:n26>6i;:k1b2<72-9o57d298yg5c=3:1=7>50z&0`<<6kl1d=ih50;&0`<<6l:10q~o::181[g234li6<>i;|q:5?6=:rT2=63ib;;5?xu>l3:1>vP6d:?ef?4a?2wx>?650;0xZ74?34li6>6i;|q105<72;qU>9>4=g`95a552z?ef??2349o97?kf:~f4<7280;6=u+3c690>"41l0:<=5+3e;97a`<,8hm69o<;nde>5<#;m31=i=4;|q105<72;qU>9>4=363>7273tyj97>52z\b1>;5<90j96s|9083>7}Y1816>9>5909~w7<72:q6>9>523:8972720n01<4if:&0f1<23twi>k650;196?2|,:3n6k74H2f1?M5e=2.:=<49;%3ab?2f;2c2=7>5;hc6>5<;6=44b363>5<22;0=w)=6e;da?!7en3>j?6a=4183>>o5:10;66gn5;29?l?c2900e4?50;9abg<72?0;6=u+3e;9bf=n99l1<7*=n1?0;6)=k9;;5?>o40o0;6)=k9;1;b>=n:o=1<7*5}#;m31=nk4o0fe>5<#;m31=i=4;|qb1?6=:rTj963ib;33b>{t180;6?uQ909>bg<>>2wx5i4?:3y]=a=:nk09j:5rs30;>5<5sW89463ib;1;b>{t:=:1<77}:nk029632:nm7)?mf;6b7>ian3:1(>j6:0f0?>{t:=:1<77}Yi<16>9>5a49~w<7=838pR4?4=363><774?348?<77k;<39bc=#;k>196srb22;>5<42;0?w)=6e;d:?M5c:2B8n85+10392>"6jo0?m>5f9083>>of=3:17b<;0;29?g4383:197<56z&0=`?650;9je0<722c2h7>5;h;2>5<2oi0e<>i:18'7a?=99l07d7::18'7a?=1<10e4850;&0`<<>>21b?5h50;&0`<<40o10e?h8:18'7a?=:o=07b?k3;29 6b>28n876sm3e794?7=83:p(>j6:0af?j7cn3:1(>j6:0f0?>{ti<0;6?uQa49>bg<68o1v4?50;0xZ<7<5oh15;5rs8f94?4|V0n01kl52g58yv4503:1>vP=299>bg<40o1v?:?:181[43827mn7?k3:p7g0=838p1kl5949>7a3=9ml0qpl>:182>5<7s-9i87:4$2;f>4673-9o57=kf:&2fc<3i:1djk4?:%1g=?7c;21v?:?:181[4382798=4=419~wd3=838pRl;4=363>d3;62ol0(>l;:49~yg56l3:1?7<54z&0=`3}#;0o1jo5+1cd90d5;6=44i30;>5<>o>93:17ohm:185>5<7s-9o57hl;h33b?6=,:n26<>i;:k:1?6=,:n264;4;h;5>5<#;m315;54i2:e>5<#;m31?5h4;h0e3?6=,:n26?h8;:m2`6<72-9o57?k3:9~f6b2290:6=4?{%1g=?7dm2e:hk4?:%1g=?7c;21vl;50;0xZd3<5oh1==h4}r;2>5<5sW3:70hm:848yv?c2909wS7k;7`03ty9>54?:3y]67><5oh1?5h4}r074?6=:rT98=52fc82`6=z{:h=6=4={<3<5:n>6m3;;<6*54}r074?6=:rT98=5225296166=4={_c6?84383k>7p}61;296~X>92798=461:p6?6=;r798=4=299>616=1m16=7hi;%1a0?35}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a761=8391<7>t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*<47>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`706<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi8;650;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f71f29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo<8b;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd5?j0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a77g=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vn>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb52b>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`===n7>53;294~"41l0:h=5G3e08L6d23-9o57?lf:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm45c94?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj=>i6=4<:183!5>m3;o<6F7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e<>h1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb55`>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==55;294~"41l08?6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21b==:50;&0`<<68o10e<>::18'7a?=99l07b?lc;29 6b>28n876sm45794?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj=>=6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c673?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th?854?:483>5}#;0o1?>5G3e08L6d23-9o57=?;%3ab?2f;2c:;:18'7a?=99l07d??5;29 6b>28:m76a>cb83>!5c13;o?65rb3cg>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f7gb29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qoj6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c0a4?6=;3:1l:;%1g=?7dn2.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e:k?1<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432wi>o850;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f7d029086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj;h36=4<:183!5>m3;o<6F6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`1ff<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi>oj50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm2cg94?5=83:p(>7j:0f3?M5c:2B8n85+3e;95f`<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg4d93:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*7>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`1g6<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd5k=0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?m8:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb3a;>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qod19K7a4<@:h>7)=k9;3`b>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e:jo1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb3ae>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f7b729086=4?{%1:a?7c82B8h?5G3c78 6b>28im7)?mf;6b7>o68;0;6)=k9;33b>=n9991<7*4?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e:m?1<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432wi>i850;194?6|,:3n6N4j<1/?i751bd8 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c0g=?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg4ci3:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`1`f<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;nm6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?k?:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*7>53;294~"41l0:h=5G3e08L6d23-9o57?lf:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm2d794?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4753A9o>6Fj6:0f0?M5c021vn?k8:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb3g;>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd49o0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn><>:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb201>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`061<72<0;6=u+38g976=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98m463290/?i7511d8?l77=3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj:8>6=4<:183!5>m3;o<6F6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`062<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj:836=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn>5<7s-92i7?k0:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`04d<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi?<;50;194?6|,:3n6N4j<1/?i751bd8 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c122?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th8=:4?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e;831<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb23b>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo=>c;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd48k0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`04`<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd48o0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn>??:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb232>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd49;0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn>?<:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb237>5<3290;w)=6e;3g5>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98m463290/?i7511d8?j7dk3:1(>j6:0f0?>{e:ok1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb223>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo=?2;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd48:0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a753=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vn>>9:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F50z&0=`<6l91C?i<4H2`6?!5c13;hj6*>bg87e6=n9981<7*5$2f:>4b432wi>kl50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm2ga94?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e:oo1<7:50;2x 6?b2:80D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>46a32e:on4?:%1g=?7c;21vn?hi:187>5<7s-92i7?k1:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4753A9o>6Fj6:0f0?M5c021vn5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*d883>7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e9mk1<7;50;2x 6?b2:90D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>46a32c:<84?:%1g=?77n21d=nm50;&0`<<6l:10qo?kb;297?6=8r.85h4>d19K7a4<@:h>7)=k9;3`b>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e:0i1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb3c7>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`1e3<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi>l950;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f7g?29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd5ih0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj;386=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c0:0?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg4>=3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo<67;290?6=8r.85h4<2:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65f11694?"4l00:5<#;m31=i=4;|`1==<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;326=4<:183!5>m3;o<6F6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f7?e29086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj;3o6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?7j:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb3;e>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd5i90;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1=i>4H2f1?M5e=2.8h44>cg9'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb3c1>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f7g429086=4?{%1:a?7c82B8h?5G3c78 6b>28im7)?mf;6b7>o68;0;6)=k9;33b>=n9991<7*5}#;0o1=i>4H2f1?M5e=2.8h44>cg9'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb345>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`12=<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd5>00;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj;m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c05g?6=<3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9j552=83.8h44>0g98k4ed290/?i751e18?xd5>m0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj;?o6=4;:183!5>m3;o=6F;:18'7a?=99l07b?lc;29 6b>28n876sm24g94?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n261<7>t$2;f>64<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?l77<3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;<;6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?8>:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb341>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd5>:0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?8;:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb346>5<3290;w)=6e;3g5>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98m463290/?i7511d8?j7dk3:1(>j6:0f0?>{e<5$2f:>4b43A9o465rb543>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`====7>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`727<72=0;6=u+38g95a7<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>o68=0;6)=k9;33b>=h9ji1<7*=?7>53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo<80;297?6=8r.85h4<1:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c046?6=<3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9j552=83.8h44>0g98k4ed290/?i751e18?xd5?:0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj;=>6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c042?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th9;:4?:483>5}#;0o1?>5G3e08L6d23-9o57=?;%3ab?2f;2c:;:18'7a?=99l07d??5;29 6b>28:m76a>cb83>!5c13;o?65rb35;>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd5?00;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1=i>4H2f1?M5e=2.8h44>cg9'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb35e>5<3290;w)=6e;11?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:k241<72-9o57??f:9l5fe=83.8h44>d298yg4??3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`1<<<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi>5o50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f7>e29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo<7c;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd50m0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj;2;6=4<:183!5>m3;o<6F6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`1<7<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd50:0;694?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32c:<94?:%1g=?77n21d=nm50;&0`<<6l:10qo<74;290?6=8r.85h4<2:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65f11694?"4l00:5<#;m31=i=4;|`1<0<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;2=6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vo4?:3y]f>;5io0:7}Yk279n:4>039~wa<72;qUh63=be8247=z{l0;6?uQe:?1g6<68;1vk4?:3y]b>;5k00:vP>1:?1`0<68;1v<<50;0xZ44<5;ni6<>=;|q27?6=:rT:?63=e08247=z{8?1<76;296~X6>279i84>029~w4e=838pR4643ty:h7>52z\2`>;5jk0:<>5rs0g94?4|V8o01?m>:020?xu6n3:1>vP>f:?1g2<68:1v?>50;0xZ76<5;io6<><;|q15?6=:rT9=63=d28246=z{;81<728:87p}=3;296~X5;279hk4>029~w3d=83;9wS8m;<4f>d3<5;d3<5:8:6<><;<110?77:278=;4>009>741=99901>?l:022?857;3;;?63>d88244=::0i1==?4=3c:>4663482i7??2:?123<68816>;651108971128::70<7a;335>{t>l0;68u26d8105=:;821==?4=3c;>466348=57??1:?1<5<68;1v4850;axZ<0<5;<7<5?o15<5226f9=4=::1l15<5224;9=4=:;1:15<522g:9=4=:;9215<5230f9=4=:<9k1==?4}r3`=?6=;r78h>4if:?12`<>l27:h946d:p5a2=83=p1464348<<7??3:?1<2<68;1v70?kb;3`g>{t9m<1<74ed34;om7??3:p5a1=838p128ih70?kb;337>{t9mk1<74ed34;on7??2:p617=838pR?:>;<0a4?7dk2wx>9<50;0xZ4>97p}=4583>6}Y9=1U>9:4=3g4>4653ty9884?:3y]613<5;h36984=3`f>4ed3ty98:4?:3y]611<5;i?6964=3ab>4ed3ty9844?:3y]61?<5;n;69o4=3f5>4ed3ty98o4?:3y]61d<5;nh69m4=3g1>4ed3ty98i4?:3y]61b<5;o369h4=211>4663499=7??2:?05<<68816?==5110894bf28:970;5ih0:<<522849554<5;<<6<>>;<05e?77927?9k4>009>621=99801?68:020?84?13;;=6s|24:94?2|5;=h6039>036=99;0q~<:9;296~;5=0098=5226`9557m7>52z?11<cb9~w73e2909w0<:b;3`g>;5>:0:5<5s48>o7?lc:?11c<68=1v?;k:181842l3;ho63=618246=z{;?n6=4={<06a?7dk279:<4>029~w73a2909w0<:f;3`g>;5><0:<95rs343>5<5s48=<7?lc:?127<68;1v?8>:18184193;ho63=638246=z{;<96=4={<056?7dk279:>4>029~w7042909w0<93;3`g>;5>=0:5<5s48=87?lc:?120<68:1v?89:181841>3;ho63=5e8247=z{;<<6=4={<053?7dk2799i4>059~w70?2909w0<98;3`g>;5>80:5<5s48=57?lc:?11`<68;1v?8n:181841i3;ho63=5d8246=z{;029~w70d2909w0<9c;3`g>;5><0:5<5s48=h7?lc:?11c<68;1v?8j:181841m38?<63=7`8244=z{;6s|26094?4|5;=96:=50;0x971428ih70<87;337>{t:>>1<74ed348<;7??4:p623=838p1?9::0a`?840?3;;96s|26494?4|5;==6:950;0x971028ih70<88;337>{t:>21<74ed348<57??3:p62?=838p1?96:0a`?84093;;>6s|26c94?4|5;=j6:l50;0x971e28ih70<8c;337>{t:>n1<77273498;7??2:p62c=838p1?9k:`7897>128ih7p}=7g83>7}::>l1=nm4=3:6>4653ty94=4?:3y>6=6=9ji01?6=:021?xu5080;6?u229395fe<5;2?6<>;;|q1<7<72;q6>5<51ba897>428:97p}=8283>7}::191=nm4=3:7>4643ty9494?:3y>6=2=9ji01?6::020?xu50<0;6?u229795fe<5;2=6<>=;|q1<2<72;q6>5951ba897>428:87p}=8983>7}::121=nm4=3:3>4643ty9444?:3y>6=?=9ji01?6=:020?xu50h0;6?u229c95fe<5;286<>;;|q15l51ba897>328:97p}=8b83>7}::1i1=nm4=3:2>4653ty94i4?:3y>6=b=9ji01?6>:020?xu50l0;6?u229g95fe<5;2=6<><;|q1465348i:7??1:?1fg<68;16>om5113897e628:970;5k>0:=;<0`a?779279h>4>039>6a2=99;01?j6:021?84ci3;;=63=dg8247=::l:1==?4=3g6>465348n:7??1:p6<6=838p1?6i:`7897g428ih7p}=9083>7}::0;1=nm4=3;7>4653ty95?4?:3y>6<4=9ji01?o?:020?xu51:0;6?u228195fe<5;k96<><;|q1=1<72;q6>4:51ba897?>28:97p}=9483>7}::0?1=nm4=3c2>4653ty95;4?:3y>6<0=9ji01?7n:021?xu51>0;6?u228595fe<5;3j6<><;|q1==<72;q6>4651ba897?e28:87p}=9883>7}::031=nm4=3;g>4643ty95l4?:3y>6<;|q1=f<72;q6>4m51ba897?328:87p}=9e83>7}::0n1=nm4=3c0>4653ty95h4?:3y>6=;|q1e5<72;q6>l>51ba897g628:87p}=a083>7}::h;1=nm4=3c1>4653ty9m?4?:3y>6d4=9ji01?o<:020?xu5i=0;6?u22`695fe<5;326<><;|q1e0<72;q6>l;51ba897?228:87p}=a783>7}::h<1=nm4=3;5>4643ty9m:4?:3y>6d1=9ji01?78:021?xu5i10;6?u22`:95fe<5;3<6<>;;|q1e<<72;q6>l751ba897??28:97p}=a`83>7}::hk1=nm4=3;;>4643ty9mn4?:3y>6db=9ji01?l?:021?xu5im0;6?u22`g95fe<5;km6<><;|q1e`<72;q6>lh51ba897d728:87p}=b583>7}::k?1=nm4=3`;>4653ty9n84?:3y>6g0=9ji01?l8:020?xu5j?0;6?u22c595fe<5;h36<><;|q1fd<72;q6>ol51ba897db28:97p}=bc83>7}::ki1=nm4=3`g>4643ty9nn4?:3y>6gb=9ji01?lj:020?xu5k90;6?u22b395fe<5;i?6<>=;|q1g4<72;q6>n<51ba897e428:87p}=c383>7}::j91=nm4=3a7>4643ty9o;4?:3y>6f1=9ji01?mn:021?xu5k>0;6?u22b:95fe<5;i26<><;|q1g=<72;q6>n751ba897ef28:87p}=cb83>7}::jn1=nm4=3f3>4653ty9oi4?:3y>6fc=9ji01?mi:020?xu5kl0;6?u22bd95fe<5;n;6<><;|q1`7<72;q6>i=51ba897b128:97p}=d283>7}::m>1=nm4=3f6>4643ty9h94?:3y>6a3=9ji01?j9:020?xu5l10;6?u22e;95fe<5;nh6<>=;|q1`<<72;q6>io51ba897be28:87p}=d`83>7}::mh1=nm4=3f`>4643ty9hh4?:3y>6a`=9ji01?k=:021?xu5lo0;6?u22d295fe<5;o:6<><;|q1a5<72;q6>h?51ba897c528:87p}=e583>7}::l?1=nm4=3g;>4653ty9i84?:3y>6`0=9ji01?k8:020?xu5m?0;6?u22d595fe<5;o36<><;|q1ac<72;qU>hh4=54;>4663ty9j54?:03x97`?2;>;70==a;335>;49o0:<>523369552<5:;>6<>=;<12f?77;278009>6cb=9980193;;?63=928244=::?h1==?4=37e>464348<>7??2:?136<68816>:h5110897>e28::7p}=f883>7}::o21m8522gd95fe52z?1bd<6kj16?=951108yv4aj3:1>v3=fc82gf=::ol1==<4}r0eg?6=:r79jn4>cb9>6cc=9980q~52z?1b`<6kj16>kh51168yv5783:1>v3<0182gf=:;9=1===4}r135?6=:r78<<4>cb9>6cd=9980q~=?2;296~;48;0:on522g`955552z?046<6kj16>kk51118yv57<3:1>v3<0582gf=::oi1==<4}r131?6=:r78<84>cb9>6ce=9990q~=?6;296~;48?0:on522gg955252z?042<6kj16>kj51118yv5703:1=9u231:9616<5:8i6<>=;<12b?77:278>94>049>74g=99;01>>m:021?84ai3;;=63<008247=:;9?1==?4=0f4>466348j87??1:?1=7<68816>4;51108973e28:970<9c;337>;5>m0:<<522609555<5;=?6<>>;<04b?77;2794n4>009~w66>2909w0=?8;c6?856<3;ho6s|31c94?4|5::j64;337>{t;9i1<74ed349:=7??2:p75b=838p1>>k:0a`?857n3;;?6s|31g94?4|5::n60;336>{t;8:1<74ed349:=7??3:p747=838p1>?>:0a`?856:3;;?6s|30094?4|5:;964;336>{t;8?1<74ed349:>7??2:p740=838p1>?9:0a`?857k3;;?6s|30594?4|5:;<6{t;831<74ed349:<7??3:p74g=838p1>?n:0a`?856;3;;?6s|30`94?4|5:;i6{t;8n1<7?>{<12`?438278>>4>009>75g=99;01>?::020?85783;;=63<008246=:;9<1==?4=0f5>466348j97??1:?1=a<68;16>8l51118970d28:?70<:c;335>;5?;0:<9522679557<5;=m6<>;;<0;`?7792wx?=;|q064<72;q6???51ba8964128:97p}<2383>7}:;;81=nm4=206>4643ty8>>4?:3y>775=9ji01><7:020?xu4:=0;6?u233695fe<5:8;6<><;|q060<72;q6??;51ba8964128:87p}<2783>7}:;;<1=nm4=204>4643ty8>:4?:3y>771=9ji01><7:021?xu4:10;6?u233:95fe<5:8;6<>=;|q06<<72;q6??o51ba8964e28:87p}<2b83>7}Y;;i01>=8:0a`?xu4;;0;6?u232095fe<5:9<6<><;|q0<5<72:qU?9:4=2:3>72734>==7??1:p7=7=83?pR>6>;<123?77:2795:4>029>63>=99901?67:022?xu40:0;6nuQ3918964328:870==5;336>;49k0:=;<0:5?77:2795o4>039>63e=99801?8?:021?84083;;>63=8d8244=z{:o86=4={<1;4?g23499n7?lc:p7c0=83h9;<65f?77;27?8o4>039>02g=99;019:::022?821:3;;?6s|41;94?4|V=:270:?9;074>{t<9h1<7<7<5=:j605c=9ji0q~:=c;296~X3:j1689951138yv23:3:1>vP;439>015=9ji0q~:;4;296~;3<:0:<<5245:95fe?97>52z?700<6kj1689651118yv23>3:1>v3;4782gf=:<=21==:4}r673?6=:r7?8:4>cb9>01>=99?0q~:;9;296~X3<01689l51ba8yv23i3:1>v3;4`82gf=:<=h1===4}r66a?6=:rT?9h5247195fe>j7>52z?71c<6kj168;<51108yv2183:1>v3;6182gf=:cb9>035=9990q~:92;296~;3>;0:on524719554=;7>52z\722=:=h7>52z\72a=:<>i1==?4}r643?6=:rT?;:5246:95fe<57>52z?73=<688168:j51ba8yv20i3:1>v3;7`82gf=:<>n1===4}r64f?6=:r7?;o4>cb9>02b=99>0q~:8c;296~;3?j0:on5246f95532o7>55z\7=f=:46634>5;h61g?6=3`;?<7>5;n35a?6=3`>2o7>5;h65`?6=3f;>=7>5;n11g?6=3f>:i7>5;n3a6?6=3f8?6=44o3f94?=e;m91<7?50;2x 6?b28:;7E=k2:J0f0=#;m31?ih4$0`e>1g43flm6=4+3e;95a5<3th:494?:481>3}#;0o1jl5G3e08L6d23-;ij7:n3:k:1?6=3`3o6=44i8394?=ni<0;66a=4183>>d5<90;6;4?:1y'7a?=nj1b==h50;&0`<<68o10e4;50;&0`<<>=21b5;4?:%1g=??132c84k4?:%1g=?5?n21b>k950;&0`<<5n>10c4<729q/?i753ed8k4b4290/?i751e18?xu5<90;6?uQ2528972728n87p}n5;296~Xf=2798=4>0g9~w7`03ty297>52z\:1>;5<90296s|9083>7}Y1816>9>5979~w4672909w0<;0;1;b>;4l?0:h>5r}c4e>5<22;0=w)=6e;db?M5c:2B8n85+1cd90d5>o>93:17do::188k7272900n?:?:185>5<7s-9o57hl;h33b?6=,:n26<>i;:k:1?6=,:n264;4;h;5>5<#;m315;54i2:e>5<#;m31?5h4;h0e3?6=,:n26?h8;:m2`6<72-9o57?k3:9~f6b1290:6=4?{%1g=?5cn2e:h>4?:%1g=?7c;21v?:?:181[4382798=4>d29~wd3=838pRl;4=363>46a3ty2h7>52z\:`>;5<909j:5rs8794?4|V0?01?:?:878yv?62909wS7>;<074??13ty:<=4?:3y>616=;1l01>j9:0f0?x{e9m>1<7;52;4x 6?b2ok0D>j=;I1a1>"6980=7)?mf;6b7>o>=3:17d7k:188m<7=831bm84?::m105<722h98=4?:783>5}#;m31jn5f11d94?"4l00:!5c133=76g<8g83>!5c1393j65f2g594?"4l009j:54o0f0>5<#;m31=i=4;|`0`3<7280;6=u+3e;97a`;6=2798=465:p=4<72;qU5<522529=3=z{8:;6=4={<074?5?n278h;4>d29~yg4c290>6?49{%1:a?`f3A9o>6F5;hc6>5<;6=44b363>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n=6=4>:183!5c139oj6a>d283>!5c13;o?65rs363>5<5sW8?<63=4182`6=z{h?1<7l2798=4=f69~w<3=838pR4;4=363><30183>7}::=:1?5h4=2f5>4b43twim?4?:281>1}#;0o1j45G3e08L6d23-;ij7:n3:k:5?6=3`k>6=44o363>5<;6=4::385!5>m3li7)?mf;6b7>i5<90;66g=2983>>of=3:17d7k:188m<7=831ijo4?:783>5}#;m31jn5f11d94?"4l00:!5c133=76g<8g83>!5c1393j65f2g594?"4l009j:54o0f0>5<#;m31=i=4;|`0`0<7280;6=u+3e;95fc52z\b1>;aj3;;j6s|9083>7}Y1816jo466:p=a<72;qU5i52fc81b2=z{;836=4={_01<>;aj393j6s|25294?4|V;>;70hm:0f0?xu4j?0;6?u2fc8:1>;4l<0:hk5r}c394?7=83:p(>l;:59'7j6:2fe?!7en3>j?6aif;29 6b>28n876s|25294?4|V;>;70<;0;074>{ti<0;6?uQa49>616=i<1v4?50;0xZ<7<5;>;64?4}r094?5|5;>;6?<7;<074??c34;1jk5+3c691>{zj8i?6=4<:387!5>m3l27E=k2:J0f0=#9kl18l=4i8394?=ni<0;66a=4183>>d5<90;684=:7y'728:m76g65;29 6b>20?07d79:18'7a?=1?10e>6i:18'7a?=;1l07d2;l<76a>d283>!5c13;o?65rb2f6>5<6290;w)=k9;3`a>i6lo0;6)=k9;3g7>=z{h?1<7:181[?634li6484}r;g>5<5sW3o70hm:3d4?xu5:10;6?uQ23:89cd=;1l0q~<;0;296~X5<916jo4>d29~w6d12909w0hm:87896b228nm7psm1;295?6=8r.8n94;;%1:a?7782.8h449>52528yvg22909wSo:;<074?g23ty2=7>52z\:5>;5<902=6s|2;297~;5<909>5522529=a=:93lm7)=m4;78yxd5<3:1?7<54z&0=`:188md3=831d>9>50;9a616=83?1>78t$2;f>cd<,8hm69o<;n074?6=3`8947>5;hc6>5<>daj3:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k5;295?6=8r.8h44>cd9l5a`=83.8h44>d298yvg22909wSo:;46a3ty2=7>52z\:5>;aj33=7p}6d;296~X>l27mn7=838pR?<7;6>a3ty98=4?:3y]616<5oh1=i=4}r1a2?6=:r7mn77:;<1g1?7cn2wvn<4?:083>5}#;k>186*<9d8245=#;m31?ih4$0`e>1g43flm6=4+3e;95a5<3ty98=4?:3y]616<5;>;6?:?;|qb1?6=:rTj963=418b1>{t180;6?uQ909>616=181v?4?:2y>616=:;201?:?:8f8947:t$2;f>c?<@:n97E=m5:&2fc<3i:1b5<4?::kb1?6=3f8?<7>5;c074?6==381:v*<9d8ef>"6jo0?m>5`25294?=n:;21<75fa483>>o>l3:17d7>:188fcd=83<1<7>t$2f:>ce6=4+3e;9=0==n;1l1<7*5$2f:>4b432wi?i;50;394?6|,:n26k94}r01552fc80;6=4={_074>;aj3;o?6s|3c494?4|5oh158523e795a`:183!5e<3>0(>7j:023?!5c139oj6*>bg87e6=hno0;6)=k9;3g7>=z{;>;6=4={_074>;5<9098=5rs`794?4|Vh?01?:?:`78yv?62909wS7>;<074??63ty96=4<{<074?4502798=46d:?2>c`<,:h?685r}c31N4l;1C?o;4$032>3=#9kl18l=4i8394?=ni<0;66a=4183>>d5<90;684=:7y'728:m76g65;29 6b>20?07d79:18'7a?=1?10e>6i:18'7a?=;1l07d2;l<76a>d283>!5c13;o?65rb2f6>5<6290;w)=k9;3`a>i6lo0;6)=k9;3g7>=z{h?1<7:181[?634li6484}r;g>5<5sW3o70hm:3d4?xu5:10;6?uQ23:89cd=;1l0q~<;0;296~X5<916jo4>d29~w6d12909w0hm:87896b228nm7psm1;295?6=8r.8n94;;%1:a?7782.8h449>52528yvg22909wSo:;<074?g23ty2=7>52z\:5>;5<902=6s|2;297~;5<909>5522529=a=:93lm7)=m4;78yxd6j;0;684=:7y'7j?6g65;29?l?c2900e4?50;9je0<722e98=4?::`105<72?0;6=u+3e;9bf=n99l1<7*=n1?0;6)=k9;;5?>o40o0;6)=k9;1;b>=n:o=1<7*5}#;m31?ih4o0f0>5<#;m31=i=4;|q105<72;qU>9>4=363>4b43tyj97>52z\b1>;5<90:=3:1>vP65:?105<>=2wx5<4?:3y]=4=::=:15;5rs023>5<5s48?<7=7f:?0`3<6l:1vqo?:1;291?4=>r.85h4ia:J0`7=O;k?0(5;n074?6=3k8?<7>56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g2?6=93:152z\105=::=:1=i=4}rc6>5<5sWk>70<;0;33b>{t1m0;6?uQ9e9>616=:o=0q~7::181[?2348?<77:;|q:5?6=:rT2=63=418:2>{t99:1<76>a349o:7?k3:~f40b290>6?49{%1:a?`f3A9o>6F5;hc6>5<;6=44b363>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n=6=4>:183!5c139oj6a>d283>!5c13;o?65rs363>5<5sW8?<63=4182`6=z{h?1<7l2798=4=f69~w<3=838pR4;4=363><30183>7}::=:1?5h4=2f5>4b43twi=o750;796?0|,:3n6ko4H2f1?M5e=2.:nk4;a29j=0<722c2h7>5;h;2>5<2oi0e<>i:18'7a?=99l07d7::18'7a?=1<10e4850;&0`<<>>21b?5h50;&0`<<40o10e?h8:18'7a?=:o=07b?k3;29 6b>28n876sm3e494?7=83:p(>j6:2fe?j7c;3:1(>j6:0f0?>{t:=:1<77}Yi<16>9>511d8yv?c2909wS7k;<074?4a?2wx584?:3y]=0=::=:1585rs8394?4|V0;01?:?:848yv7783:1>v3=4180l:;%3ab?2f;2c297>5;h;g>5<>i5<90;66l=4183>3<729q/?i75fb9j55`=83.8h44>0g98m<3=83.8h4465:9j=3<72-9o5779;:k07}Y:=:01?:?:0f0?xuf=3:1>vPn5:?105<68o1v4j50;0xZ;6?h8;|q:1?6=:rT2963=418:1>{t180;6?uQ909>616=1?1v<>?:1818438393j6355;092~"41l0mm6F5<>of=3:17b<;0;29?g4383:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k6;295?6=8r.8h44d298yv4383:1>vP=419>616=9m90q~o::181[g2348?<7??f:p=a<72;qU5i5225296c16=4={_;6?843833>7p}61;296~X>92798=466:p556=838p1?:?:2:e?85c>3;o?6srb30:>5<42;0?w)=6e;d:?M5c:2B8n85+10392>"6jo0?m>5f9083>>of=3:17b<;0;29?g4383:197<56z&0=`?650;9je0<722c2h7>5;h;2>5<2oi0e<>i:18'7a?=99l07d7::18'7a?=1<10e4850;&0`<<>>21b?5h50;&0`<<40o10e?h8:18'7a?=:o=07b?k3;29 6b>28n876sm3e794?7=83:p(>j6:0af?j7cn3:1(>j6:0f0?>{ti<0;6?uQa49>bg<68o1v4?50;0xZ<7<5oh15;5rs8f94?4|V0n01kl52g58yv4503:1>vP=299>bg<40o1v?:?:181[43827mn7?k3:p7g0=838p1kl5949>7a3=9ml0qpl>:182>5<7s-9i87:4$2;f>4673-9o57=kf:&2fc<3i:1djk4?:%1g=?7c;21v?:?:181[4382798=4=419~wd3=838pRl;4=363>d3;62ol0(>l;:49~yg45l3:1?7<54z&0=`3}#;0o1jo5+1cd90d5;6=44i30;>5<>o>93:17ohm:185>5<7s-9o57hl;h33b?6=,:n26<>i;:k:1?6=,:n264;4;h;5>5<#;m315;54i2:e>5<#;m31?5h4;h0e3?6=,:n26?h8;:m2`6<72-9o57?k3:9~f6b2290:6=4?{%1g=?7dm2e:hk4?:%1g=?7c;21vl;50;0xZd3<5oh1==h4}r;2>5<5sW3:70hm:848yv?c2909wS7k;7`03ty9>54?:3y]67><5oh1?5h4}r074?6=:rT98=52fc82`6=z{:h=6=4={<3<5:n>6m3;;<6*54}r074?6=:rT98=5225296166=4={_c6?84383k>7p}61;296~X>92798=461:p6?6=;r798=4=299>616=1m16=7hi;%1a0?353;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo?:a;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd6jk0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`2<3<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi=5950;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm2g83>6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f75c29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo<j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c62b?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg2583:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`235<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd6?80;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*?7>53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo?:4;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd6=<0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo?m5;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd6j?0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26=8391<7>t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`171<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;9>6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?=9:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`17<<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi>>o50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm22`94?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e::;1<7=50;2x 6?b28n;7E=k2:J0f0=#;m31=nh4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo<<2;297?6=8r.85h4<1:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c007?6=<3:1l:;%1g=?7dn2.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?l77<3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;8i6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?5<7s-92i7?k0:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`077<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi?>950;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f65d29086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj:9o6=4;:183!5>m3;o=6F;:18'7a?=99l07b?lc;29 6b>28n876sm17194?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj8<>6=4<:183!5>m3;o<6F6783>6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`222<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi=;650;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f40>29086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj8m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<8m:180>5<7s-92i7?k0:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`22f<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd6>=0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<9j:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb05e>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd6090;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj8296=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<6<:187>5<7s-92i7==;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76g>0583>!5c13;;j65`1ba94?"4l00:h>54}c341?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg70>3:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*7683>7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e9>21<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb05:>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f41f29086=4?{%1:a?7c82B8h?5G3c78 6b>28im7)?mf;6b7>o68;0;6)=k9;33b>=n9991<7*5}#;0o1??5G3e08L6d23-9o57=?;%3ab?2f;2c:;:18'7a?=99l07b?lc;29 6b>28n876sm8383>7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e0:0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e0<0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*0<729q/?4k5329K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31==h4;h331?6=,:n26<>i;:m2gf<72-9o57?k3:9~f=1=8391<7>t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`2`2<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi=i650;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f4b>29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo?ka;297?6=8r.85h4<1:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c3gf?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg7ck3:1?7>50z&0=`<6l91C?i<4H2`6?!5c13;hj6*>bg87e6=n9981<7*5$2f:>4b432wi=ij50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm1eg94?5=83:p(>7j:0f3?M5c:2B8n85+3e;95f`<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yv432909wS<;;<07>7273ty9h7>511y]6a=::m098=52a58246=:9>:1==<4=052>46634;>?7??2:?211<68816=o:5110894d228::70<<4;337>;4;;0:<<521779555<582;6<>>;<34e?77:27387??1:?2`3<6881v?k50;0x97b=1<16>k4>cb9~w3d=83kpR;l4=0f7>d3<51:1==<4=0:4>465348897??3:?223<68:16=:k51108941228:8706::022?87c13;;=6s|6g83>7}Y>o16:k4=419~w2`=838p1;h5a49><5<6kj1v5?50;1x93`=1<16=5:5949><2<6kj1v5<50;0x9=4=9ji015851118yv>42909w06<:0a`?8>128:?7p}74;296~;?<3;ho6376;331>{t0<0;6?u28482gf=:0>0:<>5rs9494?4|51<1=nm4=9595542z\:2>;60=02=639f;;2?87c<33:7061<>927::<461:?26=<>927:n?461:?214<>927::h461:?2f<<>927:95461:?23f<>9279>4461:?16a<>92wxm?4?:3y>e7<5<916>k4>039~wd5=838p1l<5a49>e1<6kj1v54n5:p57>=8346634286<>>;|q26<<72:qU=?74=929555<582=6<>>;|q205<721qU=9>4=53e>465348897??2:?072<68816=;851108941b28:870?85;336>;6lm0:5<5sW;>=63>508105=z{8?96=4={<365?g234;>;7?lc:p505=838p1<;<:0a`?872?3;;>6s|14694?4|58??6{t9<<1<74ed34;>;7??3:p50>=838p1<;7:363?872;3;;?6s|14;94?4|58?36l;4=07b>4ed3ty:9n4?:2y]50e<5:9h6<>=;<3ge?77:2wx=;?50;0xZ40634;==7<;0:p534=838p1<8>:`78940328ih7p}>6283>7}:9?91=nm4=04:>4643ty::84?:3y>533=9ji01<8m:021?xu6>?0;6?u217495fe<58=;|q222<72;q6=;951ba8940f28:87p}>6983>7}:9?21=nm4=04`>4643ty::44?:3y>53?=9ji01<8;:021?xu6>h0;6?u217c95fe<58<;|q22g<72;q6=;l51ba8940d28:97p}>6b83>7}:9?i1=nm4=047>4643ty::h4?:3y]53c<58525=9ji0q~?80;296~;6?90:on52161955452z?234<6kj16=:<51118yv70:3:1>v3>7382gf=:9>91===4}r340?6=:r7::h465:?23g<6kj1v<9::181870=3;ho63>788247=z{8==6=4={<342?7dk27:;44>029~w4102909w0?87;3`g>;6?k0:5<5s4;<47?lc:?23g<68=1v<96:18187013;ho63>7`8246=z{8=j6=4={<34e?7dk27:;o4>029~w41d2909w0?8c;074>;6?90:<>5rs05g>5<5s4;838247=z{8=m6=4={<3;4?7dk27:4?4>029~w4>72909w0?71;3`g>;60:0:<95rs0:2>5<5s4;3>7?lc:?2<6<68;1v<6;:18187?<38?<63<3e8246=z{82>6=4={<3;0?g234;3;7?lc:p5=0=838p1<69:0a`?87??3;;?6s|1c094?4|V8h970?m2;074>{t9k91<7d3<58h36b483>7}:9k?1=nm4=0`4>4653ty:n;4?:3y>5g0=9ji010;6?u21c595fe<58h36<><;|q2f<<72;q6=o75252894d328:87p}>b`83>7}:9k31m8521c`95fe55z?1`?g234;h87<;0:?2f<<>=27:95465:?1b?77;2wx=n;50;1x94e32h?01<9l:87894>428ih7p}>c883>g}:;m91jk521969=a=:>o02h63>d58:`>;5l33o70?m2;;g?872933o70?9e;;g?87e133o70?:8;;g?870k33o7p}>d583>3}:9m>1>9>4=315>46634;=;7??1:?2<4<68816=:8511389=0=9980q~?k5;296~;6l=02963>dd82gf=z{8n=6=4={<3g2?7dk27:hl4>029~w4b02909w0?k7;3`g>;6lk0:5<5s4;o47?lc:?2`g<68:1vdd8246=z{8nj6=4={<3ge?7dk27:hn4>039~w4be2909w0?kb;3`g>;6lj0:<>5rs0f`>5<5s4;oo7?lc:?2`a<68:1vdd8247=z{;826=46{<01=?438279?i4>009>661=99;01?=7:021?845j3;;>63>698244=:9>l1==<4=05;>46634;o;7??1:p67g=838p1?<6:`78974d28ih7p}=2c83>7}::;h1=nm4=30`>4643ty9>i4?:by>67b=:=:01?=j:021?844<3;;>63=388244=:::k1==<4=30`>46534;=97??2:?22<<68;16=:h51118941028::706=:022?87c03;;=6s|23g94?4|5;8o6l;4=310>4ed3ty9>k4?:3y>67`=9ji01?=n:020?xu5;90;6?u222295fe<5;986<>;;|q174<72;q6>>?51ba8975528:97p}=3383>7}:::81=nm4=310>4653ty9?94?:3y>662=9ji01?=>:021?xu5;<0;6?u222795fe<5;9i6<>=;|q173<72;q6>>851ba8975e28:87p}=3683>7}:::=1=nm4=311>4643ty9?54?:3y>66>=9ji01?=?:021?xu5;00;6?u222;95fe<5;9;6<><;|q17d<72;q6>>o51ba8975428:87p}=3c83>7}:::h1=nm4=312>4643ty9?n4?:3y>66b=9ji01?=j:020?xu5;o0;68u2258b1>;6j;02963>508:1>;f<3;;>63=3d82gf=z{:8h6=4={_11g>;4;m0:on5rs211>5<5s498>7?lc:?07f<68:1v>=8:181854?3;ho63<3e8241=z{:9h6=4={<10g?7dk278?i4>039~w6`12909wS=i6:?765<6881v9?j:181[26m27?><4>cb9~w17a2909w0:>f;3`g>;3:80:5<5s4>9<7?lc:?764<68:1v9009>503=99;0q~:9d;297~X3>m16=ol5113894d128::7p};9b83>1}Y<0i01<9l:`78917a28:870?82;336>{zj:o=6=4l:3`976}O;k?0(>7j:gg8 4db2:3o7b:65;29?j27n3:17d=i6;29?j0e2900ek650;9j35<722c<97>5;h11`?6=3`98>7>5;h103?6=3f>;?7>5;h33e?6=3k9o?7>51;294~"41l0:<=5G3e08L6d23-9o57=kf:&2fc<3i:1djk4?:%1g=?7c;21vn9>i:186>7<1s-92i7hn;I1g6>N4j<1/=oh54`18m<3=831b5i4?::k:5?6=3`k>6=44o363>5<;6=49:183!5c13lh7d??f;29 6b>28:m76g65;29 6b>20?07d79:18'7a?=1?10e>6i:18'7a?=;1l07d2;l<76a>d283>!5c13;o?65rb2f5>5<6290;w)=k9;1gb>i6l:0;6)=k9;3g7>=z{;>;6=4={_074>;5<90:h>5rs`794?4|Vh?01?:?:02e?xu>l3:1>vP6d:?105<5n>1v4;50;0xZ<3<5;>;64;4}r;2>5<5sW3:70<;0;;5?xu6890;6?u225297=`<5:n=678t$2;f>cg<@:n97E=m5:&2fc<3i:1b584?::k:`?6=3`3:6=44i`794?=h:=:1<75m25294?0=83:p(>j6:ga8m46a290/?i7511d8?l?2290/?i759498m<0=83.8h4466:9j7=`=83.8h44<8g98m7`0290/?i752g58?j7c;3:1(>j6:0f0?>{e;m<1<7?50;2x 6b>2:nm7b?k3;29 6b>28n876s|25294?4|V;>;70<;0;3g7>{ti<0;6?uQa49>616=99l0q~7k:181[?c348?<74?:481>3}#;0o1jl5G3e08L6d23-;ij7:n3:k:1?6=3`3o6=44i8394?=ni<0;66a=4183>>d5<90;6;4?:1y'7a?=nj1b==h50;&0`<<68o10e4;50;&0`<<>=21b5;4?:%1g=??132c84k4?:%1g=?5?n21b>k950;&0`<<5n>10c4<729q/?i753ed8k4b4290/?i751e18?xu5<90;6?uQ2528972728n87p}n5;296~Xf=2798=4>0g9~w7`03ty297>52z\:1>;5<90296s|9083>7}Y1816>9>5979~w4672909w0<;0;1;b>;4l?0:h>5r}c10N4l;1C?o;4$0`e>1g43`3>6=44i8f94?=n180;66gn5;29?j4383:17o<;0;292?6=8r.8h44ic:k24c<72-9o57??f:9j=0<72-9o577:;:k:2?6=,:n26484;h1;b?6=,:n26>6i;:k1b2<72-9o57d298yg5c>3:1=7>50z&0`<<4lo1d=i=50;&0`<<6l:10q~<;0;296~X5<916>9>51e18yvg22909wSo:;<074?77n2wx5i4?:3y]=a=::=:1>k94}r;6>5<5sW3>70<;0;;6?xu>93:1>vP61:?105<>>2wx==>50;0x97272:2m70=k6;3g7>{zj>;1<7;52;4x 6?b2ok0D>j=;I1a1>"6jo0?m>5f9483>>o>l3:17d7>:188md3=831d>9>50;9a616=83<1<7>t$2f:>ce6=4+3e;9=0==n;1l1<7*5$2f:>4b432wi?i850;394?6|,:n26>ji;n3g7?6=,:n265<5sW3o70<;0;0e3>{t1<0;6?uQ949>616=1<1v4?50;0xZ<7<5;>;6484}r334?6=:r798=4<8g9>7a0=9m90qpl86;291?4=>r.85h4ia:J0`7=O;k?0(5;n074?6=3k8?<7>56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g2?6=93:152z\105=::=:1=i=4}rc6>5<5sWk>70<;0;33b>{t1m0;6?uQ9e9>616=:o=0q~7::181[?2348?<77:;|q:5?6=:rT2=63=418:2>{t99:1<76>a349o:7?k3:~f6d?290>6?49{%1:a?`f3A9o>6F5;hc6>5<;6=44b363>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n=6=4>:183!5c139oj6a>d283>!5c13;o?65rs363>5<5sW8?<63=4182`6=z{h?1<7l2798=4=f69~w<3=838pR4;4=363><30183>7}::=:1?5h4=2f5>4b43twi?:4?:281>1}#;0o1j45G3e08L6d23-;:=784$0`e>1g43`3:6=44i`794?=h:=:1<75m25294?3=:37j:g`8 4da2=k87b<;0;29?l4503:17do::188m3:1o68o0;6)=k9;33b>=n1<0;6)=k9;;6?>o>>3:1(>j6:848?l5?n3:1(>j6:2:e?>o5n>0;6)=k9;0e3>=h9m91<7*51;294~"4l00:oh5`1ed94?"4l00:h>54}rc6>5<5sWk>70hm:02e?xu>93:1>vP61:?ef??13ty2h7>52z\:`>;aj38m;6s|23:94?4|V;8370hm:2:e?xu5<90;6?uQ25289cd=9m90q~=m6;296~;aj33>70=k5;3gb>{zj80;6<4?:1y'7g2=<2.85h4>019'7a?=;ml0(;7p}n5;296~Xf=2798=4n5:p=4<72;qU5<522529=4=z{;0;6>u2252967><5;>;64j4=08eb>"4j=0>7psm6b83>7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e>m0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn>:>:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`0f?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th?=84?:383>5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a040=8391<7>t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo97:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F29086=4?{%1:a?7c82B8h?5G3c78 6b>28im7)?mf;6b7>o68;0;6)=k9;33b>=n9991<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`40?6=;3:1l:;%1g=?7dn2.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e;:k1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb21a>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd4;<0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:0f3?M5c:2B8n85+3e;95f`<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg5483:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f6>=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vn979:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F?3:1?7>50z&0=`<6l91C?i<4H2`6?!5c13;hj6*>bg87e6=n9981<7*5$2f:>4b432wi8=:50;194?6|,:3n6N4j<1/?i751bd8 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c56>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c5a>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c5g>5<2290;w)=6e;10?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:k241<72-9o57??f:9j553=83.8h44>0g98k4ed290/?i751e18?xd4jj0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn>lk:180>5<7s-92i7?k0:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`0f`<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi?oh50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f6e7290>6=4?{%1:a?543A9o>6Fj6:02e?>o68=0;6)=k9;33b>=n99?1<7*5}#;0o1=i>4H2f1?M5e=2.8h44>cg9'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rs2594?4|5:=1>9>4=7f9554<7<5:21=nm4}r1b>5<5s49<6l;4=2`95fe76>=i<16?>=5a49>77c=i<16:i4>cb9>043=99;01>ln:021?81?28::709<:022?854i3;;=63<348244=:;::1==?4=2`e>4663ty=o7>52z?5g?7dk27=h7??3:p2c<72:q68=:5110892b=9ji01>m?:021?xu083:1?vP80:?40?77:2730<6881v:<50;0x927=1<16;94>cb9~w25=838p1:=51ba8922=9990q~9::180[1234=26<>=;<5b>4663ty<:7>52z?42?438273=<6kj16;44>029~w2g=838p1:;51ba892b=9980q~9m:18181f28ih709k:020?xu0k3:1>v38b;3`g>;0l3;;86s|7e83>7}:?j0:on527e8240=z{o21<77t^g:8916a20;01>=7:838927=1816;;461:?0f=<>927847??1:p55g=839pR<>n;<622?77:278nl4>029~w4e>2903w0=k3;de?827n33o70==e;;g?854;33o70=<8;;g?81620n01:859e9>7g>=1m1v>039>7gb=9980q~==e;296~;4:l098=523cf955552z?06`<>=278?<4>cb9~w6572909w0=<0;3`g>;4;80:<>5rs211>5<4sW98>63<438244=:;:<1==<4}r107?6=:r78?>4=419>717=99;0q~=<4;296~;4;:02963<3782gf=z{:9>6=4={<101?7dk278?;4>029~w6502908wS=<7:?07g<68;16?om51108yv5403:1>v3<398105=:;ki1===4}r10=?6=:r78?5465:?07g<6kj1v>=n:181854i3;ho63<3c8246=z{:>;6=4={<177?7dk278nh4>009~w6262909w0=;1;3`g>;4<:0:5<5s49?>7?lc:?006<68:1v>l7:18185e038?<63;978244=z{:h26=4={<1al7:878916a20?01>m>:0a`?xu4jj0;6?u23ca95fe<5:i;6<><;|q0fa<72;q6?oj51ba896e728:?7p}7}:;ko1=nm4=2a3>4623ty8nk4?:3y>7g`=9ji01>m>:020?xu4k90;6?u23b295fe<5:i:6<>=;|q0b3<7246534>;87??3:p055=838pR9><;<630?7dk2wx8=h50;0xZ16a34>;j7<;0:p042=838p19>i:`78917128ih7p};1483>7}:<8?1=nm4=535>4643ty?584?:3y]0<3<5=3<6uG3c78 6?b289o7)?me;1:`>i6:00;66g;9483>>o38o0;66g>be83>>i69=0;66a>ofl3:17d:95;29?l7193:17b:?1;29?l?42900e4<50;9l07e=831b=8l50;9l03b=831b=om50;9j055=831d8<950;9l075=831d>94?::m73`<722h8h>4?:083>5}#;0o1==>4H2f1?M5e=2.8h44r.85h4ia:J0`7=O;k?0(5;n074?6=3k8?<7>56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g2?6=93:152z\105=::=:1=i=4}rc6>5<5sWk>70<;0;33b>{t1m0;6?uQ9e9>616=:o=0q~7::181[?2348?<77:;|q:5?6=:rT2=63=418:2>{t99:1<76>a349o:7?k3:~fg5=8391>7:t$2;f>c?<@:n97E=m5:&2fc<3i:1b5<4?::kb1?6=3f8?<7>5;c074?6==381:v*<9d8ef>"6jo0?m>5`25294?=n:;21<75fa483>>o>l3:17d7>:188fcd=83<1<7>t$2f:>ce6=4+3e;9=0==n;1l1<7*5$2f:>4b432wi?i;50;394?6|,:n26k94}r01552fc80;6=4={_074>;aj3;o?6s|3c494?4|5oh158523e795a`:183!5e<3>0(>7j:023?!5c139oj6*>bg87e6=hno0;6)=k9;3g7>=z{;>;6=4={_074>;5<9098=5rs`794?4|Vh?01?:?:`78yv?62909wS7>;<074??63ty96=4<{<074?4502798=46d:?2>c`<,:h?685r}ca4>5<42;0?w)=6e;d:?M5c:2B8n85+1cd90d5>i5<90;66l=4183>0<52?q/?4k5fc9'5g`=5;cda>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n>6=4>:183!5c13;hi6a>dg83>!5c13;o?65rs`794?4|Vh?01kl511d8yv?62909wS7>;<07}Y:;201kl539d8yv4383:1>vP=419>bg<6l:1v>l9:1818`e20?01>j::0fe?x{e93:1=7>50z&0f1<33-92i7??0:&0`<<4lo1/=oh54`18kc`=83.8h44>d298yv4383:1>vP=419>616=:=:0q~o::181[g2348?<7o:;|q:5?6=:rT2=63=418:5>{t:3:1?v3=41816==::=:15i521;de?!5e<3?0qpll3;297?4=9>50;796?0|,:3n6kl4$0`e>1g43f8?<7>5;h016=44i8f94?=n180;66lib;292?6=8r.8h44ic:k24c<72-9o57??f:9j=0<72-9o577:;:k:2?6=,:n26484;h1;b?6=,:n26>6i;:k1b2<72-9o57d298yg5c=3:1=7>50z&0`<<6kl1d=ih50;&0`<<6l:10q~o::181[g234li6<>i;|q:5?6=:rT2=63ib;;5?xu>l3:1>vP6d:?ef?4a?2wx>?650;0xZ74?34li6>6i;|q105<72;qU>9>4=g`95a552z?ef??2349o97?kf:~f4<7280;6=u+3c690>"41l0:<=5+3e;97a`<,8hm69o<;nde>5<#;m31=i=4;|q105<72;qU>9>4=363>7273tyj97>52z\b1>;5<90j96s|9083>7}Y1816>9>5909~w7<72:q6>9>523:8972720n01<4if:&0f1<23twink4?:281>1}#;0o1j45G3e08L6d23-;ij7:n3:k:5?6=3`k>6=44o363>5<;6=4::385!5>m3li7)?mf;6b7>i5<90;66g=2983>>of=3:17d7k:188m<7=831ijo4?:783>5}#;m31jn5f11d94?"4l00:!5c133=76g<8g83>!5c1393j65f2g594?"4l009j:54o0f0>5<#;m31=i=4;|`0`0<7280;6=u+3e;95fc52z\b1>;aj3;;j6s|9083>7}Y1816jo466:p=a<72;qU5i52fc81b2=z{;836=4={_01<>;aj393j6s|25294?4|V;>;70hm:0f0?xu4j?0;6?u2fc8:1>;4l<0:hk5r}c394?7=83:p(>l;:59'7j6:2fe?!7en3>j?6aif;29 6b>28n876s|25294?4|V;>;70<;0;074>{ti<0;6?uQa49>616=i<1v4?50;0xZ<7<5;>;64?4}r094?5|5;>;6?<7;<074??c34;1jk5+3c691>{zjkh1<7=52;6x 6?b2o30D>j=;I1a1>"6jo0?m>5f9083>>of=3:17b<;0;29?g4383:197<56z&0=`?650;9je0<722c2h7>5;h;2>5<2oi0e<>i:18'7a?=99l07d7::18'7a?=1<10e4850;&0`<<>>21b?5h50;&0`<<40o10e?h8:18'7a?=:o=07b?k3;29 6b>28n876sm3e794?7=83:p(>j6:0af?j7cn3:1(>j6:0f0?>{ti<0;6?uQa49>bg<68o1v4?50;0xZ<7<5oh15;5rs8f94?4|V0n01kl52g58yv4503:1>vP=299>bg<40o1v?:?:181[43827mn7?k3:p7g0=838p1kl5949>7a3=9ml0qpl>:182>5<7s-9i87:4$2;f>4673-9o57=kf:&2fc<3i:1djk4?:%1g=?7c;21v?:?:181[4382798=4=419~wd3=838pRl;4=363>d3;62ol0(>l;:49~yg25;3:1?7<54z&0=`:188md3=831d>9>50;9a616=83?1>78t$2;f>cd<,8hm69o<;n074?6=3`8947>5;hc6>5<>daj3:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k5;295?6=8r.8h44>cd9l5a`=83.8h44>d298yvg22909wSo:;46a3ty2=7>52z\:5>;aj33=7p}6d;296~X>l27mn7=838pR?<7;6>a3ty98=4?:3y]616<5oh1=i=4}r1a2?6=:r7mn77:;<1g1?7cn2wvn<4?:083>5}#;k>186*<9d8245=#;m31?ih4$0`e>1g43flm6=4+3e;95a5<3ty98=4?:3y]616<5;>;6?:?;|qb1?6=:rTj963=418b1>{t180;6?uQ909>616=181v?4?:2y>616=:;201?:?:8f8947:t$2;f>c?<@:n97E=m5:&2fc<3i:1b5<4?::kb1?6=3f8?<7>5;c074?6==381:v*<9d8ef>"6jo0?m>5`25294?=n:;21<75fa483>>o>l3:17d7>:188fcd=83<1<7>t$2f:>ce6=4+3e;9=0==n;1l1<7*5$2f:>4b432wi?i;50;394?6|,:n26k94}r01552fc80;6=4={_074>;aj3;o?6s|3c494?4|5oh158523e795a`:183!5e<3>0(>7j:023?!5c139oj6*>bg87e6=hno0;6)=k9;3g7>=z{;>;6=4={_074>;5<9098=5rs`794?4|Vh?01?:?:`78yv?62909wS7>;<074??63ty96=4<{<074?4502798=46d:?2>c`<,:h?685r}c07>5<42;0?w)=6e;d:?M5c:2B8n85+1cd90d5>i5<90;66l=4183>0<52?q/?4k5fc9'5g`=5;cda>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n>6=4>:183!5c13;hi6a>dg83>!5c13;o?65rs`794?4|Vh?01kl511d8yv?62909wS7>;<07}Y:;201kl539d8yv4383:1>vP=419>bg<6l:1v>l9:1818`e20?01>j::0fe?x{e93:1=7>50z&0f1<33-92i7??0:&0`<<4lo1/=oh54`18kc`=83.8h44>d298yv4383:1>vP=419>616=:=:0q~o::181[g2348?<7o:;|q:5?6=:rT2=63=418:5>{t:3:1?v3=41816==::=:15i521;de?!5e<3?0qpl>1583>6<52=q/?4k5f89K7a4<@:h>7)?mf;6b7>o>93:17do::188k7272900n?:?:186>7<1s-92i7hm;%3ab?2f;2e98=4?::k16=<722cj97>5;h;g>5<3<729q/?i75fb9j55`=83.8h44>0g98m<3=83.8h4465:9j=3<72-9o5779;:k0{t1m0;6?uQ9e9>bg<5n>1v?<7:181[45027mn7=7f:p616=838pR?:?;4b43ty8n;4?:3y>bg<>=278h84>dg9~yg7=83;1<7>t$2`7>1=#;0o1==>4$2f:>6ba3-;ij7:n3:meb?6=,:n265;n074?6=3k8?<7>55;092~"41l0mn6*>bg87e6=h:=:1<75f23:94?=ni<0;66g6d;29?l?62900nkl50;494?6|,:n26km4i02e>5<#;m31==h4;h;6>5<#;m315854i8494?"4l002:65f39d94?"4l0084k54i3d4>5<#;m31>k94;n3g7?6=,:n26t$2f:>4eb3f;oj7>5$2f:>4b432wxm84?:3y]e0=:nk0:52z\16==:nk084k5rs363>5<5sW8?<63ib;3g7>{t;k<1<75<6290;w)=m4;68 6?b28:;7)=k9;1gb>"6jo0?m>5`fg83>!5c13;o?65rs363>5<5sW8?<63=418105=z{h?1<7:181[?6348?<77>;|q1>5<4s48?<7<=8:?105<>l27:6kh4$2`7>0=zuk8no7>53;090~"41l0m56F5<56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g1?6=93:16=4={_c6?8`e28:m7p}61;296~X>927mn779;|q:`?6=:rT2h63ib;0e3>{t:;21<77}Y:=:01kl51e18yv5e>3:1>v3ib;;6?85c=3;oj6srb083>4<729q/?o:54:&0=`<6891/?i753ed8 4da2=k87bhi:18'7a?=9m907p}=4183>7}Y:=:01?:?:363?xuf=3:1>vPn5:?1056}::=:1>?64=363>4=:5y'7j?6g61;29?lg22900c?:?:188f727290>6?49{%1:a?`e3-;ij7:n3:m105<722c9>54?::kb1?6=3`3o6=44i8394?=enk0;6;4?:1y'7a?=nj1b==h50;&0`<<68o10e4;50;&0`<<>=21b5;4?:%1g=??132c84k4?:%1g=?5?n21b>k950;&0`<<5n>10c4<729q/?i751bg8k4ba290/?i751e18?xuf=3:1>vPn5:?ef?77n2wx5<4?:3y]=4=:nk02:6s|9e83>7}Y1m16jo4=f69~w74?2909wS<=8:?ef?5?n2wx>9>50;0xZ72734li6ji;%3ab?2f;2emj7>5$2f:>4b432wx>9>50;0xZ727348?<7<;0:pe0<72;qUm8522529e0=z{0;1<73A9o>6F5<5$2f:>46a32c297>5$2f:><3<3`3=6=4+3e;9=3=5$2f:>7`032e:h>4?:%1g=?7c;21vn>j::182>5<7s-9o57?le:m2`c<72-9o57?k3:9~wd3=838pRl;4=g`955`?64=g`97=`52z\105=:nk0:h>5rs2`5>5<5s4li64;4=2f6>4ba3twi=7>51;294~"4j=0?7)=6e;334>"4l008hk5+1cd90d552z\105=::=:1>9>4}rc6>5<5sWk>70<;0;c6?xu>93:1>vP61:?105<>92wx>7>53z?105<5:116>9>59e9>5?`a3-9i87;4}|`bN4l;1C?o;4$0`e>1g43`3:6=44i`794?=h:=:1<75m25294?3=:37j:g`8 4da2=k87b<;0;29?l4503:17do::188m3:1o68o0;6)=k9;33b>=n1<0;6)=k9;;6?>o>>3:1(>j6:848?l5?n3:1(>j6:2:e?>o5n>0;6)=k9;0e3>=h9m91<7*51;294~"4l00:oh5`1ed94?"4l00:h>54}rc6>5<5sWk>70hm:02e?xu>93:1>vP61:?ef??13ty2h7>52z\:`>;aj38m;6s|23:94?4|V;8370hm:2:e?xu5<90;6?uQ25289cd=9m90q~=m6;296~;aj33>70=k5;3gb>{zj80;6<4?:1y'7g2=<2.85h4>019'7a?=;ml0(;7p}n5;296~Xf=2798=4n5:p=4<72;qU5<522529=4=z{;0;6>u2252967><5;>;64j4=08eb>"4j=0>7psm47f94?5=:3>p(>7j:g;8L6b53A9i96*>bg87e6=n180;66gn5;29?j4383:17o<;0;291?4=>r.85h4ib:&2fc<3i:1d>9>50;9j67>=831bm84?::k:`?6=3`3:6=44bg`94?0=83:p(>j6:ga8m46a290/?i7511d8?l?2290/?i759498m<0=83.8h4466:9j7=`=83.8h44<8g98m7`0290/?i752g58?j7c;3:1(>j6:0f0?>{e;m?1<7?50;2x 6b>28in7b?kf;29 6b>28n876s|a483>7}Yi<16jo4>0g9~w<7=838pR4?4=g`9=3=z{0n1<7?;%1g=?5cn2.:nk4;a29lbc<72-9o57?k3:9~w7272909wS<;0:?105<5<91vl;50;0xZd3<5;>;6l;4}r;2>5<5sW3:70<;0;;2?xu52908w0<;0;01<>;5<902h63>:gd8 6d32<1vqo:=c;297?4=9>50;796?0|,:3n6kl4$0`e>1g43f8?<7>5;h016=44i8f94?=n180;66lib;292?6=8r.8h44ic:k24c<72-9o57??f:9j=0<72-9o577:;:k:2?6=,:n26484;h1;b?6=,:n26>6i;:k1b2<72-9o57d298yg5c=3:1=7>50z&0`<<6kl1d=ih50;&0`<<6l:10q~o::181[g234li6<>i;|q:5?6=:rT2=63ib;;5?xu>l3:1>vP6d:?ef?4a?2wx>?650;0xZ74?34li6>6i;|q105<72;qU>9>4=g`95a552z?ef??2349o97?kf:~f4<7280;6=u+3c690>"41l0:<=5+3e;97a`<,8hm69o<;nde>5<#;m31=i=4;|q105<72;qU>9>4=363>7273tyj97>52z\b1>;5<90j96s|9083>7}Y1816>9>5909~w7<72:q6>9>523:8972720n01<4if:&0f1<23twi?k850;196?2|,:3n6k74H2f1?M5e=2.:nk4;a29j=4<722cj97>5;n074?6=3k8?<7>55;092~"41l0mn6*>bg87e6=h:=:1<75f23:94?=ni<0;66g6d;29?l?62900nkl50;494?6|,:n26km4i02e>5<#;m31==h4;h;6>5<#;m315854i8494?"4l002:65f39d94?"4l0084k54i3d4>5<#;m31>k94;n3g7?6=,:n26t$2f:>4eb3f;oj7>5$2f:>4b432wxm84?:3y]e0=:nk0:52z\16==:nk084k5rs363>5<5sW8?<63ib;3g7>{t;k<1<75<6290;w)=m4;68 6?b28:;7)=k9;1gb>"6jo0?m>5`fg83>!5c13;o?65rs363>5<5sW8?<63=418105=z{h?1<7:181[?6348?<77>;|q1>5<4s48?<7<=8:?105<>l27:6kh4$2`7>0=zuk>:;7>53;090~"41l0m56F5<56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g1?6=93:16=4={_c6?8`e28:m7p}61;296~X>927mn779;|q:`?6=:rT2h63ib;0e3>{t:;21<77}Y:=:01kl51e18yv5e>3:1>v3ib;;6?85c=3;oj6srb083>4<729q/?o:54:&0=`<6891/?i753ed8 4da2=k87bhi:18'7a?=9m907p}=4183>7}Y:=:01?:?:363?xuf=3:1>vPn5:?1056}::=:1>?64=363>4=:5y'7j?6g61;29?lg22900c?:?:188f727290>6?49{%1:a?`e3-;ij7:n3:m105<722c9>54?::kb1?6=3`3o6=44i8394?=enk0;6;4?:1y'7a?=nj1b==h50;&0`<<68o10e4;50;&0`<<>=21b5;4?:%1g=??132c84k4?:%1g=?5?n21b>k950;&0`<<5n>10c4<729q/?i751bg8k4ba290/?i751e18?xuf=3:1>vPn5:?ef?77n2wx5<4?:3y]=4=:nk02:6s|9e83>7}Y1m16jo4=f69~w74?2909wS<=8:?ef?5?n2wx>9>50;0xZ72734li6ji;%3ab?2f;2emj7>5$2f:>4b432wx>9>50;0xZ727348?<7<;0:pe0<72;qUm8522529e0=z{0;1<73A9o>6F5<5$2f:>46a32c297>5$2f:><3<3`3=6=4+3e;9=3=5$2f:>7`032e:h>4?:%1g=?7c;21vn>j::182>5<7s-9o57?le:m2`c<72-9o57?k3:9~wd3=838pRl;4=g`955`?64=g`97=`52z\105=:nk0:h>5rs2`5>5<5s4li64;4=2f6>4ba3twi=7>51;294~"4j=0?7)=6e;334>"4l008hk5+1cd90d552z\105=::=:1>9>4}rc6>5<5sWk>70<;0;c6?xu>93:1>vP61:?105<>92wx>7>53z?105<5:116>9>59e9>5?`a3-9i87;4}|`;N4l;1C?o;4$0`e>1g43`3:6=44i`794?=h:=:1<75m25294?3=:37j:g`8 4da2=k87b<;0;29?l4503:17do::188m3:1o68o0;6)=k9;33b>=n1<0;6)=k9;;6?>o>>3:1(>j6:848?l5?n3:1(>j6:2:e?>o5n>0;6)=k9;0e3>=h9m91<7*51;294~"4l00:oh5`1ed94?"4l00:h>54}rc6>5<5sWk>70hm:02e?xu>93:1>vP61:?ef??13ty2h7>52z\:`>;aj38m;6s|23:94?4|V;8370hm:2:e?xu5<90;6?uQ25289cd=9m90q~=m6;296~;aj33>70=k5;3gb>{zj80;6<4?:1y'7g2=<2.85h4>019'7a?=;ml0(;7p}n5;296~Xf=2798=4n5:p=4<72;qU5<522529=4=z{;0;6>u2252967><5;>;64j4=08eb>"4j=0>7psm3b094?5=:3>p(>7j:g;8L6b53A9i96*>bg87e6=n180;66gn5;29?j4383:17o<;0;291?4=>r.85h4ib:&2fc<3i:1d>9>50;9j67>=831bm84?::k:`?6=3`3:6=44bg`94?0=83:p(>j6:ga8m46a290/?i7511d8?l?2290/?i759498m<0=83.8h4466:9j7=`=83.8h44<8g98m7`0290/?i752g58?j7c;3:1(>j6:0f0?>{e;m?1<7?50;2x 6b>28in7b?kf;29 6b>28n876s|a483>7}Yi<16jo4>0g9~w<7=838pR4?4=g`9=3=z{0n1<7?;%1g=?5cn2.:nk4;a29lbc<72-9o57?k3:9~w7272909wS<;0:?105<5<91vl;50;0xZd3<5;>;6l;4}r;2>5<5sW3:70<;0;;2?xu52908w0<;0;01<>;5<902h63>:gd8 6d32<1vqoo8:180>7<3s-92i7h6;I1g6>N4j<1/=oh54`18m<7=831bm84?::m105<722h98=4?:481>3}#;0o1jo5+1cd90d5;6=44i30;>5<>o>93:17ohm:185>5<7s-9o57hl;h33b?6=,:n26<>i;:k:1?6=,:n264;4;h;5>5<#;m315;54i2:e>5<#;m31?5h4;h0e3?6=,:n26?h8;:m2`6<72-9o57?k3:9~f6b2290:6=4?{%1g=?7dm2e:hk4?:%1g=?7c;21vl;50;0xZd3<5oh1==h4}r;2>5<5sW3:70hm:848yv?c2909wS7k;7`03ty9>54?:3y]67><5oh1?5h4}r074?6=:rT98=52fc82`6=z{:h=6=4={<3<5:n>6m3;;<6*54}r074?6=:rT98=5225296166=4={_c6?84383k>7p}61;296~X>92798=461:p6?6=;r798=4=299>616=1m16=7hi;%1a0?31}#;0o1j45G3e08L6d23-;:=784$0`e>1g43`3:6=44i`794?=h:=:1<75m25294?3=:37j:g`8 4da2=k87b<;0;29?l4503:17do::188m3:1o68o0;6)=k9;33b>=n1<0;6)=k9;;6?>o>>3:1(>j6:848?l5?n3:1(>j6:2:e?>o5n>0;6)=k9;0e3>=h9m91<7*51;294~"4l00:oh5`1ed94?"4l00:h>54}rc6>5<5sWk>70hm:02e?xu>93:1>vP61:?ef??13ty2h7>52z\:`>;aj38m;6s|23:94?4|V;8370hm:2:e?xu5<90;6?uQ25289cd=9m90q~=m6;296~;aj33>70=k5;3gb>{zj80;6<4?:1y'7g2=<2.85h4>019'7a?=;ml0(;7p}n5;296~Xf=2798=4n5:p=4<72;qU5<522529=4=z{;0;6>u2252967><5;>;64j4=08eb>"4j=0>7psme783>6<52=q/?4k5f89K7a4<@:h>7)?>1;48 4da2=k87d7>:188md3=831d>9>50;9a616=83?1>78t$2;f>cd<,8hm69o<;n074?6=3`8947>5;hc6>5<>daj3:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k5;295?6=8r.8h44>cd9l5a`=83.8h44>d298yvg22909wSo:;46a3ty2=7>52z\:5>;aj33=7p}6d;296~X>l27mn7=838pR?<7;6>a3ty98=4?:3y]616<5oh1=i=4}r1a2?6=:r7mn77:;<1g1?7cn2wvn<4?:083>5}#;k>186*<9d8245=#;m31?ih4$0`e>1g43flm6=4+3e;95a5<3ty98=4?:3y]616<5;>;6?:?;|qb1?6=:rTj963=418b1>{t180;6?uQ909>616=181v?4?:2y>616=:;201?:?:8f894=8391>7:t$2;f>c?<@:n97E=m5:&254<13-;ij7:n3:k:5?6=3`k>6=44o363>5<;6=4::385!5>m3li7)?mf;6b7>i5<90;66g=2983>>of=3:17d7k:188m<7=831ijo4?:783>5}#;m31jn5f11d94?"4l00:!5c133=76g<8g83>!5c1393j65f2g594?"4l009j:54o0f0>5<#;m31=i=4;|`0`0<7280;6=u+3e;95fc52z\b1>;aj3;;j6s|9083>7}Y1816jo466:p=a<72;qU5i52fc81b2=z{;836=4={_01<>;aj393j6s|25294?4|V;>;70hm:0f0?xu4j?0;6?u2fc8:1>;4l<0:hk5r}c394?7=83:p(>l;:59'7j6:2fe?!7en3>j?6aif;29 6b>28n876s|25294?4|V;>;70<;0;074>{ti<0;6?uQa49>616=i<1v4?50;0xZ<7<5;>;64?4}r094?5|5;>;6?<7;<074??c34;1jk5+3c691>{zj82m6=4<:387!5>m3l27E=k2:J0f0=#98;1:6*>bg87e6=n180;66gn5;29?j4383:17o<;0;291?4=>r.85h4ib:&2fc<3i:1d>9>50;9j67>=831bm84?::k:`?6=3`3:6=44bg`94?0=83:p(>j6:ga8m46a290/?i7511d8?l?2290/?i759498m<0=83.8h4466:9j7=`=83.8h44<8g98m7`0290/?i752g58?j7c;3:1(>j6:0f0?>{e;m?1<7?50;2x 6b>28in7b?kf;29 6b>28n876s|a483>7}Yi<16jo4>0g9~w<7=838pR4?4=g`9=3=z{0n1<7?;%1g=?5cn2.:nk4;a29lbc<72-9o57?k3:9~w7272909wS<;0:?105<5<91vl;50;0xZd3<5;>;6l;4}r;2>5<5sW3:70<;0;;2?xu52908w0<;0;01<>;5<902h63>:gd8 6d32<1vqo?66;297?4=:79'5g`=5<5$2f:>46a32c297>5$2f:><3<3`3=6=4+3e;9=3=5$2f:>7`032e:h>4?:%1g=?7c;21vn>j::182>5<7s-9o57?le:m2`c<72-9o57?k3:9~wd3=838pRl;4=g`955`?64=g`97=`52z\105=:nk0:h>5rs2`5>5<5s4li64;4=2f6>4ba3twi=7>51;294~"4j=0?7)=6e;334>"4l008hk5+1cd90d552z\105=::=:1>9>4}rc6>5<5sWk>70<;0;c6?xu>93:1>vP61:?105<>92wx>7>53z?105<5:116>9>59e9>5?`a3-9i87;4}|`2e1<72:0969u+38g9b<=O;m80D>l:;%325?0<,8hm69o<;h;2>5<56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g1?6=93:16=4={_c6?8`e28:m7p}61;296~X>927mn779;|q:`?6=:rT2h63ib;0e3>{t:;21<77}Y:=:01kl51e18yv5e>3:1>v3ib;;6?85c=3;oj6srb083>4<729q/?o:54:&0=`<6891/?i753ed8 4da2=k87bhi:18'7a?=9m907p}=4183>7}Y:=:01?:?:363?xuf=3:1>vPn5:?1056}::=:1>?64=363>4>:2yK7g3<,:3n65<4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10q~om:181[ge349oh7??3:pef<72;qUmn523ef955452z\0<==:;mn1=nm4}|```?6=;3;1?vFj6:02e?>i6kj0;6)=k9;3g7>=z{hh1<7039~w6>?2909wS=78:?0`a<6kj1vqoml:180>4<4sA9i96*<9d82g6=nik0;66gnc;29?j5?03:17o=kd;297?6=8r.85h47)=k9;1g4>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n266s|39:94?4|V:2370=kd;3`g>{zjjh1<7=51;1xL6d23-92i7?l3:kbf?6=3`kh6=44o2:;>5<m39o=6Fj?;%3ab?2f;2c:029~wde=838pRlm4=2fg>4653ty8454?:3y]7=><5:no6t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e?<0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a065=8391<7>t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e<>91<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb557>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~fdg=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vn>m::181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6Fc29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd3;<0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj=296=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}ca:>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vnn;50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~ff0=8391<7>t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{ek80;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`a`?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3thii7>53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qoj?:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rbe094?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xda>3:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd6i?0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj8kj6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c3bf?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th:mn4?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:ae83>6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`2e`<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd6io0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn5<7s-92i7?k0:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`2e2<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd6i10;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<7>:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb0;1>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==53;294~"41l0:h=5G3e08L6d23-9o57?lf:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm18694?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo?7b;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd60j0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<6k:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb0:f>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xdb03:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xdbi3:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xdbk3:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65f11694?"4l00:5<#;m31==h4;h332?6=,:n26<>i;:k242<72-9o57??f:9l5fe=83.8h44>d298yg26i3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*:n7>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`75f<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd39m0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn96j:186>5<7s-92i7=<;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76g>0583>!5c13;;j65f11794?"4l00:5<#;m31=i=4;|`7l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd3190;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj=396=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn97<:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb5;7>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f4??29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo?6c;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd61m0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj83m6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c3b4?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th:m<4?:283>5}#;0o1=i>4H2f1?M5e=2.8h44>cg9'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb0c1>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f4g4290?6=4?{%1:a?553A9o>6Fj6:02e?>o68=0;6)=k9;33b>=h9ji1<7*53;294~"41l0:h=5G3e08L6d23-9o57?lf:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm18c94?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*m3;o<6Fd19K7a4<@:h>7)=k9;3`b>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n260g98k4ed290/?i751e18L6b?32wi>o4?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:d19K7a4<@:h>7)=k9;3`b>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4753A9o>6Fj6:0f0?M5c021vn>hk:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f167290?6=4?{%1:a?553A9o>6Fj6:02e?>o68=0;6)=k9;33b>=h9ji1<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`25=<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi=<750;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f47f29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo?>b;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd69j0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:0f3?M5c:2B8n85+3e;95f`<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg76m3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*54;294~"41l08>6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21b==:50;&0`<<68o10c1683>6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f1>3290<6=4?{%1:a?533A9o>6Fj6:02e?>o68=0;6)=k9;33b>=n99?1<7*5$2f:>46a32e:on4?:%1g=?7c;21vn96::181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F3:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e<121<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb5::>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==3m7>54;294~"41l08>6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21b==:50;&0`<<68o10c6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f1>d290?6=4?{%1:a?553A9o>6Fj6:02e?>o68=0;6)=k9;33b>=h9ji1<7*9:7>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`762<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi8?650;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f14>29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo:=a;290?6=8r.85h4<2:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65f11694?"4l00:5<#;m31=i=4;|`76g<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zjm<1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rbec94?2=83:p(>7j:208L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;h330?6=,:n26<>i;:m2gf<72-9o57?k3:9~fad=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vnim50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~fab=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vnik50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~fa`=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vnh>50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sme083>1<729q/?4k5339K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31==h4;n3`g?6=,:n26j6:02e?>i6kj0;6)=k9;3g7>=zjm21<7:50;2x 6?b2:80D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>46a32e:on4?:%1g=?7c;21vni750;194?6|,:3n6N4j<1/?i751bd8 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c`6>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==m3;o>6F;:18'7a?=99l07d??5;29 6b>28:m76a>cb83>!5c13;o?65rbc594?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zjk21<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432win44?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:d19K7a4<@:h>7)=k9;3`b>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e<:k1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb51a>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==8o7>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`77a<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi8>k50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f15a29086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj=>;6=4<:183!5>m3;o<6F6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`77=<72=0;6=u+38g977=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98m463290/?i7511d8?j7dk3:1(>j6:0f0?>{e<:31<7=50;2x 6?b28n;7E=k2:J0f0=#;m31=nh4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo?=1;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd6:;0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<<<:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F50z&0=`<6l91C?i<4H2`6?!5c13;hj6*>bg87e6=n9981<7*5$2f:>4b432wi=?;50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm13494?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n261<7>t$2;f>4b63A9o>6Fj6:02e?>o68:0;6)=k9;33b>=n99>1<7*o4?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:3483>7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e9:<1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb014>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`27<<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi=>o50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f45e29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo?j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c31g?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg75l3:1?7>50z&0=`<6l91C?i<4H2`6?!5c13;hj6*>bg87e6=n9981<7*5$2f:>4b432wi=?k50;794?6|,:3n6>=4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07d??4;29 6b>28:m76g>0483>!5c13;;j65`1ba94?"4l00:h>54}c31b?6=;3:1l:;%1g=?7dn2.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e9::1<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432wi=>?50;194?6|,:3n6N4j<1/?i751bd8 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c306?6=;3:1l:;%1g=?7dn2.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e9:91<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432wi=>:50;194?6|,:3n6N4j<1/?i751bd8 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}r07>5<5sW8?70<;:363?xu5?3:1>v3=4;c6?84d28ih7p}=8;296~;503;ho63=c;336>{t:00;6?u22882gf=::k0:4ed348h6<><;|q5b?6=;r7<97?lc:?2=a<68816=<751138yv>?2909w067:363?81228:87p}79;29e~;?033:70:9d;;2?825k33:70:>7;;2?85a>33:70=l2;;2?84bj33:70?9d;;2?8g020;015o51ba8yv>e2909w06m:363?81228:97p}7c;297~;?j3k>7067:`789=b=9ji0q~7=:1822~X>:27?;h461:?a7??634i<64?4=b19=4=:jo02=63mb;;2?825;33:70?=9;;2?84320;01hm5909>9278o>461:?b<7<582m64?4=0;5><7<58k?64?4=9c9557;6i90:<<5210a955772734;247??1:?253<6881vl650;1x9d>=:=:01<7l:022?87603;;=6s|a883>6}:i10j963n7;c6?8gf28ih7p}nd;296~Xfl27m87o:;|qba?6=ir7i?7<;0:?`f?ge34n;6<><;46634>3i7??5:?7<1<68;16858511389ag=99801im511389g1=99;0q~l;:1818d42h?01oo51ba8yvd22909w0l::0a`?8d?28:87p}m6;296~;e>3;ho63m9;336>{tj>0;6?u2b682gf=:j00:<>5rsc:94?4|5k21=nm4=cc95544ed34hj6<><;|qbb?6=ir7in7<;0:?`f?gd34n;6<>=;46634>3j7??2:?7<1<68:1685;511389ag=99901il511389g0=9980q~ll:1818de2h?01ok51ba8yvdc2909w0lk:0a`?8db28:87p}m0;29=~;en38?<63lc;c`?8b528:970kl:022?82?n3;;?63;858241=:<1=1==?4=ed9557<5k<1===4}ra3>5<5s4hm6l;4=b095fe4ed34i96<><;|qa5?6=0r7h?7<;0:?``?gd34n?6<>=;46634>2<7??1:?7<<<68816hh4>009>f3<68=1vn:50;0x9f5=i<16o;4>cb9~wf3=838p1n;51ba89f0=9990q~l=:18;8e02;>;70mj:`a89`b=9990197>:022?82?03;;=63ka;330>;cl3;;=63m6;331>{tk10;6?u2c68b1>;di3;ho6s|c883>7}:k00:on52c`8246=z{jl1<7=t=ba9eg=:l90:on52d38246=z{m;1<7=t=bf9eg=:l;0:on52d58246=z{m91<75<5s4n=64643tyo;7>52z?g3?7dk27o47??3:p`=<72;q6h54>cb9>`<<68:1vio50;0x9ag=9ji01i951108yvbe2909w0jm:0a`?8c628:87p}kc;296~;ck3;ho63j1;336>{tlm0;6?u2de82gf=:m80:<95rseg94?4|5mo1=nm4=e:95544ed34n36<>;;|qf4?6=:r7n<7?lc:?g=?77:2wxi<4?:3y>a4<6kj16h:4>029~w`4=838p1nl539:89gc=9980q~k<:1818ed2:2370m=:021?xub<3:1>v3ld;1;<>;d>3;;>6s|e483>7}:kl084552c`8247=z{l<1<7=t=d49616<583n6<>>;<32e?7792wxi:4?:3y>a3cb9>aa<68;1vh750;0x9`?=9ji01hj51168yvcf2909w0kn:0a`?8cc28:=7p}jb;296~;bj3;ho63jd;331>{tmj0;6?u2eb82gf=:mm0:<:5rsg694?2|5o?1m852f58105=:l?0:<<52b48244=z{o?1<7=t=g79616<5l:1==<4=c:9554<7<5o<1=nm4}r320?6==;<6;0?77=2wx=<;50;6x947028ih70?=4;336>;6;00:<<5213f955452z?253<6kj16=v3>1982gf=:98n1===4}r32=?6=:r7:=44>cb9>54`=9980q~?>a;296~;69h0:on5210g955452z?25g<6kj16=v3>1b82gf=:98=1===4}r32`?6=:r7:=i4>cb9>54`=9990q~?>e;296~;69l0:on5210d955252z?25c<6kj16=<951108yv7583:1>v3>158b1>;6:>0:on5rs002>5<5s4;9=7?lc:?261<68:1v<<=:181875:3;ho63>248247=z{8886=4={<317?7dk27:>84>029~w4432909w0?=4;3`g>;6:?0:<>5rs006>5<5s4;997?lc:?262<68=1v<<9:181875>3;ho63>268247=z{8826=4<{_31=>;6:0098=52121955452z?26<cb9~w44e2909w0?=b;3`g>;6:o0:5<5s4;9o7?lc:?274<68;1v<318247=z{88n6=4={<31a?7dk27:?94>029~w44a2909w0?=f;3`g>;6;;0:5<5s4;8<7?lc:?274<68:1v<=>:18187493;ho63>338246=z{8996=4={<306?7dk27:?>4>029~w4542909w0?<3;3`g>;6;=0:5<5s4;897?lc:?27f<68:1v<=9:181874>3;ho63>2b8246=z{89<6=4={<303?7dk27:>i4>029~w45?2909w0?<8;3`g>;6;90:<>5rs01:>5<5s4;857?lc:?26`<68:1v<=n:181874i3;ho63>2d8241=z{89i6=4={<30f?7dk27:>h4>049~w45d2909w0?;6:o0:<>5rs07a>5<5sW;>n63;328247=z{8<:6=4={_355>;6>m0j96s|17f94?2|58ac8244=:90;1==<4=0;1>46634;3m7??3:?75d<68:16>l4>009>7c`=998019=n:022?82383;;>63>228244=:9;h1==<4=016>4663ty:444?:3y>5=>=i<16=5k51ba8yv7?i3:1>v3>8`82gf=:91i1===4}r3;f?6=:r7:4o4>cb9>5=b=9990q~?7c;296~;60j0:on5219g955452z?28g8105=:9h31==?4=0`3>46534;287??2:?244>029>7ce=99;019<7:022?824j3;;=63;3b8244=:9;;1==?4=001>46434;8:7??1:?27f<68;1v<7?:18187?n3k>70?65;3`g>{t90;1<74ed34;2?7??3:p5<4=838p1<7=:0a`?87><3;;?6s|18194?4|58386{t90<1<7ht=0;5>72734;j:7??1:?2ea<68;16=4=5110894>c28:970:>c;336>;61h0:46634>8i7??1:?263<68;16=>951138944d28:970?=e;336>{t90=1<7d3<583i69883>7}:9031=nm4=0;b>4643ty:5l4?:3y>5<;|q2=a<72;q6=4j51ba894g428:97p}>9d83>7}:90o1=nm4=0c1>4653ty:5k4?:3y>5<`=9ji01<;|q2e4<72;q6=l?51ba894g428:87p}>a383>7}:9h81=nm4=0c0>4633ty:m>4?:3y>5d5=9ji01<76:021?xu6i=0;672734;jo7??2:?2=4<68:16=5o5110894>e28::70:>a;336>;39k0:<<5218`9554<5;21==<4=2dg>46634>9;7??1:?772<68;168>j51138944028:870?=b;337>;6;10:<<5212c955752z?2e1cb9~w4g12909w0?n6;3`g>;6ij0:<>5rs0c4>5<5s4;j;7?lc:?2e=<68:1vae8246=z{8kj6=4={<3be?7dk27:mh4>029~w4ge2909w0?nb;3`g>;6i>0:<>5rs0c`>5<5s4;jo7?lc:?2ec<68;1vag8246=z{8kn6=4={<3ba?7dk27:n=4>029~w4ga2909w0?nf;3`g>;6i10:5<5s4;i<7?lc:?2e2<68;1v039~w4dc2908wS?md:?76<<688168>951118yv4b13:1?v3=e`82gf=:90l1==?4=03a>4663ty9io4?:3y>6`d=:=:01?kn:020?xu5mj0;6?u22da9616<5;oj6<>=;|q1aa<72:q6>hm5a49>6`d=i<16>hk51ba8yv5d:3:1?v34613ty8o>4?:2y>7f5=:=:0196j:020?82?<3;;;6s|3b694?5|5:i86l;4=2a1>d3<5:i>67273ty8jo4?:3y>7c0=i<168=>51ba8yv5ak3:1>v3cb9>056=9980q~=ie;296~;4nl0:on52412955252z?0bc<6kj168=>51118yv2793:1?vP;009>7a5=no168:k59e9~w1642908wS:?3:?;`?77927:?o4>009~w16a2909wS:?f:?be?7792wx8<950;0xZ17034>:;7<;0:p04?=838p19?8:`78917c28ih7p};1`83>7}:<8k1=nm4=53g>4653ty?=o4?:3y>04d=9ji019?l:020?xu39j0;6?u240a95fe<5=;o6<><;|q766<72;qU8?=4=500>7273ty?>84?:3y>075=i<168?l51ba8yv25>3:1>v3;2782gf=:<;k1===4}r613?6=:r7?>:4>cb9>07g=9980q~:=8;296~;3:10:on5243c9552957>52z?76<<6kj168?l51118yv25i3:1>v3;2`82gf=:<;h1==<4}r61g?6=:rT?>n5243a96168=7>52z?776<6kj168>;51138yv24:3:1>v3;3382gf=:<:91===4}r600?6=:r7?>n4n5:?770<6kj1v9=9:180824:3;;=63;728244=:<:31=nm4}r603?6=:r7??:4>cb9>017=9980q~:<8;296~;3;10:on5242;95558m7>52z?77d<6kj168>h51108yv24j3:1>v3;3c82gf=:<:l1===4}r60g?6=:r7??n4>cb9>016=9990q~:8i7>52z?77`<6kj168>651168yv24n3:1>v3;3g82gf=:<=;1===4}r674?6=:r7?8=4>cb9>06>=9990q~:;1;296~;3<80:on5242;9554=97>52z\720=::lo1==?4}r65`?6=:rT?:i5247f9616<>7>52z?731<6kj168:851138yv20;3:1>v3;7282gf=:<>>1===4}r641?6=:r7?:i4n5:?733<6kj1v99j:180[20m27?;h4=419>a<<6881v96>:181820m3k>70:72;3`g>{t<191<7<3<5=2h6e28:97p};8483>7}:<1?1=nm4=5:b>4643ty?4;4?:3y>0=0=9ji0196n:021?xu30>0;6?u249595fe<5=2j6<>;;|q7<=<72;q685651ba891>d28:97p};8883>7}:<131=nm4=5:`>4633ty?4l4?:3y>0=g=9ji0196m:020?xu30k0;6?u249`95fe<5=2h6<><;|q77}:<1o1=nm4=5;7>4653ty?4k4?:3y>0=`=9ji0197<:021?xu3190;6?u248295fe<5=396<>=;|q7=4<72;q684?51ba891?528:87p};9383>7}:<081=nm4=5;0>4643ty?5>4?:3y>0<5=9ji0197;:020?xu31<0;6?uQ487896e228::7psm3d694?1=;h08hvF42900e>:;:188k6>62900ek650;9l7<`=831d?ll50;9j=1<722h8h>4?:083>5}#;0o1==>4H2f1?M5e=2.8h44r.85h4ia:J0`7=O;k?0(5;n074?6=3k8?<7>56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g2?6=93:152z\105=::=:1=i=4}rc6>5<5sWk>70<;0;33b>{t1m0;6?uQ9e9>616=:o=0q~7::181[?2348?<77:;|q:5?6=:rT2=63=418:2>{t99:1<76>a349o:7?k3:~f6gd290>6?49{%1:a?`f3A9o>6F5;hc6>5<;6=44b363>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n=6=4>:183!5c139oj6a>d283>!5c13;o?65rs363>5<5sW8?<63=4182`6=z{h?1<7l2798=4=f69~w<3=838pR4;4=363><30183>7}::=:1?5h4=2f5>4b43twi?:?50;796?0|,:3n6ko4H2f1?M5e=2.:=<49;%3ab?2f;2c297>5;h;g>5<>i5<90;66l=4183>3<729q/?i75fb9j55`=83.8h44>0g98m<3=83.8h4465:9j=3<72-9o5779;:k07}Y:=:01?:?:0f0?xuf=3:1>vPn5:?105<68o1v4j50;0xZ;6?h8;|q:1?6=:rT2963=418:1>{t180;6?uQ909>616=1?1v<>?:1818438393j6355;092~"41l0mm6F5<>of=3:17b<;0;29?g4383:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k6;295?6=8r.8h44d298yv4383:1>vP=419>616=9m90q~o::181[g2348?<7??f:p=a<72;qU5i5225296c16=4={_;6?843833>7p}61;296~X>92798=466:p556=838p1?:?:2:e?85c>3;o?6srb26g>5<42;0?w)=6e;d:?M5c:2B8n85+10392>"6jo0?m>5f9083>>of=3:17b<;0;29?g4383:197<56z&0=`?650;9je0<722c2h7>5;h;2>5<2oi0e<>i:18'7a?=99l07d7::18'7a?=1<10e4850;&0`<<>>21b?5h50;&0`<<40o10e?h8:18'7a?=:o=07b?k3;29 6b>28n876sm3e794?7=83:p(>j6:0af?j7cn3:1(>j6:0f0?>{ti<0;6?uQa49>bg<68o1v4?50;0xZ<7<5oh15;5rs8f94?4|V0n01kl52g58yv4503:1>vP=299>bg<40o1v?:?:181[43827mn7?k3:p7g0=838p1kl5949>7a3=9ml0qpl>:182>5<7s-9i87:4$2;f>4673-9o57=kf:&2fc<3i:1djk4?:%1g=?7c;21v?:?:181[4382798=4=419~wd3=838pRl;4=363>d3;62ol0(>l;:49~yg53m3:1?7<54z&0=`3}#;0o1jo5+1cd90d5;6=44i30;>5<>o>93:17ohm:185>5<7s-9o57hl;h33b?6=,:n26<>i;:k:1?6=,:n264;4;h;5>5<#;m315;54i2:e>5<#;m31?5h4;h0e3?6=,:n26?h8;:m2`6<72-9o57?k3:9~f6b2290:6=4?{%1g=?7dm2e:hk4?:%1g=?7c;21vl;50;0xZd3<5oh1==h4}r;2>5<5sW3:70hm:848yv?c2909wS7k;7`03ty9>54?:3y]67><5oh1?5h4}r074?6=:rT98=52fc82`6=z{:h=6=4={<3<5:n>6m3;;<6*54}r074?6=:rT98=5225296166=4={_c6?84383k>7p}61;296~X>92798=461:p6?6=;r798=4=299>616=1m16=7hi;%1a0?31}#;0o1j45G3e08L6d23-;:=784$0`e>1g43`3:6=44i`794?=h:=:1<75m25294?3=:37j:g`8 4da2=k87b<;0;29?l4503:17do::188m3:1o68o0;6)=k9;33b>=n1<0;6)=k9;;6?>o>>3:1(>j6:848?l5?n3:1(>j6:2:e?>o5n>0;6)=k9;0e3>=h9m91<7*51;294~"4l00:oh5`1ed94?"4l00:h>54}rc6>5<5sWk>70hm:02e?xu>93:1>vP61:?ef??13ty2h7>52z\:`>;aj38m;6s|23:94?4|V;8370hm:2:e?xu5<90;6?uQ25289cd=9m90q~=m6;296~;aj33>70=k5;3gb>{zj80;6<4?:1y'7g2=<2.85h4>019'7a?=;ml0(;7p}n5;296~Xf=2798=4n5:p=4<72;qU5<522529=4=z{;0;6>u2252967><5;>;64j4=08eb>"4j=0>7psm34294?5=:3>p(>7j:g;8L6b53A9i96*>1085?!7en3>j?6g61;29?lg22900c?:?:188f727290>6?49{%1:a?`e3-;ij7:n3:m105<722c9>54?::kb1?6=3`3o6=44i8394?=enk0;6;4?:1y'7a?=nj1b==h50;&0`<<68o10e4;50;&0`<<>=21b5;4?:%1g=??132c84k4?:%1g=?5?n21b>k950;&0`<<5n>10c4<729q/?i751bg8k4ba290/?i751e18?xuf=3:1>vPn5:?ef?77n2wx5<4?:3y]=4=:nk02:6s|9e83>7}Y1m16jo4=f69~w74?2909wS<=8:?ef?5?n2wx>9>50;0xZ72734li6ji;%3ab?2f;2emj7>5$2f:>4b432wx>9>50;0xZ727348?<7<;0:pe0<72;qUm8522529e0=z{0;1<73A9o>6F2.:nk4;a29j=4<722cj97>5;n074?6=3k8?<7>55;092~"41l0mn6*>bg87e6=h:=:1<75f23:94?=ni<0;66g6d;29?l?62900nkl50;494?6|,:n26km4i02e>5<#;m31==h4;h;6>5<#;m315854i8494?"4l002:65f39d94?"4l0084k54i3d4>5<#;m31>k94;n3g7?6=,:n26t$2f:>4eb3f;oj7>5$2f:>4b432wxm84?:3y]e0=:nk0:52z\16==:nk084k5rs363>5<5sW8?<63ib;3g7>{t;k<1<75<6290;w)=m4;68 6?b28:;7)=k9;1gb>"6jo0?m>5`fg83>!5c13;o?65rs363>5<5sW8?<63=418105=z{h?1<7:181[?6348?<77>;|q1>5<4s48?<7<=8:?105<>l27:6kh4$2`7>0=zuk9>>7>53;090~"41l0m56F>i5<90;66l=4183>0<52?q/?4k5fc9'5g`=5;cda>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n>6=4>:183!5c13;hi6a>dg83>!5c13;o?65rs`794?4|Vh?01kl511d8yv?62909wS7>;<07}Y:;201kl539d8yv4383:1>vP=419>bg<6l:1v>l9:1818`e20?01>j::0fe?x{e93:1=7>50z&0f1<33-92i7??0:&0`<<4lo1/=oh54`18kc`=83.8h44>d298yv4383:1>vP=419>616=:=:0q~o::181[g2348?<7o:;|q:5?6=:rT2=63=418:5>{t:3:1?v3=41816==::=:15i521;de?!5e<3?0qpl<5283>6<52=q/?4k5f89K7a4<@:h>7)?>1;48 4da2=k87d7>:188md3=831d>9>50;9a616=83?1>78t$2;f>cd<,8hm69o<;n074?6=3`8947>5;hc6>5<>daj3:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k5;295?6=8r.8h44>cd9l5a`=83.8h44>d298yvg22909wSo:;46a3ty2=7>52z\:5>;aj33=7p}6d;296~X>l27mn7=838pR?<7;6>a3ty98=4?:3y]616<5oh1=i=4}r1a2?6=:r7mn77:;<1g1?7cn2wvn<4?:083>5}#;k>186*<9d8245=#;m31?ih4$0`e>1g43flm6=4+3e;95a5<3ty98=4?:3y]616<5;>;6?:?;|qb1?6=:rTj963=418b1>{t180;6?uQ909>616=181v?4?:2y>616=:;201?:?:8f8947:t$2;f>c?<@:n97E=m5:&254<13-;ij7:n3:k:5?6=3`k>6=44o363>5<;6=4::385!5>m3li7)?mf;6b7>i5<90;66g=2983>>of=3:17d7k:188m<7=831ijo4?:783>5}#;m31jn5f11d94?"4l00:!5c133=76g<8g83>!5c1393j65f2g594?"4l009j:54o0f0>5<#;m31=i=4;|`0`0<7280;6=u+3e;95fc52z\b1>;aj3;;j6s|9083>7}Y1816jo466:p=a<72;qU5i52fc81b2=z{;836=4={_01<>;aj393j6s|25294?4|V;>;70hm:0f0?xu4j?0;6?u2fc8:1>;4l<0:hk5r}c394?7=83:p(>l;:59'7j6:2fe?!7en3>j?6aif;29 6b>28n876s|25294?4|V;>;70<;0;074>{ti<0;6?uQa49>616=i<1v4?50;0xZ<7<5;>;64?4}r094?5|5;>;6?<7;<074??c34;1jk5+3c691>{zj:?i6=4<:080M5e=2.85h4>c29jeg<722cjo7>5;n1;53;294~"41l08h<5G3e08L6d23-9o57=k0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876s|ac83>7}Yik16?ij51118yvgd2909wSol;<1g`?77:2wx?5650;0xZ6>?349oh7?lc:~f63f29086<4<{I1a1>"41l0:o>5fac83>>ofk3:17b=78;29?g5cl3:1?7>50z&0=`<4l81C?i<4H2`6?!5c139o<6*>bg87e6=n9981<7*5$2f:>4b432wxmo4?:3y]eg=:;mn1===4}rc`>5<5sWkh70=kd;336>{t;121<75<42808wE=m5:&0=`<6k:1bmo4?::kbg?6=3f9347>5;c1g`?6=;3:1l:;%1g=?5c82.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{tik0;6?uQac9>7ab=9990q~ol:181[gd349oh7??2:p7=>=838pR>67;<1g`?7dk2wvn>;7:180>4<4sA9i96*<9d82g6=nik0;66gnc;29?j5?03:17o=kd;297?6=8r.85h47)=k9;1g4>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n266s|39:94?4|V:2370=kd;3`g>{zj:?<6=4<:080M5e=2.85h4>c29jeg<722cjo7>5;n1;53;294~"41l08h<5G3e08L6d23-9o57=k0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876s|ac83>7}Yik16?ij51118yvgd2909wSol;<1g`?77:2wx?5650;0xZ6>?349oh7?lc:~f63129086<4<{I1a1>"41l0:o>5fac83>>ofk3:17b=78;29?g5cl3:1?7>50z&0=`<4l81C?i<4H2`6?!5c139o<6*>bg87e6=n9981<7*5$2f:>4b432wxmo4?:3y]eg=:;mn1===4}rc`>5<5sWkh70=kd;336>{t;121<75<42808wE=m5:&0=`<6k:1bmo4?::kbg?6=3f9347>5;c1g`?6=;3:1l:;%1g=?5c82.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{tik0;6?uQac9>7ab=9990q~ol:181[gd349oh7??2:p7=>=838pR>67;<1g`?7dk2wvn>6=:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f61229086=4?{%1:a?7c82B8h?5G3c78 6b>28im7)?mf;6b7>o68;0;6)=k9;33b>=n9991<7*4?:383>5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a70c=8391<7>t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e;?:1<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432wi?;<50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm37694?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e;?21<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432wi?8m50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f6>329086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj:2>6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c1;2?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg5??3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`03=<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi?:750;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f61f29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo=8b;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd4?j0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj:=n6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c14b?6=13:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9j552=83.8h44>0g98m462290/?i7511d8?l77>3:1(>j6:02e?>o68>0;6)=k9;33b>=n9921<7*5$2f:>4b432wi?l?50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f6g429096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo=n4;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd4i<0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj:k<6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c1bl:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th8m44?:383>5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a7dg=8321<7>t$2;f>63<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?l77<3:1(>j6:02e?>o68<0;6)=k9;33b>=n99<1<7*5$2f:>46a32e:on4?:%1g=?7c;21vn>o=:180>5<7s-92i7?k0:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`0f4<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd4j;0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:0f3?M5c:2B8n85+3e;95f`<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yv?3290;<145??6349jn77>;<17`??6349?i77>;<17b??6349><77>;<165??6349>>77>;<167??6349>877>;|q2g<<727<`=1m16?lm59e9>727=1m16?ll59e9~w6232909wS=;4:?030<68;1v>:::185853l38?<63<548bf>;4=l0:<>5234a9557<5:=<6<>>;<1b5?7792wx?9850;7x962b2;>;70=:5;c`?852m3;;>63<798244=:;h91==?4}r173?6==r788k4=419>700=ij16?;>51108961>28::70=n4;335>{t;=21<7;t=273>727349>;7ol;<156?77:278;l4>009>7d3=99;0q~=;9;291~;4=8098=5234:9ef=:;?>1==<4=25a>466349j;7??1:p71g=83;=:363?85213kh70=96;336>;40?0:>;|q00g<72?q6?8=52528963f2hi01>87:021?85?<3;;?63<7e8244=:;h21==?4}r17g?6=70d=ij16?5:51108961b28::7p}<5e83>6}:;<<1mo5234g95fe<5:<;6<><;|q01c<72:q6?895ac9>736=9ji01>8=:020?xu4>80;6>u234:9eg=:;?81=nm4=247>4643ty8:>4?:2y>70?=ik16?;:51ba8960128:87p}<6483>6}:;<;|q022<72;q6?8l5ac9>73>=9ji0q~=99;296~;497=78:p73d=838p1>:i:`7896312:237p}<6b83>7}:;<:1m85234597=>52z?0146>?3ty8;=4?:3y>702=i<16?8l539:8yv5093:1?v3<708105=:;181==?4=2:6>4663ty8;?4?:3y>727=i<16?:=51ba8yv50<3:1>v3<708:1>;4?<0:on5rs255>5<2s49jj7??2:?030<68:16?:=51138961a28ih70=m1;336>{t;>=1<74ed349=838p1>97:0a`?850n3;;?6s|36;94?4|5:=26{t;>h1<74ed3499l:0a`?850n3;;;6s|36f94?4|5:=o6{t;1;1<7{t;1>1<74ed3493;7??2:p7=3=838p1>6::0a`?85?>3;;?6s|39494?4|5:2=67i:87896g528ih7p}7}:;h;1=nm4=2cb>4653ty8m>4?:3y>7d5=9ji01>on:020?xu4i=0;6?u23`695fe<5:kj6<>;;|q0e0<72;q6?l;51ba896gf28:>7p}7}:;h<1=nm4=2cb>4603ty8m:4?:3y>7d1=9ji01>on:025?xu4i10;6?u23`:95fe<5:kj6<>7;|q0e<<72;q6?l751ba896g528:87p}7}:;hk1=nm4=2c1>4653ty8mo4?:3y]7dd<5:ki6?:?;|q0ef<72;q6?lm5252896d628:87p}7}:;hi158523`d95fe52z?0e`<6kj16?lh51118yv5e83:1>v3;4j:0:on5rs2`2>5<5s49i=7?lc:?0f6<68;1v>l=:18185e:3;ho6352;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`0a4<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi?h<50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm3dd94?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj:l;6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c1e5?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg5b13:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~ft$2;f>46b3A9o>6Fh4$0`2>46a3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg5a03:1>7>50z&0=`<68l1C?i<4H2`6?!5c132n7E?j6:0f0?>{eo6880;6)=k9;33b>=h9ji1<7*;47>52;294~"41l0:"6j80:5$2f:>4b432wi8;850;094?6|,:3n6<>j;I1g6>N4j<1/?i758d9K56`<,8h:6<>i;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:10qo:>3;296?6=8r.85h4>0d9K7a4<@:h>7)=k9;:f?M74n2.:n<4>0g9'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm48`94?4=83:p(>7j:02f?M5c:2B8n85+3e;9<`=O9:l0(:02e?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>54}c61a?6=:3:1l:;%1g=?>b3A;8j6*>b0824c=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26i;:m2gf<72-9o57?k3:9~ft$2;f>46b3A9o>6Fh4$0`2>46a3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg`429096=4?{%1:a?77m2B8h?5G3c78 6b>21o0D<=i;%3a5?77n2.:nk4;a29j557=83.8h44>0g98k4ed290/?i751e18?xd31l0;6?4?:1y'7j=;I1a1>"4l003i6F>3g9'5g7=99l0(j6:02e?>i6kj0;6)=k9;3g7>=zj?81<7<50;2x 6?b28:n7E=k2:J0f0=#;m314h5G12d8 4d628:m7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*m3;;i6Fbg87e6=n99;1<7*52;294~"41l0:"6j80:5$2f:>4b432wi9k4?:383>5}#;0o1==k4H2f1?M5e=2.8h447e:J27c=#9k;1==h4$0`e>1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;21vn8j50;094?6|,:3n6<>j;I1g6>N4j<1/?i758d9K56`<,8h:6<>i;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:10qo;l:181>5<7s-92i7??e:J0`7=O;k?0(>j6:9g8L45a3-;i=7??f:&2fc<3i:1b==?50;&0`<<68o10c0d9K7a4<@:h>7)=k9;:f?M74n2.:n<4>0g9'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm5`83>7<729q/?4k511g8L6b53A9i96*N6;o1/=o?511d8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?65rb4;94?4=83:p(>7j:02f?M5c:2B8n85+3e;9<`=O9:l0(:02e?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>54}c7;>5<5290;w)=6e;33a>N4l;1C?o;4$2f:>=c<@89m7)?m1;33b>"6jo0?m>5f11394?"4l00:5<#;m31=i=4;|`63?6=:3:1l:;%1g=?>b3A;8j6*>b0824c=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26i;:m2gf<72-9o57?k3:9~f03=8381<7>t$2;f>46b3A9o>6Fh4$0`2>46a3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg3329096=4?{%1:a?77m2B8h?5G3c78 6b>21o0D<=i;%3a5?77n2.:nk4;a29j557=83.8h44>0g98k4ed290/?i751e18?xd1i3:1>7>50z&0=`<68l1C?i<4H2`6?!5c132n7E?j6:0f0?>{e>00;6?4?:1y'7j=;I1a1>"4l003i6F>3g9'5g7=99l0(j6:02e?>i6kj0;6)=k9;3g7>=zj?21<7<50;2x 6?b28:n7E=k2:J0f0=#;m314h5G12d8 4d628:m7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*m3;;i6Fbg87e6=n99;1<7*52;294~"41l0:"6j80:5$2f:>4b432wi:84?:383>5}#;0o1==k4H2f1?M5e=2.8h447e:J27c=#9k;1==h4$0`e>1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;21vn;:50;094?6|,:3n6<>j;I1g6>N4j<1/?i758d9K56`<,8h:6<>i;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:10qo8<:181>5<7s-92i7??e:J0`7=O;k?0(>j6:9g8L45a3-;i=7??f:&2fc<3i:1b==?50;&0`<<68o10c0d9K7a4<@:h>7)=k9;:f?M74n2.:n<4>0g9'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm40394?4=83:p(>7j:0ag?M5c:2B8n85+3e;9<`=O9:l0(:0f0?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>54}c6:=?6=:3:1l:;%1g=?>b3A;8j6*>b082`6=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26t$2;f>4ec3A9o>6Fh4$0`2>4b43-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg21<3:1>7>50z&0=`<6km1C?i<4H2`6?!5c132n7E?j6:0f0?>{e<o6880;6)=k9;33b>=h9ji1<7*;o7>52;294~"41l0:oi5G3e08L6d23-9o576j;I30b>"6j80:h>5+1cd90d55$2f:>4b432wi?ko50;094?6|,:3n6N4j<1/?i758d9K56`<,8h:6ce9K7a4<@:h>7)=k9;:f?M74n2.:n<4>d29'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm44f94?4=83:p(>7j:0ag?M5c:2B8n85+3e;9<`=O9:l0(:0f0?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>54}c645?6=:3:1l:;%1g=?>b3A;8j6*>b082`6=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26t$2;f>4ec3A9o>6Fh4$0`2>4b43-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg5a:3:1>7>50z&0=`<6km1C?i<4H2`6?!5c132n7E?j6:0f0?>{e;o?1<7<50;2x 6?b28io7E=k2:J0f0=#;m314h5G12d8 4d628n87)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*=57>52;294~"41l0:oi5G3e08L6d23-9o576j;I30b>"6j80:h>5+1cd90d55$2f:>4b432wi8<650;094?6|,:3n6N4j<1/?i758d9K56`<,8h:6ce9K7a4<@:h>7)=k9;:f?M74n2.:n<4>d29'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm49294?4=83:p(>7j:0ag?M5c:2B8n85+3e;9<`=O9:l0(:0f0?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>54}c616?6=:3:1l:;%1g=?>b3A;8j6*>b082`6=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26t$2;f>4ec3A9o>6Fh4$0`2>4b43-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg27>3:1>7>50z&0=`<6km1C?i<4H2`6?!5c132n7E?j6:0f0?>{e:k81<7<50;2x 6?b28io7E=k2:J0f0=#;m314h5G12d8 4d628n87)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*52;294~"41l0:oi5G3e08L6d23-9o576j;I30b>"6j80:h>5+1cd90d55$2f:>4b432wi>h=50;094?6|,:3n6N4j<1/?i758d9K56`<,8h:6ce9K7a4<@:h>7)=k9;:f?M74n2.:n<4>d29'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm2e594?4=83:p(>7j:0ag?M5c:2B8n85+3e;9<`=O9:l0(:0f0?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>54}c0g5?6=:3:1l:;%1g=?>b3A;8j6*>b082`6=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26t$2;f>4ec3A9o>6Fh4$0`2>4b43-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg4d=3:1>7>50z&0=`<6km1C?i<4H2`6?!5c132n7E?j6:0f0?>{e:kl1<7<50;2x 6?b28io7E=k2:J0f0=#;m314h5G12d8 4d628n87)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*52;294~"41l0:oi5G3e08L6d23-9o576j;I30b>"6j80:h>5+1cd90d55$2f:>4b432wi>o=50;094?6|,:3n6N4j<1/?i758d9K56`<,8h:6ce9K7a4<@:h>7)=k9;:f?M74n2.:n<4>d29'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm3b83>4<729q/?i752368L6d23A;:<6*>b0824c=#9j;1><=4i307>5<#;m31>?:4;|`0`?6=93:1o5:=0;6)=k9;010>=zj:l1<7?50;2x 6b>2;8?7E=m5:J255=#9k;1==h4$0a2>4c53`8987>5$2f:>74332wi8=4?:083>5}#;m31>?:4H2`6?M7682.:n<4>0g9'5f7=9l90e?<;:18'7a?=:;>07pl;1;295?6=8r.8h44=259K7g3<@8;;7)?m1;33b>"6k80:i95f23694?"4l009>954}c61>5<6290;w)=k9;010>N4j<1C=<>4$0`2>46a3-;h=7?j5:k161<72-9o57<=4:9~f15=83;1<7>t$2f:>7433A9i96F>119'5g7=99l0(:0g5?l45<3:1(>j6:307?>{e<=0;6<4?:1y'7a?=:;>0D>l:;I324>"6j80:51;294~"4l009>95G3c78L4773-;i=7??f:&2g4<6m11b>?:50;&0`<<5:=10qo:9:182>5<7s-9o57<=4:J0f0=O98:0(:02e?!7d9389<6g=2583>!5c1389865rb5594?7=83:p(>j6:307?M5e=2B:==5+1c3955`<,8i:67E?>0:&2f4<68o1/=n?51dc8m743290/?i752368?xd313:1=7>50z&0`<<5:=1C?o;4H033?!7e93;;j6*>c082af=n:;>1<7*i6=4>:183!5c138986Fi;%3`5?7bn2c9>94?:%1g=?45<21vn9m50;394?6|,:n26?<;;I1a1>N6991/=o?511d8 4e62;8:7d<=4;29 6b>2;8?76sm4e83>4<729q/?i752368L6d23A;:<6*>b0824c=#9j;1>?<4i307>5<#;m31>?:4;|`7a?6=93:1o5:=0;6)=k9;010>=zj<:1<7?50;2x 6b>2;8?7E=m5:J255=#9k;1==h4$0a2>4`33`8987>5$2f:>74332wi9<4?:083>5}#;m31>?:4H2`6?M7682.:n<4>0g9'5f7=9oi0e?<;:18'7a?=:;>07pl:2;295?6=8r.8h44=259K7g3<@8;;7)?m1;33b>"6k809<55f23694?"4l009>954}c70>5<6290;w)=k9;010>N4j<1C=<>4$0`2>46a3-;h=7t$2f:>7433A9i96F>119'5g7=99l0(:0gg?l45<3:1(>j6:307?>{e100;6<4?:1y'7a?=:;>0D>l:;I324>"6j80:51;294~"4l009>95G3c78L4773-;i=7??f:&2g4<6ml1b>?:50;&0`<<5:=10qoh=:182>5<7s-9o57<=4:J0f0=O98:0(:02e?!7d93;m<6g=2583>!5c1389865rb362>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7i5:=0;6)=k9;010>=zj;>?6=4>:183!5c138986F94?:%1g=?45<21vn?:::182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d938;>6a=2583>!5c1389865rb365>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7i5:=0;6)=k9;010>=zj;>36=4>:183!5c138986F94?:%1g=?45<21vn?:6:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d93;mj6a=2583>!5c1389865rb36b>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7i5:=0;6)=k9;010>=zj;>h6=4>:183!5c138986F94?:%1g=?45<21vn?:k:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d938;;6a=2583>!5c1389865rb2gg>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7<>7:m161<72-9o57<=4:9~f6`4290:6=4?{%1g=?45<2B8n85G1028 4d628n87)?l1;022>i5:=0;6)=k9;010>=zj:l<6=4>:183!5c138986Fi;%3`5?7a>2c9>94?:%1g=?45<21vn>h6:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d938:96a=2583>!5c1389865rb522>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7<>9:m161<72-9o57<=4:9~f162290:6=4?{%1g=?45<2B8n85G1028 4d628n87)?l1;02a>i5:=0;6)=k9;010>=zj=:<6=4>:183!5c138986Fi;%3`5?47n2c9>94?:%1g=?45<21vn9>6:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d93;mm6a=2583>!5c1389865rb533>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7?i2:m161<72-9o57<=4:9~f175290:6=4?{%1g=?45<2B8n85G1028 4d628:m7)?l1;025>o5:=0;6)=k9;010>=zj=;<6=4>:183!5c138986F94?:%1g=?45<21vn9?j:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d938:o6a=2583>!5c1389865rb500>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7<>a:m161<72-9o57<=4:9~f14c290:6=4?{%1g=?45<2B8n85G1028 4d628:m7)?l1;3e1>o5:=0;6)=k9;010>=zj=8m6=4>:183!5c138986F94?:%1g=?45<21vn9:6:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d93;mn6a=2583>!5c1389865rb57b>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7i5:=0;6)=k9;010>=zj=?n6=4>:183!5c138986F94?:%1g=?45<21vn98::182>5<7s-9o57<=4:J0f0=O98:0(:02e?!7d938:h6g=2583>!5c1389865rb544>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7?i9:m161<72-9o57<=4:9~f10f290:6=4?{%1g=?45<2B8n85G1028 4d628n87)?l1;3e<>i5:=0;6)=k9;010>=zj=:183!5c138986Fi;%3`5?7a?2c9>94?:%1g=?45<21vn99?:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d938:86a=2583>!5c1389865rb55e>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7i5:=0;6)=k9;010>=zj=3j6=4>:183!5c138986Fi;%3`5?4682c9>94?:%1g=?45<21vn97k:182>5<7s-9o57<=4:J0f0=O98:0(:02e?!7d93;m?6g=2583>!5c1389865rs3794?4|5:oi6?:4=2ge>4663ty9:7>52z?0af<5<278j=4>009~w7b=838p1>kl:3f896`328::7p}87??1:?0g?45<2wx?i4?:2y]7a=:=<0:<<523e8161=z{:o1<7=t^2g8900=99;01>k52368yv5a2908wS=i;<74>466349m6?<;;|q74?6=;rT?<63:8;335>;3838986s|4083>6}Y<816944>009>04<5:=1v9<50;1xZ14<586=4<{_60?83e28::70:<:307?xu3<3:1?vP;4:?6g?77927?87<=4:p00<72:qU88525e8244=:<<09>95rs5494?5|V=<018k51138910=:;>0q~:8:180[2034?m6<>>;<64>7433ty?47>53z\7<>;183;;=63;8;010>{t<00;6>uQ489>24<68816844=259~w1d=839pR9l4=709557<5=h1>?:4}r6`>5<4sW>h708<:022?82d2;8?7p};d;297~X3l27=87??1:?7`?45<2wx8h4?:2y]0`=:><0:<<524d8161=z{=l1<7=t^5d8930=99;019h52368yv372908wS;?;<44>46634?;6?<;;|q65?6=;rT>=6398;335>;2938986s|5383>6}Y=;16:44>009>17<5:=1v8=50;1xZ05<5?k1==?4=419672f=:{t=?0;6>u23d79`>;3i90o70;9:0a`?xu2?3:1?v3cb9~w0?=839p1>k::02891g728:018751ba8yv3f2908w0=j5;32?82f83;:70;n:0a`?xu2j3:1?v3;3i90:>63:b;3`g>{t=j0;6>u23d7956=:525b82gf=z{42<5=k;6<:4=4f95fe6:?7e5<6>27>j7?lc:p25<72:q6?h;5199>0d6=9116:=4>cb9~w37=838p1>k::0;8937=9ji0q~8=:18185b=3;i708=:0a`?xu1;3:1>v3;a182g>;1;3;ho6s|6583>7}:4c<5??1=nm4}r45>5<5s4>j<7?i;<45>4ed3ty=;7>52z?7e5<5827=;7?lc:p2=<72;q68l>5209>2=<6kj1v;750;1x96c22;8019o?:30893?=9ji0q~8n:18085b=38870:n0;00?80f28ih7p}9b;291~;4m<0=n63;a185f>;4mj0=n63;4m=0286s|7d83>7}:;li1:k523d4930=z{0=1<7=t^8589<>=99;014952368yv??2909w0=jb;;1?8??28ih7p}69;297~X>1272m7??1:?:=?45<2wx5l4?:7y>7`3=1?168l>5979>7`e=1?16?h85f99>7`2=n1165l4>cb9~w?:4}r;`>5<5s49nn77<;<;`>4ed3tym>7>53z\e6>;a;3;;=63i2;010>{tn:0;6?u23d`9ea=:n:0:on5rs02b>5<5s49n97??a:?0a3<68h1v289~w4272909w0=j5;374>;4mj0:8=5rs072>5<5s49no7?:1:?0ag<6=k1v<;l:18185b=3;>o63609~w40b2909w0=jc;35a>;4mk0:ni5rs0`1>5<5s49no7?m2:?0ag<6jj1v?:>:180[439279mo4>cb9>617=:;>0q~<;2;297~X5<;16>o?51ba897252;8?7p}=4583>6}Y:=>01?l=:0a`?843<38986s|25794?5|V;>>70;5<<09>95rs365>5<4sW8?:63=b882gf=::=<1>?:4}r073?6=;rT98:522cd95fe<5;><6?<;;|q10=<72:qU>964=3a6>4ed348?47<=4:p61?=839pR?:6;<0`f?7dk279844=259~w72f2908wS<;a:?1`4<6kj16>9o52368yv43j3:1?vP=4c9>6a1=9ji01?:m:307?xu5uQ25a897bc28ih70<;c;010>{t:=n1<7=t^36g?84b;3;ho63=4e8161=z{;>m6=4={<1f1?43n27?m=4=4g9~w7ge2909w0:n0;075>;5ik0:<<5rs3`2>5<5s4>j<7<;2:?1f4<6881v?l=:18182f838?863=b38244=z{;h86=4={<6b4?43=279n>4>009~w7d>2909w0:n0;072>;5j00:<<5rs3`e>5<5s4>j<7<;7:?1fc<6881v?m::18182f838?463=c48244=z{;ii6=4={<6b4?431279oo4>009~w7b62909w0:n0;07e>;5l80:<<5rs3f4>5<5s4>j<7<;b:?1`2<6881v?jk:18182f838?o63=de8244=z{;o86=4={<6b4?43l279i>4>009~w7ca2909w0=j5;0fb>;3i909ik5rs21f>5<5s49no7==c:?0a3<4;;1v>=i:18182f8399o63?6=4={<6b4?53<278i94<459~w6>62909w0:n0;1;5>;4m=084<5rs2:0>5<5s4>j<7=73:?0a1<40:1v>66:18185b=3935638278i44>009~w6?a2909w0=j4;1:b>;4mh0:5<5s49n87=nb:?0a7<68;1v>k?:18185b>3=;70=j2;3`g>{t;l;1<74ed349n>7??3:p7`1=838p1>k9:214?85bi3;ho6s|3d:94?4|5:o267?lc:?0aa<5:=1v>kj:18185bn3;ho63029~w6`52909w0=i1;3`g>;4n;0:<<5rs2d0>5<4sW9m?63?:4}r1e1?6=:r78j94>cb9>7c3=99;0q~=i7;297~X4n>16?k65113896`02;8?7p}1}:6`1349n:7=i6:?0b=<6kj1v>h6:180[5a1278jl4>cb9>7c?=:;>0q~=ia;296~;4mk08j;523gc9557;=7>53z\744=:<981=nm4=522>7433ty?7`d=<9;019>=:022?xu38<0;6>uQ4178916128ih70:?5;010>{t<9<1<716434>;:7??1:p051=839pR9>8;<63;3810:on5rs52:>5<4sW>;563;0b82gf=:<931>?:4}r63g?6=:r7?m=4;089>05e=99;0q~:>0;297~X3991687}:;l<18=h4=532>4663ty?=?4?:2y]044<5=;86<>>;<626?45<2wx8<=50;0x96ce2=:m70:>3;3`g>{t<8=1<7=t^534?82603;ho63;168161=z{=;36=4={<1ff?26?27?=54>009~w17b2908wS:>e:?767<6kj168v3>5243695fe<5=886?<;;|q761<72;q6?hl54318914328::7p};2e83>6}Y<;n019h4>cb9~w14a2908wS:=f:?775<6kj168?h52368yv2483:1>v326?<;;|q70f<72;q68l>545;8912d28::7p};5`83>6}Y<>h7?lc:?71f<5:=1v9;k:18182f83><;63;5e8244=z{=?n6=4<{_66a>;3>=0:on5244g9672=87>52z?7e5<3=l168;:51138yv21=3:1?vP;649>030=99;0198::307?xu3>?0;6>u23d79033<5:oi698:;<652?7dk2wx8;950;1xZ10034>=57?lc:?722<5:=1v986:18182f83>=;63;688244=z{=;3>j0:on5247c9672=o7>52z?7e5<3>h168;m51138yv21m3:1?vP;6d9>03`=99;0198j:307?xu3>o0;6>u24`2903b<5:oh698k;<65b?7dk2wx8:>50;1xZ11734><=7?lc:?735<5:=1v99>:18185bj3>=h63;708244=z{==m6=4<{_64b>;3090:on5246d96723<7>52z?0ag<3?l1685>51138yv2>03:1?vP;999>0>;|q7=d<72:qU84o4=5;a>46634>2m7<=4:p0km:5;6?82>j3;ho6s|48f94?5|V=3o70:6e;335>;31m09>95rs5;f>5<3s49n97:6c:?7e5<31j16?hm548a891?b28ih7psa17g0>5<3sA9i96sa17g7>5<3sA9i96sa17g6>5<4sA9i96sa17g5>5<6sA9i96G=25824:|Xe`?7|9j;1qp`>6d;94?7|@:h>7D<=4;3x5?{]nm0:w:|m53cf290:wE=m5:K161<6s80vVkj51z3`5?{zf851zJ0f0=N:;>1=v?5}[dg>4}6k80vqc?9eb83>4}O;k?0E?<;:0y2>x\al3;p=n?5}|l22`b=83;pD>l:;H010?7|93wQji4>{0a2>x{i9?on6=4>{I1a1>O5:=0:w<4rZgf95~7d93wvb<8jf;295~N4j<1B>?:51z39y_`c28q:o<4r}o35b5<728qC?o;4I307>4}62tPmh7?t1b39yxh6>o;1<7?tH2`6?L45<3;p=7sUfe824e62twe=;h=:182M5e=2C9>94>{08~^cb=9r;h=7srn04e7?6=9rB8n85F23695~7=uSlo6c08~yk71n=0;65<6sA9i96G=25824:|Xe`?7|9j;1qp`>6g;94?7|@:h>7D<=4;3x5?{]nm0:w:|m53`f290:wE=m5:K161<6s80vVkj51z3`5?{zf851zJ0f0=N:;>1=v?5}[dg>4}6k80vqc?9fb83>4}O;k?0E?<;:0y2>x\al3;p=n?5}|l22cb=839pD>l:;|l22cc=839pD>l:;|l22c`=839pD>l:;|l2356=839pD>l:;|l2357=839pD>l:;|l2354=839pD>l:;|l2355=839pD>l:;|l2352=839pD>l:;|l2353=839pD>l:;|l2350=83>pD>l:;|l2351=838pD>l:;|l235>=839pD>l:;|l235?=83>pD>l:;|l235g=838pD>l:;|l235d=838pD>l:;|l235e=838pD>l:;|l235b=838pD>l:;|l235c=838pD>l:;|l235`=838pD>l:;|l2346=838pD>l:;|l2347=838pD>l:;|l2344=839pD>l:;|l2345=839pD>l:;|l2342=83;8wE=m5:m5272290?wE=m5:m5271290:wE=m5:K161<6s80vVkj51z3`5?{zf8=:;7>528yK7g3:|Xe`?7|9j;1qp`>70;94?2csA9i96sa163b>5<6sA9i96G=25824vF=4?:4yK7g3<4?:2yK7g3?4?:5yK7g3>4?:2yK7g394?:6yK7g384?:5yK7g3;4?:5yK7g3:4?:0yK7g373:94?7|@:h>7D<=4;3x6?{]nm0:w:|m524>290:wE=m5:K161<6s;0vVkj51z3`5?{zf8=9m7>51zJ0f0=N:;>1=v<5}[dg>4}6k80vqc?82c83>4}O;k?0E?<;:0y1>x\al3;p=n?5}|l237e=83;pD>l:;H010?7|:3wQji4>{0a2>x{i9>8o6=4>{I1a1>O5:=0:w?4rZgf95~7d93wvb<9=e;295~N4j<1B>?:51z09y_`c28q:o<4r}o346c<728qC?o;4I307>4}52tPmh7?t1b39yxh6?::1<7?tH2`6?L45<3;p>7sUfe824e62twe=:=>:182M5e=2C9>94>{38~^cb=9r;h=7srn0506?6=9rB8n85F23695~4=uSlo6c08~yk70;:0;6>uG3c78yk70;=0;6?uG3c78yk70;>0;6?uG3c78yk70;10;6?uG3c78yk70;00;6?uG3c78yk70;h0;6?uG3c78yk70;k0;6?uG3c78yk70;j0;6?uG3c78yk70;m0;6?uG3c78yk70;l0;6?uG3c78yk70;o0;6?uG3c78yk70<90;6>uG3c78yk70<80;6>uG3c78yk70<;0;6>uG3c78yk70<:0;68uG3c78yk70<=0;6>uG3c78yk70<<0;6>uG3c78yk700;6?uG3c78yk70<10;6>uG3c78yk70<00;6>uG3c78yk7050;3xL6d23td:;8?50;3xL6d23td:;8<50;3xL6d23@8987?t2;Yba<6s8i:6psa1670>5<6sA9i96sa1677>5<6sA9i96G=258243:1=vF74;94?5|@:h>7p`>74c94?7|@:h>7D<=4;3x6?{]nm0:w:|m523e2909wE=m5:m523d290:wE=m5:K161<6s80vVkj51z3`5?{zf8=>h7>52zJ0f0=zf8=>i7>51zJ0f0=N:;>1=v<5}[dg>4}6k80vqc?85g83>1}O;k?0qc?86183>4}O;k?0E?<;:0y1>x\al3;p=n?5}|l2337=839pD>l:;|l2334=83;pD>l:;H010?7|93wQji4>{0a2>x{i9><86=4={I1a1>{i9>{I1a1>O5:=0:w?4rZgf95~7d93wvb<995;290~N4j<1vb<996;295~N4j<1B>?:51z09y_`c28q:o<4r}o3422<72;qC?o;4}o342=<728qC?o;4I307>4}52tPmh7?t1b39yxh6??31<7:tH2`6?xh6??k1<7?tH2`6?L45<3;p=7sUfe824e62twe=:8m:180M5e=2we=:8l:182M5e=2C9>94>{38~^cb=9r;h=7srn055`?6=c08~yk70>o0;6?uG3c78yk70?90;65<5sA9i96sa1657>5<6sA9i96G=25827vF3:1=vF76;94?4|@:h>7p`>76c94?7|@:h>7D<=4;3x6?{]nm0:w:|m521e2909wE=m5:m521d290:wE=m5:K161<6s80vVkj51z3`5?{zf8=53zJ0f0=zf8=51zJ0f0=N:;>1=v<5}[dg>4}6k80vqc?87g83>1}O;k?0qc?88183>4}O;k?0E?<;:0y1>x\al3;p=n?5}|l23=7=839pD>l:;|l23=4=83;pD>l:;H010?7|:3wQji4>{0a2>x{i9>286=4={I1a1>{i9>2?6=4>{I1a1>O5:=0:w<4rZgf95~7d93wvb<975;296~N4j<1vb<976;295~N4j<1B>?:51z39y_`c28q:o<4r}o34<2<725<6sA9i96sa1b:94?7|@:h>7p`>c883>4}O;k?0qc?la;295~N4j<1vb51zJ0f0=zf8im6=4>{I1a1>{i9m:1<7?tH2`6?xh6l80;6l:;|l2`0<728qC?o;4}o3g2?6=9rB8n85rn0f;>5<6sA9i96sa1e;94?7|@:h>7p`>d`83>4}O;k?0qc?kb;296~N4j<1vb51zJ0f0=zf8o:6=4>{I1a1>{i9l81<7?tH2`6?xh6m:0;6l:;|l2a=<728qC?o;4}o3f=?6=9rB8n85rn0gb>5<6sA9i96sa1d`94?7|@:h>7p`>ee83>4}O;k?0qc?je;295~N4j<1vb50;3xL6d23td:j?4?:0yK7g351zJ0f0=zf8l?6=4>{I1a1>{i9o?1<7?tH2`6?xh6n?0;6l:;|l2bd<728qC?o;4}o3ef?6=9rB8n85rn0d`>5<6sA9i96sa1gf94?7|@:h>7p`>fd83>4}O;k?0qc?if;295~N4j<1vb?>?:182M5e=2we>=?50;3xL6d23td951zJ0f0=zf;:?6=4>{I1a1>{i:9?1<7=tH2`6?xh58?0;6?uG3c78yk47?3:1>vFl:;|l14d<728qC?o;4}o03f?6=9rB8n85rn32`>5<6sA9i96sa21f94?4|@:h>7p`=0d83>7}O;k?0qc8:9;297~N4j<1vb;;m:180M5e=2we:8m50;0xL6d23td=9i4?:3yK7g3i7>51zJ0f0=zf??m6=4>{I1a1>{i>?:1<7?tH2`6?xh1>80;6l:;|l537<72:qC?o;4}o447?6=9rB8n85rn757>5<6sA9i96sa66794?7|@:h>7p`97783>4}O;k?0qc887;295~N4j<1vb;97:182M5e=2we::750;3xL6d23td=;l4?:0yK7g351zJ0f0=zf?=h6=4>{I1a1>{i>>n1<7?tH2`6?xh1?l0;67290:wE=m5:m2=7=83;pD>l:;|l5<7<728qC?o;4}o4;7?6=9rB8n85rn7:7>5<6sA9i96sa69794?4|@:h>7p`98783>7}O;k?0qc877;295~N4j<1vb;67:182M5e=2we:5750;3xL6d23td=4l4?:0yK7g351zJ0f0=zf?2h6=4>{I1a1>{i>1n1<7?tH2`6?xh10l0;6l:;|l5=7<72:qC?o;4}o4:7?6=;rB8n85rn7;7>5<6sA9i96sa68794?7|@:h>7p`99783>4}O;k?0qc867;295~N4j<1vb;77:182M5e=2we:4750;3xL6d23td=5l4?:0yK7g351zJ0f0=zf?3h6=4>{I1a1>{i>0n1<7?tH2`6?xh11l0;6n3:1=vFl:;|l5e7<728qC?o;4}o4b7?6=;rB8n85rn7c7>5<4sA9i96sa6`794?7|@:h>7p`9a783>4}O;k?0qc8n7;295~N4j<1vb;o7:182M5e=2we:l750;3xL6d23td=ml4?:0yK7g351zJ0f0=zf?kh6=4>{I1a1>{i>hn1<7?tH2`6?xh1il0;6l:;|l5f7<728qC?o;4}o4a7?6=9rB8n85rn7`7>5<6sA9i96sa6c794?7|@:h>7p`9b783>4}O;k?0qc8m7;295~N4j<1vb;l7:182M5e=2we:o750;3xL6d23td=nl4?:0yK7g351zJ0f0=zf?hh6=4>{I1a1>{i>kn1<7?tH2`6?xh1jl0;6l:;|l5g7<728qC?o;4}o4`7?6=9rB8n85rn7a7>5<6sA9i96sa6b794?7|@:h>7p`9c783>4}O;k?0qc8l7;295~N4j<1vb;m7:182M5e=2we:n750;3xL6d23td=ol4?:0yK7g351zJ0f0=zf?ih6=4>{I1a1>{i>jn1<7?tH2`6?xh1kl0;6l:;|l5`7<728qC?o;4}o4g7?6=9rB8n85rn7f7>5<6sA9i96sa6e794?7|@:h>7p`9d783>4}O;k?0qc8k7;295~N4j<1vb;j7:182M5e=2we:i750;3xL6d23td=hl4?:0yK7g351zJ0f0=zf?no6=4<{I1a1>{i>mo1<7=tH2`6?xh1lo0;6l:;|l5a6<728qC?o;4}o4f0?6=9rB8n85rn7g6>5<6sA9i96sa6d494?7|@:h>7p`9e683>4}O;k?0qc8j8;295~N4j<1vb;k6:182M5e=2we:ho50;3xL6d23td=io4?:0yK7g353zJ0f0=zf?oo6=4<{I1a1>{i>lo1<7?tH2`6?xh1mo0;6l:;|l5b6<728qC?o;4}o4e0?6=9rB8n85rn7d6>5<6sA9i96sa6g494?7|@:h>7p`9f683>4}O;k?0qc8i8;295~N4j<1vb;h6:182M5e=2we:ko50;3xL6d23td=jo4?:0yK7g351zJ0f0=zf?lo6=4>{I1a1>{i>oo1<7?tH2`6?xh1no0;6l:;|l446<728qC?o;4}o530?6=9rB8n85rn626>5<6sA9i96sa71494?7|@:h>7p`80683>4}O;k?0qc9?8;295~N4j<1vb:>6:182M5e=2we;=o50;3xL6d23td<51zJ0f0=zf>;:6=4<{I1a1>{i?8>1<7=tH2`6?xh09<0;6>uG3c78yk1603:1=vF290:wE=m5:m34g=83;pD>l:;|l45g<728qC?o;4}o52`?6=9rB8n85rn63e>5<6sA9i96sa73294?7|@:h>7p`82083>4}O;k?0qc9=2;295~N4j<1vb:<8:182M5e=2we;?650;3xL6d23td<>44?:0yK7g351zJ0f0=zfh8:6=4={I1a1>{ii;>1<7uG3c78ykg5>3:1=vF=83;pD>l:;|lb6<<728qC?o;4}oc1e?6=9rB8n85rn`0`>5<4sA9i96saa3f94?5|@:h>7p`n2g83>6}O;k?0qco<3;296~N4j<1vbl=;:182M5e=2wem>;50;3xL6d23tdj?;4?:0yK7g351zJ0f0=zfh936=4={I1a1>{ii:31<7uG3c78ykg4l3:1=vFl:;|lb05<728qC?o;4}oc75?6=9rB8n85rn`61>5<6sA9i96saa5194?7|@:h>7p`n4583>4}O;k?0qco;5;295~N4j<1vbl:8:181M5e=2wem9650;3xL6d23tdj844?:0yK7g351zJ0f0=zfh>i6=4={I1a1>{ii=i1<7?tH2`6?xhfl:;|lb14<728qC?o;4}oc66?6=:rB8n85rn`70>5<6sA9i96saa4694?7|@:h>7p`n5483>4}O;k?0qco:6;295~N4j<1vbl;8:182M5e=2wem8650;0xL6d23tdj944?:3yK7g3m7>51zJ0f0=zfh?h6=4={I1a1>{iil:;|lb27<72;qC?o;4}oc57?6=>rB8n85rn`47>5<3sA9i96saa7794?d|@:h>7p`n6783>7}O;k?0qco97;296~N4j<1vbl87:182M5e=2wem;750;3xL6d23tdj:l4?:0yK7g351zJ0f0=zfh{I1a1>{ii?n1<7?tH2`6?xhf>l0;6l:;|lb37<728qC?o;4}oc47?6=;rB8n85rn`57>5<4sA9i96saa6794?7|@:h>7p`n7783>4}O;k?0qco87;295~N4j<1vbl97:182M5e=2wem:750;3xL6d23tdj;l4?:0yK7g351zJ0f0=zfh=h6=4>{I1a1>{ii>n1<7?tH2`6?xhf?l0;67290:wE=m5:me=7=83;pD>l:;|lb<7<725<6sA9i96saa9494?7|@:h>7p`n8883>4}O;k?0qco7a;295~N4j<1vbom6:180M5e=2wenno50;1xL6d23tdioo4?:2yK7g351zJ0f0=zfkin6=4>{I1a1>{ijm:1<7l:;|la`3<728qC?o;4}o`g3?6=9rB8n85rncf;>5<6sA9i96sabe;94?7|@:h>7p`md`83>4}O;k?0qclkd;29<~N4j<1vboji:181M5e=2wenh>50;0xL6d23tdii<4?:0yK7g352zJ0f0=zfko?6=4={I1a1>{ijl?1<7?tH2`6?xhem>0;6?uG3c78ykdb03:1>vF290:wE=m5:mf`g=83;pD>l:;|laag<728qC?o;4}o`fg?6=9rB8n85rncgg>5<5sA9i96sabdg94?4|@:h>7p`meg83>6}O;k?0qcli0;295~N4j<1vboh>:182M5e=2wenk<50;3xL6d23tdij>4?:0yK7g351zJ0f0=zfkl36=4={I1a1>{ijo31<7?tH2`6?xhenk0;6uG3c78yk75;k0;6uG3c78yk75<80;6<=tH2`6?xh6:=81<7=tH2`6?xh6:=91<7;tH2`6?xh6:=<1<7=tH2`6?xh6:==1<7=tH2`6?xh6:=21<7;tH2`6?xh6:=k1<7:tH2`6?xh6:=h1<7=tH2`6?xh6:=i1<7?tH2`6?xh6:=n1<7?tH2`6?xh6:=o1<7?tH2`6?xh6:=l1<7?tH2`6?xh6:<:1<7?tH2`6?xh6:<;1<7:tH2`6?xh6:<81<7=tH2`6?xh6:<91<7?tH2`6?xh6:<>1<7:tH2`6?xh6:1<7?tH2`6?xh6:??1<7?tH2`6?xh6:?<1<7?tH2`6?xh6:?=1<7?tH2`6?xh6:?21<7?tH2`6?xh6:?31<7?tH2`6?xh6:?k1<7?tH2`6?xh6:?h1<7?tH2`6?xh6:?i1<7:1<7=tH2`6?xh6:>;1<7=tH2`6?xh6:>81<7?tH2`6?xh6:>91<7?tH2`6?xh6:>>1<7?tH2`6?xh6:>?1<7?tH2`6?xh6:><1<7?tH2`6?xh6:>=1<7;tH2`6?xh6:>21<7=tH2`6?xh6:>31<7=tH2`6?xh6:>h1<7?tH2`6?xh6:>i1<7?tH2`6?xh6:>n1<7?tH2`6?xh6:>o1<7?tH2`6?xh6:>l1<7?tH2`6?xh6:1:1<7?tH2`6?xh6:1;1<7?tH2`6?xh6:181<7?tH2`6?xh6:191<7?tH2`6?xh6:1>1<7?tH2`6?xh6:1?1<7=tH2`6?xh6:1<1<7?tH2`6?xh6:1=1<7?tH2`6?xh6:121<7?tH2`6?xh6:131<7?tH2`6?xh6:1k1<7?tH2`6?xh6:1h1<7?tH2`6?xh6:1n1<7=tH2`6?xh6:1o1<7?tH2`6?xh6:1l1<7?tH2`6?xh6:0:1<7?tH2`6?xh6:0;1<7?tH2`6?xh6:081<7?tH2`6?xh6:091<7?tH2`6?xh6:0>1<7?tH2`6?xh6:0?1<7?tH2`6?xh6:0<1<7?tH2`6?xh6:0=1<7?tH2`6?xh6:021<7?tH2`6?xh6:031<7?tH2`6?xh6:0k1<7?tH2`6?xh6:0h1<7?tH2`6?xh6:0i1<7?tH2`6?xh6:0n1<7?tH2`6?xh6:0o1<7?tH2`6?xh6:h;1<7=tH2`6?xh6:h81<7=tH2`6?xh6:h91<7=tH2`6?xh6:h>1<7?tH2`6?xh6:h?1<7?tH2`6?xh6:h<1<7?tH2`6?xh6:h=1<7?tH2`6?xh6:h21<7=tH2`6?xh6:h31<7=tH2`6?xh6:hk1<7?tH2`6?xh6:hh1<7?tH2`6?xh6:hi1<7?tH2`6?xh6:hn1<7?tH2`6?xh6:ho1<7=tH2`6?xh6:hl1<7=tH2`6?xh6:k:1<7?tH2`6?xh6:k;1<7?tH2`6?xh6:k81<7?tH2`6?xh6:k91<7?tH2`6?xh6:k>1<7?tH2`6?xh6:k?1<7?tH2`6?xh6:k<1<7?tH2`6?xh6:k=1<7?tH2`6?xh6:k21<7?tH2`6?xh6:k31<7?tH2`6?xh6:kk1<7?tH2`6?xh6:kh1<7=tH2`6?xh6:ki1<7=tH2`6?xh6:kn1<7?tH2`6?xh6:ko1<7?tH2`6?xh6:kl1<7?tH2`6?xh6:j:1<7?tH2`6?xh6:j;1<7?tH2`6?xh6:j81<7?tH2`6?xh6:j91<7?tH2`6?xh6:j>1<7?tH2`6?xh6:j?1<7?tH2`6?xh6:j<1<7?tH2`6?xh6:j31<7?tH2`6?xh6:jk1<7=tH2`6?xh6:jh1<7=tH2`6?xh6:ji1<7;tH2`6?xh6:jn1<7=tH2`6?xh6:jo1<7=tH2`6?xh6:jl1<7;tH2`6?xh6:m;1<7=tH2`6?xh6:m81<7?tH2`6?xh6:m91<7?tH2`6?xh6:m>1<7?tH2`6?xh6:m?1<7?tH2`6?xh6:mk1<7=tH2`6?xh6:mh1<7?tH2`6?xh6:mi1<7?tH2`6?xh6:mn1<7?tH2`6?xh6:ml1<7=tH2`6?xh6:l:1<7?tH2`6?xh6:l;1<7?tH2`6?xh6:l81<7?tH2`6?xh6:l91<7?tH2`6?xh6:l>1<7?tH2`6?xh6:l<1<7?tH2`6?xh6:l=1<7?tH2`6?xh6:l21<7=tH2`6?xh6:l31<7?tH2`6?xh6:lk1<7?tH2`6?xh6:lh1<7?tH2`6?xh6:li1<7?tH2`6?xh6:ln1<7?tH2`6?xh6:lo1<7?tH2`6?xh6:ll1<7?tH2`6?xh6:o:1<7?tH2`6?xh6:o;1<7?tH2`6?xh6:o81<7?tH2`6?xh6:o91<7?tH2`6?xh6:o<1<7?tH2`6?xh6:o=1<7?tH2`6?xh6:o21<7=tH2`6?xh6:ok1<71<7?tH2`6?xh6;9?1<7?tH2`6?xh6;9<1<7?tH2`6?xh6;9=1<7?tH2`6?xh6;921<7?tH2`6?xh6;931<7?tH2`6?xh6;9k1<7?tH2`6?xh6=:o1<7;tH2`6?xh6==:1<7:tH2`6?xh6==;1<7:tH2`6?xh6==81<7:tH2`6?xh6==91<7:tH2`6?xh6==>1<7:tH2`6?xh6==?1<7:tH2`6?xh6==<1<7:tH2`6?xh6===1<7:tH2`6?xh6==21<71<7:tH2`6?xh6=1<7?tH2`6?xh6=??1<7?tH2`6?xh6=?<1<7?tH2`6?xh6=?21<7:1<7?tH2`6?xh6=>;1<7?tH2`6?xh6=>91<7>1<7?1<7?tH2`6?xh6=><1<7=1<7?tH2`6?xh6=>21<7?tH2`6?xh?:3:1=vsa9683>4}zf;?h6=4>{|l134<728qvb?7?:180xh50h0;65<4std9m44?:0y~j7eb2908wp`=c983>4}zf;oo6=4<{|l1a2<728qvb>>l:180xh48?0;65<4std8>84?:0y~j62f2908wp`<4583>4}zf:<26=4<{|l026<728qvb>67:180xh40;0;65<6std<:o4?:0y~j2>7290:wp`89483>4}zf>h?6=4<{|l4e`<728qvb:j<:180xh0km0;65<4std4}zf19;6=4<{|l;6d<728qvb5:::182xh?=h0;65<6std3494?:0y~j=?>290:wp`7ad83>4}zf1io6=4<{|l;g2<728qvb5kl:180xh?m?0;65<6stdjo;4?:0y~jdbe290:wp`nf183>4}zfk:>6=4>{|la5d<728qvbournc6;>5<6stdh>54?:0y~jf202908wp`l4083>4}zfj<=6=4<{|l`25<728qvbn6::180xhd?o0;65<4stdh5h4?:0y~jfe42908wp`lbe83>4}zfjo96=4<{|l``f<728qvbi>>:180xhdnk0;65<4stdo=l4?:0y~ja5a2908wp`k3883>4}zfm?n6=4<{|lg1=<728qvbi9k:180xhc?>0;65<4stdo5;4?:0y~jade2908wp`kb483>4}zfmnj6=4<{|lg`1<728qvbih6:180xhcn:0;65<4stdn=?4?:0y~j`502908wp`j3083>4}zfl?=6=4<{|lf15<728qvbh9::180xhb>o0;65<4stdn4h4?:0y~j`d42908wp`jae83>4}zfln96=4<{|lfgf<728qvbhh>:180xhbmk0;65<4stdm4}zfo>n6=4<{|le0=<728qvbk8k:180xha>>0;65<4stdm4;4?:0y~jcge2908wp`ia483>4}zf899m7>51zm565a290:wp`>34694?7|ug;8:44?:0y~j45?03:1?vsa12:1>5<6std:?l950;1xyk74i80;6m?:182xh6;l?1<7=t}o30`c<728qvb<:?4;297~{i9:ln6=4>{|l2075=839pqc?;1e83>4}zf8>?>7>53zm515d290:wp`>47394?5|ug;?9o4?:0y~yx{GHJq:n??59ec6a7c>uIJIw=sO@Qy~DE \ No newline at end of file diff --git a/cpld/XC95144/MXSE.ngr b/cpld/XC95144/MXSE.ngr new file mode 100644 index 0000000..f276696 --- /dev/null +++ b/cpld/XC95144/MXSE.ngr @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$52:==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q12$%#@V\E/t;?+((ZHG%z<5?1:326>752F__\XZ5R@>25?69j2;96_O31083:d=6>3K7>>47>028534f3;;1M1<>:0<24>462@D[YY4N<3395;c<::0JSK]M<3195;733;91EC^ZT;C\BVD;::0:2<5<1:62?0><=3N787>1c:79@drfI5>1<3745;GP81<76l1>6D@_UU8G81<7688097GAPTV9@drfI5>1<3h45;MVPUSS2X6?6=0>4:79KPRW]]0Yi~{ct=694;><=3[787>1d:79V`urd}6?6=0i;48\eab789:787>11:42?2><03N7;7>1c::9@drfI5=1<3k48;KMTPR=L5=1<3?=;98JJUSS2MkmL28:1>=Zly~`y28:137;2=1>G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:0=F48=596O319<6?D:617>0M1?15:C?65803H69=7?15:C?64823H69>394A=00>=823H69?3:4A=0=0>G;;7>0M1:14:C?1;29<2K753:4AMG31>GKM9G>7LBJ0Ra8EIC7Wds<=>?d:COA5Yj}q:;<=?j;@NF4Zkrp9:;<;5NNE0A53=FFM8I>95NNE15?DHC;K;=7L@K3C05?DHC;K9?7L@K479BJA2E9?1JBI:M279BJA2E;?1JBI:M479BJA3E:?1JBI;M379BJA3E33HYyjn5NSsd\jjr789;:i6O\rg]mkq6788Uba}QNSsd\jjr789;:56OPFR@?558>3HUM_O2>1?;8EZ@TJ5;9245N_GQA845912KTJ^L315<:?DYA[K6:9374A^DPF971601JSK]M<05==>GXNZH7=506;@]EWG:61720MRH\B=3==>GXNZH7>=06;@]EWG:59730MRH\B=01:g=FWOYI0?=51?;8EZ@TJ588255N_GQA878?3HUM_O2<>99B[CUE4=437LQISC>6:==FWOYI0;07;@]EWG:0611JSK]M<9<;?DYA[K62295Ncmp22>Gdd{UBB[Qfmq]BgitXAG\:86LNCUg8FDESW`g{SOOLT078FDESz=1II_\:;CGQV502HN^_|i6:@FVWtum2HN^_|}_omw4566:;1II_\}r^llp5679Vcf|RLJRSpq[kis89::=55MUR]JJCI63J>0O<8B3:A;I1=DIJ^97NK<;BNH=>EKC;RTEBL8;BNH[CUE?2IGGRG@B29@HW56Mce`p2[dhc89:;Sdc_Bnfew7Xign;<=>>3:Aoo0=DdbOe=55LljGm[LHQW`g{SNbdEo]JJS703Jf`SK]B159@hnYNF_Uba}QLlj]JJS763M>0H1>14:F?5;20H1:14:F?1;2B;?7?0HYOLK028@QGDCVcf|RJ[ABI23>BSIJAyj:5KT@AHvw`99GeqgF48437Io{a@>1:==Ci}kJ0>0n;EcweD:329437Io{a@>7:==Ci}kJ0807;EcweD:16h1OmyoN<683:==Ci}kJ0:0>;D08AV3CT4;4>7H]33?58AV:3294>7H]34?c8AVYffm:;<=?n;DQ\ekb789:Te`~PER]bja6789;j7H]Paof34576i2OXSl`k0122[lkwWLYTmcj?0132`>CTWjg{Sh?01214>CTWjg{Sh?012\mhvXMZUha}Qjq12344bCTWfx;<=>Pilr\AVYhz9:;<<;4EUC@Oa=B\HI@Sl`k012365=B\HI@Sl`k0123[lkwWL^JOFQnne23457682O_MNEPclr\at67898=7HZNCJ]`iuYby9:;?0136?@YNGK80I=4Es07?CEKC;1MH95IDBG1?C@33OLo=:5IFe3.Mk>9:DE`4+Nf8;37KHk1,Km6<=ANm;&Ec<>8:DE`4+Nf:30JKj>-Hl05<=ANm;&Ec:>8:DE`4+Nf-Hl\mhv33OLo>:5IFe0.Mk>9:DE`7+Nf8;37KHk2,Km6<=ANm8&Ec<>8:DE`7+Nf:30JKj=-Hl05==ANm8&Ec:6;GDg6(Oi<820JKj=-Hl6=>@Al;'Bb8?7;GDg6(Oi>01MJi<"Io42=>@Al;'Bb:?7;GDg6(Oi0k1MJi<"Io]jiu2@Al:'Bb??7;GDg7(Oi;01MJi="Io12<>@Al:'Bb974FGf0)Lh3901MJi="Io72<>@Al:'Bb;l4FGf0)LhXadz?7KHk469EBa2*Ag20JKj;-Hl2=>@Al='Bb@Al='Bb>74FGf7)Lh4901MJi:"Io62<>@Al='Bb8l4FGf7)LhXadz87K]M3:DPIf=A[DU;!}o}eov`?CUJW8'{mkatb9EWHY5%ykyicz>;F18CKB63@80E=<4I008M74>0ELM\5:KBGV723@KH_?;4I@AP7==NIJYTe`~;;HLJP6=NF_?0EBOLTe9JKDESWhdo<=>?219JKDESWhdo<=>?_hos[LIFK]Ujbi>?013g?LIFK]Ufyu>?01g8MJGD\Vg~t=>?00d8MJGD\Vg~t=>?003e?LIFK]Ufyu>?0102a>OHIJ^Taxv?012054=NGHI_S`{w0123[lkwk2CDMNZPos23457b3@EJOYQ`r1234ZojxVCDMNZPos2345713@EJOY|9;HMAAWTb3@EII_\Pmtz3456a3@EII_\Pmtz34566991BCOK]R^ov|56788::<6G@BDPQ[hs89:;=0:KLF@TUWds<=>?20d8MJDBZ[Ufyu>?01124>OHJLXYS`{w012374`91028MJDBZ[Ufyu>?0152b>OHJLXYS`{w0123<466991BCOK]R^ov|56780;:>6G@BDPQ[hs89:;Sdcd:KLF@TUWfx;<=>=0:KLF@TUWfx;<=>Pilr\MJDBZ[Ud~=>?0068MJDJ<2CDN^:4INAP1>OHKZ;>7DALS378MJET;o1BCN]Pclr\at67898?7DALS^antZcv89:;Sdc_HM@WZejxVoz<=>?1g9JKFUXkdzTi|>?0007?LID[Vif|Rk~0122[lkwW@EH_Rmbp^gr45669o1BCN]Pclr\at678;8?7DALS^antZcv89:9Sdc_HM@WZejxVoz<=>=1g9JKFUXkdzTi|>?0207?LID[Vif|Rk~0120[lkwW@EH_Rmbp^gr45649o1BCN]Pclr\at678=8?7DALS^antZcv89:?Sdc_HM@WZejxVoz<=>;1g9JKFUXkdzTi|>?0407?LID[Vif|Rk~0126[lkwW@EH_Rmbp^gr45629o1BCN]Pclr\at678?8?7DALS^antZcv89:=Sdc_HM@WZejxVoz<=>91g9JKFUXkdzTi|>?0607?LID[Vif|Rk~0124[lkwW@EH_Rmbp^gr4560911BCN]Pilr7?LIK8j1BCA>Pmtz3456c3@EGOHX^IX=?5FOQU@WZojxVCD\ZM\149JKWCVl2CD^H_Paof3456582CD^H_Paof3456XadzTEB\JQ^cm`56788n0EB\JQ^ov|5678l1BC_K^_lw{45679o1BC_K^_lw{456798l0EB\JQ^ov|5678;;n7DA]EP]nq}67899m7DA]EP]nq}67899:j6G@RDS\ip~789:?=h5FOSGR[hs89:;9=0:KLVR6Xign;<=>Pilr\MJTP8Vkeh=>?00f8MJTP8Vg~t=>?0d9JKWQ7Wds<=>?1g9JKWQ7Wds<=>?10d8MJTP8Vg~t=>?033f?LIU_9Ufyu>?01125>OHZ^:Taxv?012\mhvc3@EY[=Qaou2344473@EY[=Qaou2344YneyUBC_Y?_omw45669j1BC_Y?_np34566m2CD^Z>Pos2345YneyUBC_Y?_np34566k2CD^Z>Pos23447b3@EY[=Q`r1235ZojxVCD^Z>Pos2344723@EY[4INPT5Zgil9:;>c:KLVR7Xg{:;<=?j;HMQS4Yhz9:;0EB\jae{\ekb789:Te`~PINPfeaXign;<=>>f:KLV`gcqVddx=>?1368MJTbimsTbbz?013\mhvXAFXnmiwPnnv34576m2CD^hoky^mq4567:;1BC_kndx]lv5678Vcf|RG@Rdcg}Ziu89:;=>5FORg8MJUXkdzTi|>?01g8MJUXkdzTi|>?00g8MJUXkdzTi|>?03g8MJUXkdzTi|>?02g8MJUXkdzTi|>?05g8MJUXkdzTi|>?04g8MJUXkdzTi|>?0768MJS7k2CDY=Qbuy2345b5Fn518Mk3b3BON\RFFESCQGM_03EELENOCd:NSIZGCLVY^NR?k;MRN[DBCWZ_IS?j4LQO\GJSI\LXT=i5CPL]@KPHSM[U946B_M^FEBZ?3`9OTHYCNOU:8l5CPL]GBCY6=h1G\@QKFG]22d=KXDUOJKQ>7`9OTHYCNOU:4l5CPL]GBCY6101G\@QKFG]1e>JWEVNMJRJWEVNMJR<;a:NSIZBANV8>m6B_M^FEBZ41i2F[ARJIF^04e>JWEVNMJR<7a:NSIZBANV8256B_M^FEBZ5f3EZFSIHI_22b?IVJWMLMS>?n;MRN[A@AW:8j7A^B_EDE[65f3EZFSIHI_26b?IVJWMLMS>;6;MRN[A@AW=30@]CPDGD\1<=KXDUOJKQ99:NSIZBANV=27A^B_EDE[=?Jhim;Tbbz?01314>Jhim;Tbbz?013\mhvXDfko=R``t123542497C>=;O31?K453G9?7CA]759MKW>63F>0CIM\e:MGGVYneyUDHN]>3:MQ60=HZ;H:96A]2C00?JT4=2EY?O?:;NP0F7587B\:3:MQ26=HZ>90C_6;;Nl`af=HfjoTmcj?0122a>IiklUjbi>?01]jiuYHfjoTmcj?0122f>IiklUd~=>?00a8KkebWfx;<=>Pilr\KkebWfx;<=>>4:Mvp5cQfmq]Lqq56<2E~x9k4Otv7[lkwWF8<:4Otv6a>Ir|Ir|?o0Cxz9_hos[Jss>8>0Cxz84:Mvp=c>30227ZJ33YKYXl5_IO]AQVOCPk1[ECQMURLBI@4W;:7>0]1=16:S?0?69<2[783:4Q=7=0>W;>7<0]1950?68U919i2[T=Ra}01235d=VW8Ud~=>?0^kntZWX9Vey<=>?149QE969>2XJ0<>18:PB847=87<0^L2>1?78VD:66<1YM1<15:PB86823[K783;4R@>6:0=UI5<596\N<6<6?WG;07?0^L26>29QEH34R@O@WZIqm{fjuBhi279QEHETWF|n~aovOgd\mhvXZHGH_RAyesnb}J`a9o1YM@M\_Ntfvig~Gg8?7_OBCR]Lr`tkipEeSdc_SCNGVYH~lxgmtAa1e9QEHETWhdo<=>?219QEHETWhdo<=>?_hos[WGJKZUjbi>?013g?WGJKZUjbi>?0003?WGJKZUjbi>?00]jiuYUIDIXSl`k01225462XJAIG\7:PBIAOT9o1YM@JFS0]nq}6789;;7_OBDHQ2[hs89:;=>1038VDKCAZ;Taxv?0121546109QEHBN[8Ufyu>?017254=UIDNB_?00d8VDKCAZ8Tmcj?01310>TFEMCX>Road1235ZojxVXJAIG\2^cm`56798o0^LCKIR0\kw6789897_OBDHQ1[jt789:Te`~PR@OGMV4Xg{:;<=?>2:PBIAOTW`g{S_OBDHQ2<>TFE[ojht??;SCNV`gcqVkeh=>?0348VDKUmhnrSl`k0123[lkwW[KF^hoky^cm`56788;;7_OBRdcg}Zgil9:;=?84R@OQadb~Whdo<=>>_hos[WGJZlkouRoad12354773[KF^hoky^cm`567:;<0^LC]e`fz[dhc89:9Sdc_SCNV`gcqVkeh=>?2033?WGJZlkouRoad123770?4348VDKUmhnrSl`k0127[lkwW[KF^hoky^cm`567<8;;7_OBRdcg}Zgil9:;9?84R@OQadb~Whdo<=>:_hos[WGJZlkouRoad12314773[KF^hoky^ov|56788;0^LC]e`fz[hs89:;=<<4R@OQadb~Wds<=>?1031?WGJZlkouRczx123477692XJA_kndx]nq}67899:86\NMSgb`|Yj}q:;<=Qfmqd8VDKUmhnrSb|?01210>TFE[ojhtQ`r1234ZojxVXJA_kndx]lv56788?0^L]JLe9QEVCKWds<=>?e:PBW@JXe|r;<=>>f:PBW@JXe|r;<=>>1g9QEVCKWds<=>?20g8VDUBDVg~t=>?02d8VDUBDVg~t=>?023e?WGTMEUfyu>?0162a>TF[LFTaxv?012654=UIZOGS`{w0123[lkwk2XJS=Qbuy2345bTFW8Ufyu>?0132a>TFW8Ufyu>?0102`>TFW8Ufyu>?01124>TFW8Ufyu>?01]jiueTFW;Ufyu>?013f?WGX:Vg~t=>?003f?WGX:Vg~t=>?033g?WGX:Vg~t=>?0233?WGX:Vg~t=>?0^kntf=UIV9Taxv?012g?WGX;Vg~t=>?00g8VDY4Wds<=>?10g8VDY4Wds<=>?20f8VDY4Wds<=>?3028VDY4Wds<=>?_hosg>TFW=Ufyu>?01f8VDY3Wds<=>?1d9QEZ2Xe|r;<=>>1d9QEZ2Xe|r;<=>=1e9QEZ2Xe|r;<=><119QEZ2Xe|r;<=>Pilr`?WGX=Vg~t=>?0e9QEZ3Xe|r;<=>>e:PB[0Yj}q:;<=?>e:PB[0Yj}q:;<=<>d:PB[0Yj}q:;<==>0:PB[0Yj}q:;<=Qfmqa8VDY1Wds<=>?d:PB[3Yj}q:;<=?j;SC\2Zkrp9:;<??;SC\2Zkrp9:;k;SC\3Zkrp9:;<4R@]4[hs89:;Sdcc:PB[=Yj}q:;<=j4R@];[hs89:;=h5]A^:\ip~789::=h5]A^:\ip~789:9=i5]A^:\ip~789:8==5]A^:\ip~789:Te`~l;SC\=Zkrp9:;>149QKHETl2XDAN]Paof3456582XDAN]Paof3456XadzT^BCLS^cm`56788;;7_ABCR]`iuYby9:;?_hos[WIJKZUha}Qjq12344773[EFO^Qfmq]QKHET9;1Y_i5]S^antZcv89:;h6\\_bos[`w789;o7_]Pclr\at678;n0^^Qlmq]fu567;m1Y_Rmbp^gr4563l2XXSnc_ds3453c3[YTo`~Pep234357_kndx48V`gcq9=0^hoky1p5?Wcflp;<7_kndx3q2>Tbims9;6\jae{1vd=UmhnrSDAMS89Qadb~W[KFh6\jae{\ekb789:9<6\jae{\ekb789:Te`~PRdcg}Zgil9:;<>e:PfeaXg{:;<=Qfmq]Qadb~Wfx;<=>>c:PfeaXg{:;<<84RddBgn0TbnJd0?06;SgeGkr;;730^hhLnu>7:<=UmoIex1;19:PfbFhs4?4i7_kiCov?3?6912XnjN`{<6<4?WcaLfdn=?5]egFlj`YdeyUn}=>?03c8V``CggoTo`~Pep2345YneyUYikJ`nd]`iuYby9:;<?1^kntZTbnMeeiR``t123540Tb{|f0<06;Sgpqir;:730^h}zlu>0:g=Umzgx1:50?;8V`urd}6?245]erwop93912Xnxb{<719:Pfwpjs4>49:6\jstnw[5Yig}:;<=Qfmq]Qavsk|V:Tbbz?01222>UD[@IX=?5\CRK@WZojxVYH_DM\1c9PMBDR[VCEJBo4SHE\FPUNLQh0_DIPBTQMEHC33Zig~95\crvb?VhcZHGH_Z\>2:Qm`WGJKZ]YSl`k01236d=TfmXJAN]XR^cm`5678Vcf|R]adSCNGVQUWhdo<=>?1008WkbUIDIX[_Qnne23444f3Zdo^LCLSVP\ekb789;Te`~PSofQEHET_[Ujbi>?00321>Uil[KFO^Y]_bos[`w789:8<6]adSCNGVQUWjg{Sh?012\mhvX[gnYM@M\WS]`iuYby9:;<?2228WkbUIDIX[_Qlmq]fu567:Vcf|R]adSCNGVQUWjg{Sh?010250=TfmXJAN]XR^antZcv89:8?=5\nePBIFUPZVif|Rk~0120[lkwWZdo^LCLSVP\ghvXmx:;<>?>5:Qm`WGJKZ]YSnc_ds3452482Yeh_OBCRUQ[fkwWl{;<=:Pilr\WkbUIDIX[_Qlmq]fu567<8;>7^`kR@O@WRTXkdzTi|>?0413?VhcZHGH_Z\Pclr\at678?1038WkbUIDIX[_Q`r12357><[gnYM@M\WS]lv5679Vcf|R]adSCNGVQUWfx;<=?>109PjaTFEJY\^Ra}01216==TfmXJAN]XR^mq4565W`g{S^`kR@O@WRTXg{:;?0^kntZRnele~xLQlmq]fu56788;;7YgbenwwEZhh|9:;=?84ThofkprFWge<=>>_hos[QojmfMR``t12354><\`gncxzMb:Vji`ir|KZyi<>4ThofkprEWhdo<=>?279Wmhch}}HTmcj?012\mhvX\`gncxzM_`lg45679:1]ON74VHGT[Q_WM:1]\Lm4VQC\mhvX^YK:96X_Asd6?SVFz{n0Z]O}r^llp5679;:0Z]O}r^llp5679Vcf|RX_Asp\jjr789;:m6XfdSCNGVQUl2\bh_OBCRUQ21m602\bh_OBCRUQ21mXkdzTi|>?0115?SocZHGH_Z\94j]`iuYby9:;=aTo`~Pep2344513_co^LCLSVP50nYdeyUn}=>?1^kntZPnl[KFO^Y]65i\ghvXmx:;<?01]jiuYQamXJAN]XR^antZcv89:;=<;4VhfQEHET_[Uha}Qjq123566<^`nYM@M\WS]`iuYby9:;=Rgbp^Tj`WGJKZ]YSnc_ds345769<1]ei\NMBQTVZejxVoz<=>=319UmaTFEJY\^Rmbp^gr4565W`g{S[gkR@O@WRTXkdzTi|>?03321>Pnl[KFO^Y]_bos[`w78998<6XfdSCNGVQUWjg{Sh?011\mhvX^`nYM@M\WS]`iuYby9:;??5228RlbUIDIX[_Qlmq]fu567=Vcf|RXfdSCNGVQUWjg{Sh?017250=QamXJAN]XR^antZcv89:=?=5YiePBIFUPZVif|Rk~0125[lkwW_co^LCLSVP\ghvXmx:;<;?>5:Tj`WGJKZ]YSnc_ds3451482\bh_OBCRUQ[fkwWl{;<=9Pilr\RlbUIDIX[_Qlmq]fu567?8;>7[gkR@O@WRTXkdzTi|>?0913?SocZHGH_Z\Pclr\at6781Uba}QYiePBIFUPZVif|Rk~012;543<^`nYM@M\WS]`iuYby9:;5>>4VhfQEHET_[Uha}Qjq123=ZojxV\bh_OBCRUQ[fkwWl{;<=7>149UmaTFEJY\^Rmbp^gr4577;91]ei\NMBQTVZejxVoz<=??_hos[SocZHGH_Z\Pclr\at6799;:96XfdSCNGVQUWjg{Sh?00304>Pnl[KFO^Y]_bos[`w788;Te`~PVhfQEHET_[Uha}Qjq122547f3_co^LCLSVP\mhvX^`nYM@M\WS325>Pnl[KFO^Y]_np34566:2\bh_OBCRUQ[jt789::=?5YiePBIFUPZVey<=>?2008RlbUIDIX[_Q`r12346723_co^LCLSVP\kw6789Uba}?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED84XRVOMG1e:ZPPZOJXEOFICZNTHMM[FII[]XJECZ\119[WQYU\EU[^B^JRU[4?]USW_IH:6Vkb^Kg55=_ldUFmga}Vdppmjh682RoaRCfnnpUawungg?0Tb2?>79[k9699?1Sc1>1279[k969;01Sc1>1_hos7>^t|01SyIBoegof>Yflm:;<=2?>c9\eab789:7=3l4_`fg45674;4i7Rokd1234959l2Ujhi>?01>7>58e3Vkoh=>?0=6=f>Yflm:;<=2:>c9\eab789:7:3j4_`fg45674>0;2o5Paef3456;?720Sl`k012353=Xign;<=>Pilr\[dhc89:;=55Paof34576>2Ujbi>?00]jiuYXign;<=?>8:]bja678;;=7Road1236ZojxVUjbi>?033;?Zgil9:;?<84_`lg4564W`g{SRoad12374>;179\ekb789>Te`~P_`lg4563911Tmcj?01722>Yffm:;<8Qfmq]\ekb789?:46Qbuy23451>4:]lv5678Vcf|RQ`r1234414:]lv5679Vcf|RQ`r123543?0018gkr03je~byk}2:ap7>ban01oeklthmm7>`tjk1moQaou23457d3oyiSca{0123[lkwWoyiSca{012351=ngkg?7dams89mEAir|EO;=55aAEmvpIC7W`g{ScOKotvOA57682dJHb{{LD2\kw67898=7cOKotvOA5Yhz9:;?013:?kGCg|~GI4nCGQVZojxVdII_\>4:l@EVe?0328jAoiDLUd~=>?0^kntZhCagFNSb|?01222>hCagEN=?5aDhlLAZojxVdOecAJ169m@jssGLl0bIaztNG\ekb789:986`KotvLAZgil9:;?00d8jAir|FOTbbz?01310>hCg|~DIR``t1235ZojxVdOcxz@E^llp56798o0bIaztNG\kw6789897cJ`uuMF[jt789:Te`~PnEmvpJCXg{:;<=?;;oNGW==iDMYTJ^L7;oNGWZOHJj1e@I]Paof34566m2dGH^Qnne2345YneyUe@I]Paof34566k2dGH^Qnne23447b3gFO_Road1235ZojxVdGH^Qnne23447d3gFO_R``t12354chKLZUd~=>?0^kntZhKLZUd~=>?00`8jIBTWfx;<=?>c:lO@VYhz9:;=Rgbp^lO@VYhz9:;=<:4nMUFg>hK_LUjbi>?013f?kJPMVkeh=>?0^kntZhK_LUjbi>?013f?kJPMVcf|R`CWD30?kIBj2dDIRoad12344ehHMVcf|R`@E0;8jJpbzekr<?03:8jJpbzekr0b_K\6:lQKHET9;1e^BCLS^kntZhUGDIX=;5aRNOTA`=iZFG\IRoad12347495aVLC\ghvXmx:;<=Qfmq]mRHGXkdzTi|>?013`?kPJIVddx=>?10g8jSKFWge<=>>_hos[kPJIVddx=>?1068jSVF02d]\LQISC:8jSVFW@EIo6`YP@]bja6789;n7cX_A^cm`5678Vcf|R`YP@]bja6789;n7cX_A^kntZhQXH;87cYJ7:lTAZ@TJo1ecy>?01]bja67898?7ca{0123[dhc89:;Sdc_omw4567Whdo<=>?169skiYddb30|bbPcmifj==wgeUxoa|7;qmo[vub|11{czPcmib?uiu|Viggh`6;qmqpZbf|h20|b|{_nrb2>vhz}Uzn6~`ru]qavsk|01{czPsbnq=>vhz}Uxhz>;s18vdk?3{~gSyweg9pkptdmVlb`h`nmdf8wjsuklUgeckab39vt<=qienSnga9:tbhpcXgyh37um6512{h`=k0?8:ubc56.1xFGx89m86NOx27g>C<528qX5;4<5b8155<6;=22>k4lacdxj632281e?8856:&011<435?h5c`a2?a7ck3:1=7?tS84970e=:8:1=>:793d9gdda3L9o>4?:082>5}T1?089n4=118271>>:o0hmoh4$201>4ga3-8:=7:?8:`2`f<72=91o78?{M165?4|@8kn7)<>1;633>{K;<81=v*;0386?x"49>0:hn5U8881<<693wQ>k752zc955>o>93:17b=k0;29?lb22900c>o=:188k6d72900c>k=:188k6g22900c>o8:188m6`72900c>7::188k74f290/><=523;8j7752910c?<7:18'645=:;30b??=:098k754290/><=523;8j7752;10c?==:18'645=:;30b??=:298k756290/><=523;8j7752=10c?=?:18'645=:;30b??=:498k74a290/><=523;8j7752?10c?<=523;8j7752110c?<=523;8j7752h10c?<8:18'645=:;30b??=:c98m26=83.9=>49f:l157<732c=i7>5$330>3`o1k3:1(??<:7d8j7752:10e;o50;&156<1n2d9=?4;;:k5=?6=,;;86;h4n331>0=h59;0=76g97;29 7742?l0b??=:698m30=83.9=>49f:l1575$330>3`o1;3:1(??<:7d8j7752k10e;<50;&156<1n2d9=?4l;:k55?6=,;;86;h4n331>a=21<7*=1285b>h59;0n76g87;29 7742?l0b??=:g98m20=83.9=>49f:l157<6821b;84?:%027?0a3g8:>7?>;:k40?6=,;;86;h4n331>44<3`=86=4+20192c=i:881=>54i6094?"59:0=j6`=13820>=n?80;6)<>3;4e?k46:3;>76g9b;29 7742?l0b??=:048?g50m3:1=o4<6;6bI5293;pD;?74}d2tP9j44>{c8~m=`=831d=;o50;9l6c1=831d>kk50;9j3g<722c8ok4?::m20d<722c8ik4?::m170<722e9n84?::m265<722c8n7>5$330>6go403:1(??<:2c8j7752;10e>850;&156<4i2d9=?4<;:k00?6=,;;86>o4n331>1=h59;0>76g<2;29 7742:k0b??=:798m67=83.9=>45$330>6go5m3:1(??<:2c8j7752h10e?j50;&156<4i2d9=?4m;:k1g?6=,;;86>o4n331>f=h59;0o76g;3;29 7742:k0b??=:d98m14=83.9=>44?:083>5}#;8=1???4H26e?j47n3:17pl0<52?qG?8?51zJ2e`=zD:?96{#;8=1=o;4i`294?=h:8k1<75f9c83>>o>k3:17d96:188f2<72<0;6=u+3059`d=O;=l0@>;>:0y'573=;ol0qd6j:188m<5=831b4l4?::kb4?6=3f8:m7>5;|`4e?6=:3:1301:o51058yv7b=3:1>v38:81892g=9lh0q~7l:181[?d34=14h5rs0g5>5<5s4=1>0;6?uQa19>3?g73twi?n850;797?0|D:?:6=:09~ 67028i;7do?:188k77f2900e4l50;9j=f<722c2?7>5;c594?3=83:p(>?8:ec8L62a3E9>=7?t$006>6`a3tc3i7>5;h;0>5<>i59h0;66sm34294?7=83:p(>?8:035?M53n2e:=84?::a=a<72;0;6=u+3059570<@:>m7d?>7;29?j7bj3:17p}6b;296~X>j27<65o4}r;`>5<5sW3h707k:034?xu6m<0;6?u27;:f?8?c28oi7p}>e783>7}:;<:1=<;4=68:7>{t9l=1<74}|`261<728;1?7?<{%123?75<2e:>=4?::k76?6=3`>86=44i3`94?=n:j0;66g=d;29?l4b2900e?h50;9j75<722c8=7>5;h11>5<>o4>3:17d=7:188m6?=831b?o4?::`264<7200;6=u+30591a=O;=l0e2900e50z&052<2l2B88k5f10:94?=n9831<75f10c94?=n98h1<75f10a94?=n98n1<75f10g94?=n98l1<75`1d`94?=zj8886=4<:183!56?3>o7E=;f:k25=<722c:=44?::m2ag<722wx=?>50;0xZ44734;9?7?jb:p07<72;qU8?52133954>86=4={_60?87593;:56s|2c83>7}Y:k16=??510c8yv4d2909wSi4?:3y]6a=:9;;1=5<5sW8n70?=1;32`>{t:o0;6?uQ2g9>577=98o0q~=?:181[5734;9=7?>f:p74<72;qU?<52130954>7}Y;:16=?<510c8yv532909wS=;;<316?76j2wx?;4?:3y]73=:9;81=5<5sW9370?=2;32`>{t;00;6?uQ389>574=98o0q~=m:181[5e34;9>7?>f:p577=838p1<<>:0ga?875;3;:46s|13094?4|5889665}#;8=1=k64H26e?l7603:17d?>9;29?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17d?>f;29?j7bj3:17pl>4b83>6<729q/?<951dg8L62a3`;:47>5;h32=?6=3f;nn7>5;|`20a<72:0;6=u+30595`c<@:>m7d?>8;29?l7613:17b?jb;29?xu67}Y:o=01<:m:03:?xu65183>7}Y9<:01<:m:03b?xu6=;0;6?uQ1408942e28;i7p}>5583>7}Y9<>01<:m:03`?xu6=?0;6?uQ1448942e28;n7p}>5983>7}Y9<201<:m:03g?xu6=h0;6?uQ14c8942e28;m7p}>5b83>7}Y94c83>7}:9=h1=hl4=06g>47?3ty:8n4?:3y>51e=9lh01<:k:03:?x{e;=n1<7j53;dx 6702:>o7b=;9;29?l5483:17d=<2;29?l54<3:17d=<6;29?l5403:17d=50z&052<6n11C?9h4i03;>5<5<5<5<5<55;294~"49>0:j95G35d8m47?2900eed9K71`5<52z\075=:;=k1=<74}r106?6=:rT8??5235c954>52z\071=:;=k1=52z\07==:;=k1=52z\07f=:;=k1=52z\005=:;=h1=<64}r176?6=:rT88?5235`954g52z\001=:;=h1=<74}r172?6=:rT88;5235`954d52z?00d<6mk16?9m510:8yv53j3:1>v3<4c82ag=:;=i1=<74}|`2aa<72<0;6=u+305912=O;=l0e2900e50z&052<3n2B88k5f10:94?=n9831<75`1d`94?=zj;926=4;:183!56?3?:7E=;f:k25=<722c:=44?::k25d<722e:io4?::a66c=8391<7>t$234>4cb3A9?j6g>1983>>o6900;66a>ec83>>{e;;l1<7;50;2x 6702:i;h325;h32e?6=3`;:n7>5;n3ff?6=3th8854?:283>5}#;8=18i5G35d8m47?2900e5<4290;w)=>7;3fa>N46=4?{%123?313A9?j6g>1983>>o6900;66g>1`83>>o69k0;66a>ec83>>{e9?h1<7=50;2x 6702=o0D>:i;h325;n3ff?6=3th8o84?:283>5}#;8=18i5G35d8m47?2900e5<2290;w)=>7;77?M53n2c:=54?::k25<<722c:=l4?::k25g<722e:io4?::a767=83?1<7>t$234>01<@:>m7d?>8;29?l7613:17d?>a;29?l76j3:17b?jb;29?xd4;:0;684?:1y'741==?1C?9h4i03;>5<5<5<55;294~"49>0>;6F<4g9j54>=831b=<750;9j54g=831b==8:186>5<7s-9:;7;9;I17b>o6910;66g>1883>>o69h0;66g>1c83>>i6mk0;66sm32;94?3=83:p(>?8:448L62a3`;:47>5;h32=?6=3`;:m7>5;h32f?6=3f;nn7>5;|`07g<72<0;6=u+305910=O;=l0e2900e50z&052<2>2B88k5f10:94?=n9831<75f10c94?=n98h1<75`1d`94?=zj:9m6=4::183!56?3?>7E=;f:k25=<722c:=44?::k25d<722c:=o4?::m2ag<722wi?9?50;794?6|,:;<6884H26e?l7603:17d?>9;29?l76i3:17d?>b;29?j7bj3:17pl<4283>0<729q/?<95549K71`5<5<N46=4?{%123?333A9?j6g>1983>>o6900;66g>1`83>>o69k0;66a>ec83>>{e;9>1<7;50;2x 6702:i;h325;h32e?6=3`;:n7>5;n3ff?6=3th8<;4?:483>5}#;8=1985G35d8m47?2900e5<2290;w)=>7;74?M53n2c:=54?::k25<<722c:=l4?::k25g<722e:io4?::a75e=83?1<7>t$234>01<@:>m7d?>8;29?l7613:17d?>a;29?l76j3:17b?jb;29?xd48l0;684?:1y'741==?1C?9h4i03;>5<5<5<55;294~"49>0>46F<4g9j54>=831b=<750;9j54g=831b=5<7s-9:;7;8;I17b>o6910;66g>1883>>o69h0;66g>1c83>>i6mk0;66sm14394?3=83:p(>?8:448L62a3`;:47>5;h32=?6=3`;:m7>5;h32f?6=3f;nn7>5;|`216<72<0;6=u+305913=O;=l0e2900e50z&052<2=2B88k5f10:94?=n9831<75f10c94?=n98h1<75`1d`94?=zj8?<6=4::183!56?3?=7E=;f:k25=<722c:=44?::k25d<722c:=o4?::m2ag<722wi=8750;794?6|,:;<68;4H26e?l7603:17d?>9;29?l76i3:17d?>b;29?j7bj3:17pl>5c83>0<729q/?<95549K71`5<5<N41983>>o6900;66a>ec83>>{e::n1<7=50;2x 6702=o0D>:i;h325;n3ff?6=3th8=<4?:583>5}#;8=1=k?4H26e?l7603:17d?>9;29?l76i3:17b?jb;29?xd4890;6>4?:1y'741=5<5<54;294~"49>0:j<5G35d8m47?2900e50z&052<3l2B88k5f10:94?=n9831<75`1d`94?=zj:;>6=4<:183!56?3;ni6F<4g9j54>=831b=<750;9l5`d=831vn?l8:180>5<7s-9:;7:j;I17b>o6910;66g>1883>>i6mk0;66s|8g83>6}Y0o16?n=59c9>7f0=1k1v<8n:181[71i27::o4>ec9~w7`02908wS16>k651d`8yv4am3:1>vP=fd9>75`=9lh0q~9m:180[1e349h:77l;<1`1?7602wx?nh50;0xZ6ea349h?796;|q20d<72;qU=9o4=07f>42f3ty8ik4?:2y]7``<5:8m6>;50;1xZ7523488i7?jb:?06c<6901v?l::181[4e=279nl4>ec9~w4472909wS?=0:?261<6:91v<:j:181872m3;?i63>4g82ag=z{8?;6=4={<36a?72827:9<4>ec9~w4352909w0?:e;366>;6=:0:io5rs077>5<5s4;>i7?:4:?210<6mk1v<;9:181872m3;>:63>5682ag=z{8?36=4={<36a?72027:944>ec9~w43f2909w0?:e;36e>;6=k0:io5rs07`>5<5s4;>i7?:c:?21a<6mk1v?l9:18184ei3;:563=b682ag=z{8oh6=4<{<3f`?7bj279nl4>199>7f3=9830q~=?3;296~;48=0:io52303954>52z?043<6mk16?v3<0982ag=:;8;1=ec9>745=9820q~=?b;296~;48j0:io52301954?52z?04`<6mk16?<=510c8yv4an3:1>v3<0182ag=:;8?1=<64}r135?6=:r78ec9>743=9830q~=l3;296~;4k:09=l523b49e5=z{:i=6=4;{<1`2?46i279?o4>189>66b=98201?l8:03;?xu5j10;6?u22c;95`d<5;h<67}:;;l1=4ce3ty9?l4?:3y>66c=98201?=m:0ga?xu5;j0;6?u222g954?<5;9o67}:;j915n523b795`d53z?06c<6mk16?96510:8966a28;37p}<1183>7}:;8;1=hl4=223>47>3ty8=?4?:3y>745=9lh01>>=:03:?xu49=0;6?u231d954?<5:;>67}:::31=hl4=31g>47>3ty8??4?:3y>71b=;:801>=<:0ga?xu4;=0;6?u235f9762<5:9>67}:;=n1?>64=21:>4ce3ty8?l4?:3y>71b=;:k01>=m:0ga?xu4<=0;6?u235f9712<5:>>66}:;=n1?984=264>4ce349;<7?>8:p76e=839p1>:k:21`?854l3;nn63<03825==z{:9n6=4={<17`?54m278?k4>ec9~w6272909w0=;d;174>;4<80:io5rs261>5<5s49?h7=;2:?006<6mk1v?=9:180844?3;nn63>6c825<=:::h1=<64}r1a>547>348i57?>b:?20c<69k16=8?510`8943428;i70?:5;32f>;6=>0:=o5214;954d<58?i65fz\0=>;6:=08563>ee825g=:::=1=<64=31:>47>348m47?>b:?1f<<69h16=9h510c8943628;270?:3;32=>;6=<0:=552145954g<58?261`9'6cd=;h1e>ko51:p7=<72oqU?55213697==:9ln1=<74=31:>47f348m47?>8:?06f<69016>o7510;8942a28;270?:1;32<>;6=:0:=l52147954g<58?<6189>50b=9830(?hm:2c8j7`f2;1v>850;gxZ60<588?6>84=0gg>47?348m47?>a:?06f<69116>o7510:8942a28;370?:1;32e>;6=:0:=552147954?<58?<6199>50b=9820(?hm:2c8j7`f2:1v>:50;1xZ62<588?6>:4=20f>47e3-8mn7=n;o0ee?2"5nk08m6`=f`86?xu4:3:1?vP<2:?261<4:278>h4>189'6cd=;h1e>ko56:p74<72:qU?<52136974=:;;o1=<64$3da>6g;4;<0:=l52325954?<5:9261c9>76`=98h01>:>:03a?853;3;:n63<44825g=:;==1=6g;4;<0:=o52325954d<5:926189>76`=98201>:>:03b?853;3;:m63<44825d=:;==1=6g;4;<0:=452325954><5:9261`9>76`=98k01>:>:03:?853;3;:463<44825<=:;==1=<74$3da>6g;4;<0:=552325954g<5:926199>76`=98301>:>:03;?853;3;:563<44825==:;==1=<64$3da>6g|V;i01<<;:3a8966328;i70=?6;32f>;4810:=o5231c954g<5::h658z\1f>;6:=09n63<05825d=:;9<1=47f349;m7?>9:?04f<69016?=k510:8 7`e2:k0b?hn:e9~w15=832pR9=4=007>15<5::?6189>75g=98201>>l:03a?857m3;:n6*=fc80e>h5nh0n7p};2;29<~X3:27:>94;2:?041<69016?=8510:8966?28;370=?a;32f>;48j0:=55231g954g<,;li6>o4n3db>c=z{8o=6=4={<167?47n278o>4n0:~f167290887=?:44xH63628qC=lk4}M166?4|f=:86>;4$521>0=z,:;<6?=;;[::>7}>2o0vV?h6:3yb>`>i4jo0;66g>o4mo0;66g>o5;<0;66a>o5j<0;66a=c583>>i4jk0;66a=2`83>!46;38956`=1383?>i5:10;6)<>3;01=>h59;0:76a=3283>!46;38956`=1381?>i5;;0;6)<>3;01=>h59;0876a=3083>!46;38956`=1387?>i5;90;6)<>3;01=>h59;0>76a=2g83>!46;38956`=1385?>i5:l0;6)<>3;01=>h59;0<76a=2e83>!46;38956`=138;?>i5:j0;6)<>3;01=>h59;0276a=2c83>!46;38956`=138b?>i5:>0;6)<>3;01=>h59;0i76g<8;29 7742:=0b??=:198m60=83.9=>4<7:l157<632c887>5$330>61o4:3:1(??<:258j7752=10e>?50;&156<4?2d9=?4:;:k04?6=,;;86>94n331>3=h59;0<76g=e;29 7742:=0b??=:998m7b=83.9=>4<7:l157<>32c9o7>5$330>61o3;3:1(??<:258j7752j10e9<50;&156<4?2d9=?4k;:k75?6=,;;86>94n331>`=h59;0m76g<=5369m644=9810e>j50;&156<4?2d9=?4>2:9j7f<72-8:?7=8;o026?7432c897>5$330>61tL272>4}O9ho0qA=:2;3x 1652?1v(>?8:031?l?e2900c=:188k7632900c?>9:188k76?2900c?>n:188k76d2900c?>k:188m4g?2900e7de3`;j47>5;h3b=?6=3`;jm7>5;h3bf?6=3`;jo7>5;h3b`?6=3`3n6=44o0df>5<5<5<5<5<5<2480bc=za1k1<75fa183>>i59h0;66s|9c83>7}Y1k16;76n;|q2a0<72;q6;7<>a:\15d=z{8o=6=4={_c3?81=i91vqok8:180>4<4sE9>=7?tH0cf?x"49>0on6gn0;29?j46i3:17d7m:188f2<72:0;6=u+3059`<=O;=l0@>;>:0y'573=;ol0qd6n:188md6=831d>{t9l?1<74}|`e:i;M165?7|,88>6>hi;|k;e?6=3`k;6=44o33b>5<5<5<1<729q/?<95509K71`5<5<n7E=;f:k25=<722c:=44?::m2ag<722wii<4?:283>5}#;8=18h5G35d8m47?2900e5<7s-9:;7;n;I17b>o6910;66g>1883>>o69h0;66g>1c83>>o69j0;66a>ec83>>{em=0;684?:1y'741=9o>0D>:i;h325;h32e?6=3`;:n7>5;n3ff?6=3tym47>54z\e<>;b=3;:m63kf;32<>;b;3;:46s|e683>1}Ym>16i84>189>a4<69116i>4>1`9~wae=83>pRim4=d7954><5ml1=<74=d3954?52z\2e==:m:0:=n5rs0cb>5<5sW;jm63j3;32f>{t9hn1<7ec9>a1<6911vih50;0x9a`=9lh01h:510;8yvc72909w0k>:0ga?8c328;j7p}j2;296~;b;3;nn63j4;32f>{zjo=1<7758;33!56?3l<7djl:188m4g?2900e5;cd5>5<3290;w)=>7;72?M53n2c:=54?::k25<<722c:=l4?::m2ag<722wiil4?:583>5}#;8=19?5G35d8m47?2900e1983>>o6900;66g>1`83>>o69k0;66g>1b83>>i6mk0;66smed83>6<729q/?<954d9K71`5<5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::ab7<72:0;6=u+30590`=O;=l0e2900c1983>>o6900;66g>1`83>>i6mk0;66smf483>=<729q/?<951g58L62a3`;:47>5;h32=?6=3`;:m7>5;h32f?6=3`;:o7>5;h32`?6=3`;:i7>5;n3ff?6=3tyoo7>53z\gg>;a>3;:m63i2;32=>{t9h21<7:t^0c;?8`128;370kl:03a?8`328;27p}>ab83>1}Y9hi01k8510;89`g=98k01k:510c8yvc029047>34oh647?34l;647?34l?6<5li1=<64}r3b=?6=:rT:m452eb825f=z{8ki6=4={_3bf>;bk3;:m6s|f983>6}Yn116ih4>189>b5<6911vh650;0xZ`><5o?1=hl4}rg:>5<5s4l=647?3tynm7>52z?fe?7bj27m97?>9:pag<72;q6in4>ec9>b0<69h1vhj50;0x9`c=9lh01k;510`8yvca2909w0h?:0ga?8`228;h7p}i1;296~;a:3;nn63i5;32`>{tn:0;6?u2f582ag=:n<0:=h5r}c330?6=03<1hv*<168241=n9h21<75f1`c94?=nn10;66g>ab83>>ob?3:17djl:188m4gc2900ck750;9a555=83?1<7>t$234>03<@:>m7d?>8;29?l7613:17d?>a;29?l76j3:17b?jb;29?xdaj3:197>50z&052<2<2B88k5f10:94?=n9831<75f10c94?=n98h1<75`1d`94?=zjon1<7=50;2x 6702=o0D>:i;h325;n3ff?6=3thmj7>53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831vn<>>:186>5<7s-9:;7;9;I17b>o6910;66g>1883>>o69h0;66g>1c83>>i6mk0;66sm11094?0=83:p(>?8:0d6?M53n2c:=54?::k25<<722c:=l4?::k25g<722c:=n4?::m2ag<722wx=l650;6xZ4g?34;;?7?>9:?ef?76027:<<4>1`9~w4gf2908wS?na:?246<69h16==?510`8yv`?290>wSh7;<337?76027mh7?>8:?eb?76027:<<4>189~w4gd2908wS?nc:?246<69k16jo4>1c9~w`1=839pRh94=g`954?<5on1=<74}rf`>5<4sWnh70hm:03b?8`a28;27p}>ae83>7}Y9hn01<>>:03;?xua13:1>vPi9:?247<6mk1vko50;0x946428oi70??2;32<>{tnk0;6?u2fc82ag=:9981=<74}rd`>5<5s4lo6bc<6mk16==<510`8yv7783:1>v3>0082ag=:9981=2900e1<7>t$234>04<@:>m7d?>8;29?l7613:17d?>a;29?j7bj3:17pl=0683>1<729q/?<95509K71`5<5<54;294~"49>0>>6F<4g9j54>=831b=<750;9j54g=831d=hl50;9~f76e290?6=4?{%123?363A9?j6g>1983>>o6900;66g>1`83>>i6mk0;66sm21g94?5=83:p(>?8:5g8L62a3`;:47>5;h32=?6=3f;nn7>5;|qgg?6=kr7oo7<>a:?f2?bd34l<6im4=027>ae<58lm64>199>653=98201?>8:03;?84713;:m63=0c825<=::9o1=<64}rg4>5`1<5o=1i:521169a2=:9ol1=<74=322>47>348;?7?>9:?140<69h16>=9510;8976>28;270;58l0:=45rsg:94?d|5o21>0m463>058e<>;6no0:=552213954><5;:861`9>65?=98201?>m:03b?xu6i10;69uQ1`:89`0=9h201k951`:8946328k37p}>a883>7}Y9h301k951`;8yv7fi3:18vP>a`9>a3<6ih16j:4>a`9>552=9hk0q~?nb;296~X6ik16j:4>ac9~w4gd2908wS?nc:?e3?7fk27:<94>ab9~w4gc2908wS?nd:?f2?7fl27:<94>ae9~wpR4k4=ea9=g=:m>02n63i8;;a?xu6nl0;6?uQ1gg894`a28oi7p}=0183>7}Y:9:01?>>:0ga?xu58;0;6?uQ2108976428oi7p}=0583>7}Y:9>01?>::0ga?xu58?0;6?uQ2148976028oi7p}=0983>7}Y:9201?>6:0ga?xu58h0;6?uQ21c8976e28oi7p}=0e83>7}Y:9n01?>j:0ga?xucl3:1>v3kc;c3?8c12mn0q~k7:1818c02h:01k95e99~wc?=838p1k65a19>552=n01vq~7m:181[?e34;:=77j;|q2b`<72;qU=kk4=032>4`b3ty9<=4?:3y]656<58;:6?>?;|q147<72;qU>=<4=032>7653ty9<94?:3y]652<58;:6?>;;|q143<72;qU>=84=032>7613ty9<54?:3y]65><58;:6?>7;|q14d<72;qU>=o4=032>76f3ty9l;|q14a<72;qU>=j4=032>76c3ty:m54?:3y]5d><58;:64g>3ty:ml4?:3y]5dg<58;:64ge3ty:mn4?:3y]5de<58;:64gc3twi=k750;796?3|D:?:6=:79'057=;>l0(>h6:`28 6`e2;;j7)=i7;;a?k5a;3;0(>h7:8a8j6`3281/?kj52ga8j6`2291v(>?8:0aa?l?e2900e4m50;9je5<722e9=l4?::k1bf<722h<6=4::386I5293;pD5;h;`>5<2480bc=za1o1<75f9283>>o?i3:17do?:188k77f2900qo=:0;295?6=8r.8=:4>179K71`6=44}r;a>5<5sW3i70958`9~w{t9l?1<747234=15>5rs0g5>5<5s4=1>0;6?uQa19>3?g73twi?:850;194?6|,:;<6o6910;66g>1883>>i6mk0;66s|9c83>7}Y1k16;77m;|q:g?6=:rT2o63<77825<=z{;;j6=4={_02e>;02;;j7p}=fb83>6}Y:oi01:4n0:?033<6911v>9::18181=1j16?:851d`8yxd5d}#<981:6*;00803c=#;o31m=5+3g`964g<,:l<64l4n2d0>4=#;o215n5a3g695>"4nm09jn5a3g794>{#;8=1=i<4i8`94?=n1j0;66gn0;29?j46i3:17d7;tL272>4}O9ho0q)=>7;3a0>of83:17b<>a;29?l?e2900e4m50;9j=6<722h<6=4::183!56?3nj7E=;f:N014<6s-;997=if:j<`<722c2?7>5;h:b>5<:183!56?3;::6F<4g9l543=831v4l50;0xZ03m6s|9b83>7}Y1j16;76j;|q2a0<72;q6?8>5107892<>;2wx=h850;0x92<59h1U>4?:1y'741=9ll0D>:i;h325;n3ff?6=3ty2n7>52z\:f>;020h0q~7l:181[?d349<:7?>9:p64g=838pR??n;<5964g53z\1bf=:?3k;70=86;32<>{t;>?1<7;4??0:io5r}c5g>5<42808wA=:1;3xL4gb3tF89?4>{%636?05;h;a>5<0;6>4?:1y'741=l01C?9h4i9c94?=ni90;66a=1`83>>{t1k0;6?uQ9c9>3?>f3ty:i84?:3y>3?46i2T9=l5rs0g5>5<5sWk;7095a19~yg42<3:1?7?53zN014<6sA;ji6sC34095~"38;0=7p*<1682`1=ni90;66a=1`83>>o>j3:17o950;194?6|,:;<6i74H26e?I5293;p(<<::2de?xo?i3:17do?:188k77f2900q~7m:181[?e34=14l5rs0g6>5<5s4=1>3?g73twi>;750;195?5|D:?:6=:79~ 67028n>7do?:188k77f2900e4l50;9a3?6=;3:1N4X59h1vd79je5<722e9=l4?::k:f?6=3k=1<7=50;2x 6702m30D>:i;M165?7|,88>6>hi;|k;e?6=3`k;6=44o33b>5<4b03`k;6=44o33b>5<2480bc=za1k1<75fa183>>i59h0;66s|9c83>7}Y1k16;76n;|q2a0<72;q6;7<>a:\15d=z{8o=6=4={_c3?81=i91vqo=6a;297?4={I3ba>{K;<81=v*;0385?x"49>0:n;5fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7d6n:188md6=831d>t$234>4413A9?j6g>1683>>i6mk0;66s|9c83>7}Y1k165k4>169~w4c22909w0958`9>=c<6mk1v5<3290;w)=>7;71?M53n2c:=54?::k25<<722c:=l4?::m2ag<722wi>;:50;194?6|,:;<6o6910;66g>1883>>i6mk0;66s|27394?4|V;<:70<94;3ff>{t:ki1<7{t:{t:kn1<7{t:6;=50;0x970428oi70<94;32=>{zj;=96=46:48g!56?38<>6a=6`83>>o5jj0;66g=6683>>o5=k0;66g=bd83>>o5jo0;66g=c383>>o5jm0;66g=5683>>d5?80;6>4?:1y'741=5<5<53;294~"49>0:ih5G35d8m47?2900e5<4290;w)=>7;6g?M53n2c:=54?::k25<<722e:io4?::a626=83<1<7>t$234>4`23A9?j6g>1983>>o6900;66g>1`83>>o69k0;66g>1b83>>i6mk0;66s|27c94?4|V;{t:ki1<7;5>j0:=55rs37a>5<5sW8>n63=70825<=z{;hn6=4={_0aa>;5?90:=45rs3`e>5<5sW8ij63=71825d=z{;i96=4={_0`6>;5?90:=o5rs3`g>5<5sW8ih63=6g825==z{;?<6=4={_063>;5>o0:=45rs34a>5<5s48<=7?jb:?12f<6901v199~w70b2909w0<9f;3ff>;5?90:=n5r}c07f?6=k3=1=?u+305961d:6=44i3``>5<5<5<5<5<5<j6=4;:183!56?3?97E=;f:k25=<722c:=44?::k25d<722e:io4?::a615=8391<7>t$234>4cb3A9?j6g>1983>>o6900;66a>ec83>>{e:=>1<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th98;4?:283>5}#;8=18h5G35d8m47?2900eed9K71`5<N4290<6=4?{%123?7a>2B88k5f10:94?=n9831<75f10c94?=n98h1<75f10a94?=n98n1<75`1d`94?=z{;>:6=4={_075>;5<00:io5rs3``>5<5sW8io63=45825==z{;<<6=4<{_053>;5n7>52z\11g=::=k1=<74}r06`?6=:rT99i5225c954g52z\1f`=::=31=<74}r0ab?6=:rT9nk5225;954g52z\1g5=::=31=52z\1fa=::=21=<64}r063?6=;rT99:52254954><5;><68h4=365>47>3ty98?4?:3y>61g=9lh01?:<:03:?xu6m<0;6?u225195`d<5;>?69:51d`8972>28;37p}=4483>7}::=<1=hl4=364>47>3ty:i:4?:3y>611=9lh01?:7:03:?xu6m10;6?u225:95`d<5;>26=83>1?78t$234>71?3f89;7>5;h05=?6=3`9>6=44i3`94?=e:>=1<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th9;84?:283>5}#;8=18h5G35d8m47?2900eed9K71`5<:5226495`d53z\12<=::>=1=<64=356>47?3ty897>52z\01>;5?>0:=45rs3`94?4|V;h01?9::03:?xu5?:0;6?u226595`d<5;==6:;51d`8971128;27psm26g94?2=;3?8:35f?j45j3:17d<99;29?l5d2900e?m50;9a62b=8391<7>t$234>1b<@:>m7d?>8;29?l7613:17b?jb;29?xd5?k0;6>4?:1y'741=5<5<53;294~"49>0:ih5G35d8m47?2900e:m51d`8yv4113:1?vP=689>62b=98201?9m:03;?xu4k3:1>vP:j51d`8971d28;37p}=7`83>7}::>h1=hl4=35`>47>3twi>5:50;697?0|,:;<6?6;;n01g?6=3`8=57>5;h1g>5<?8:5f8L62a3`;:47>5;h32=?6=3f;nn7>5;|`1<4<72:0;6=u+30590`=O;=l0e2900c50z&052<6ml1C?9h4i03;>5<5<52z\16f=::181=hl4}r05=?6=;rT9:452291954><5;2:6628;27p}=7g83>7}::191=hl4=3:1>47?3ty94=4?:3y>6=7=9lh01?6=:03:?x{e:1k1<7:53;4x 6702;2j7b<=d;29?l4113:17d=j:188m7c=831i>5750;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl=8683>6<729q/?<954d9K71`5<2900cvP=2e9>6=>=9lh0q~<99;297~X5>016>57510:897>028;37p}189~w7c=838pR?k4=3:4>47>3ty9484?:3y>6=?=9lh01?67:03;?xu50?0;6?u229595`d<5;2361?78t$234>7?73f89i7>5;h05=?6=3`9m6=44i3d94?=e:1l1<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th94i4?:283>5}#;8=18h5G35d8m47?2900eed9K71`5<h5229g95`d53z\12<=::1l1=<64=3:g>47?3ty8j7>52z\0b>;50o0:=45rs3d94?4|V;l01?6k:03:?xu50k0;6?u229d95`d<5;2n65j51d`897>b28;27psm28494?2=;3?8:3;5?j45n3:17d<99;29?l272900e>>50;9a6<3=8391<7>t$234>1b<@:>m7d?>8;29?l7613:17b?jb;29?xd51:0;6>4?:1y'741=5<5<53;294~"49>0:ih5G35d8m47?2900e4:51d`8yv4113:1?vP=689>6<3=98201?7<:03;?xu383:1>vP;0:?1=0<6901v>>50;0xZ66<5;3864;51d`897?328;37p}=9383>7}::091=hl4=3;7>47>3twi>4m50;697?0|,:;<6?7l;n004?6=3`8=57>5;h62>5<?8:5f8L62a3`;:47>5;h32=?6=3f;nn7>5;|`1=<<72:0;6=u+30590`=O;=l0e2900ci3:1?7>50z&052<6ml1C?9h4i03;>5<5<52z\175=::0k1=hl4}r05=?6=;rT9:45228`954><5;32628;27p}=9683>7}::0h1=hl4=3;b>47?3ty9554?:3y>6l?50;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl=9g83>6<729q/?<954d9K71`5<2900cvP=309>6d6=9lh0q~<99;297~X5>016>l?510:897?a28;37p};2;296~X3:279m<4>189~w64=838pR><4=3;e>47>3ty95i4?:3y>6d7=9lh01?o?:03;?xu51l0;6?u228d95`d<5;k;6=83>1?78t$234>7g?3f88>7>5;h05=?6=3`>86=44i2194?=e:h=1<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th9m84?:283>5}#;8=18h5G35d8m47?2900eed9K71`5<53z\12<=::h=1=<64=3c6>47?3ty??7>52z\77>;5i>0:=45rs2194?4|V:901?o::03:?xu5i:0;6?u22`595`d<5;k=6l;51d`897g128;27psm2`g94?2=;3?8:3cf?j44;3:17d<99;29?l512900e>:50;9a6db=8391<7>t$234>1b<@:>m7d?>8;29?l7613:17b?jb;29?xd5ik0;6>4?:1y'741=5<5<53;294~"49>0:ih5G35d8m47?2900elm51d`8yv4113:1?vP=689>6db=98201?om:03;?xu4>3:1>vP<6:?1ea<6901v>:50;0xZ62<5;ki6lj51d`897gd28;37p}=a`83>7}::hh1=hl4=3c`>47>3twi>nh50;194?6|,:;<6o6910;66g>1883>>i6mk0;66sm3e794?4=83:p(>?8:005?M53n2c:=:4?::m2ag<722wi?h>50;094?6|,:;<6<<9;I17b>o69>0;66a>ec83>>{e;ko1<7<50;2x 670288=7E=;f:k252<722e:io4?::a7f4=8381<7>t$234>4413A9?j6g>1683>>i6mk0;66sm3ba94?4=83:p(>?8:005?M53n2c:=:4?::m2ag<722wi?i?50;094?6|,:;<6<<9;I17b>o69>0;66a>ec83>>{e:<91<7=50;2x 67028on7E=;f:k25=<722c:=44?::m2ag<722wi=kl50;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl=5383>6<729q/?<951dg8L62a3`;:47>5;h32=?6=3f;nn7>5;|`0`1<72:0;6=u+30590c=O;=l0e2900c50z&052<202B88k5f10:94?=n9831<75f10c94?=n98h1<75`1d`94?=zj:ho6=4::183!56?3?37E=;f:k25=<722c:=44?::k25d<722c:=o4?::m2ag<722wi?n?50;194?6|,:;<69k4H26e?l7603:17d?>9;29?j7bj3:17pl1<729q/?<95539K71`5<5<53;294~"49>0:ih5G35d8m47?2900e5<3290;w)=>7;73?M53n2c:=54?::k25<<722c:=l4?::m2ag<722wi>8850;794?6|,:;<6884H26e?l7603:17d?>9;29?l76i3:17d?>b;29?j7bj3:17pl=5983>6<729q/?<954d9K71`5<N45<7s-9:;7?i1:J00c=n9821<75f10;94?=n98k1<75`1d`94?=zj;?h6=4;:183!56?3?:7E=;f:k25=<722c:=44?::k25d<722e:io4?::a60c=83>1<7>t$234>06<@:>m7d?>8;29?l7613:17d?>a;29?j7bj3:17pl=6183>6<729q/?<954e9K71`5<a348in77m;<3e=??e348?o77m;<5g>;64l4=3g5>n6189>60g=98301?;j:03b?84183;:56s|3ef94?4|V:no70=j0;3ff>{t;1i1<78t^2:`?85c<3;:46347?349hn7?>9:p7a4=838pR>j=;<1g1?7bj2wx?n750;0xZ6e>349ho7?jb:p77n;<1:e?46i2wx>h950;1xZ7c0348in7?n9:?113<69k1v:l50;`xZ2d<5;hi63a1`9>60>=98201?;n:03b?842k3;:m63=5d825==::?:1=<64}r1ab?6=:rT8nk523b095`d52z\0e`=:;kn1=189~w6b12909wS=k6:?0`c<69h1v?=::18b[44=279no4>a`9>6f`=9820147?348>o7?>9:?11`<6901v>j?:181[5c8278h<4>ec9~w7d22909wS1v?m;:181[4d<279ok4>ec9~w6de2909wS=mb:?0f`<6mk1v:j50;1x97de28ki709k:33b?842>3;:56s|22d94?2|5;?861c9>7fd=9820q~<;d;296~;5=;0:=55225g95`d52z?117<69016>8>51d`8yv4b>3:1?vP=d79>6`0=:8k01>mj:03:?xu4k90;6?u23b09541<5:i:65105896ba28oi7p}=4183>7}::=:1>47?3ty98n4?:3y>61e=:8k01?;<:03:?xu5jj0;6;u22c`95cc<5;<=6?ll;<046?4ek2798o4=bb9>5cd=98201?:j:03;?xu5jm0;68u22c`9656<5;<=6?lk;<046?4el2798o4=be9>606=9820q~i6?lj;|q110<72;q6>8851d`8970?28;37p}=bg83>6}::kh1>=:4=351>7da348?n7;63=4c8112=::<21=hl4=34;>47>3ty9o=4?:3y>6gd=:9<01?:m:3a3?xu5=00;6?u224c95`d<5;<36ol521:8972e2;i:7p}=5c83>1}::?<1>8l4=351>73e348?n7<:b:?11f<6mk1v?m=:18184ej38;m63=7381g7=z{;?o6=4<{<052?42l2798o4=5e9>60c=9lh0q~?i9;291~;5jk0:mi521g;964g<5;>n6199~w73a2908w0<96;06b>;552z?1fg<58m16>h85a19~w6dd2909w0=me;323>;4jm0:io5rs2ab>5<5s49hn7?jb:?0g`<6911v?;>:181843k33h70<:2;3ff>{t;0h1<7d6<5:3h6;7520c8971?2;<270<8e;05=>;50=09:45229c963?<5;3;6?86;<0:2?4112795n4=689>6d4=:?301?o7:34:?84fm38=563<9b8252=z{;7<9a:p5cg=838p11}::?<1>;94=351>700348?n7<97:?12=<6mk1v>mk:18185dk3;:;63:6=4={<074?g7348?n7<;1:p602=838p1?;;:33b?84dn3;:56s|27394?4|5;??6l>4=345>7063ty8h>4?:3y>7a3=98=01>j;:0ga?xu5;:0;6?uQ221897gb2;987)h5nh097p}=3383>7}Y::801?o7:311?!4aj38956`=f`80?xu5;80;6?uQ223897g52;9:7)h5nh0?7p}=3183>7}Y:::01?7l:313?!4aj38956`=f`86?xu5:o0;6?uQ23d897?12;8m7)h5nh0=7p}=2d83>7}Y:;o01?7?:30f?!4aj38956`=f`84?xu5:m0;6?uQ23f897>f2;8o7)h5nh037p}=2b83>7}Y:;i01?6;:30`?!4aj38956`=f`8:?xu5:k0;6?uQ23`8971b2;8i7)h5nh0j7p}=2683>7}Y:;=01?97:304?!4aj38956`=f`8a?xu403:1>vP<8:\16==#:oh1?:5a2gc94>{t;?0;6?uQ379>6dc=;?1/>kl5369m6cg=92wx?94?:2y]71=Y:;k01?oj:268 7`e2:=0b?hn:39~w65=838pR>=4=3c;>65<,;li6>94n3db>6=z{:81<7?50;0xZ67<5;3h6>?4$3da>617`<,;li6>94n3db>2=z{;o1<7f2;o0(?hm:258j7`f211v?j50;0xZ7b<5;2?6?j4$3da>617d<,;li6>94n3db>g=z{=91<7614=3;5>16<,;li6>94n3db>c=z{:l1<7;|q0`?6=:rT8h63=8580`>"5nk08;6`=f`826>{t;j0;6?uQ3b9>62c=;j1/>kl5369m6cg=9:1v>;50;0xZ63<5;=36>;4$3da>61:4<5zN014<6sA;ji6sC34096~h38:0?46*;0386?x"49>0:845f8g83>>o6;:0;66g>6`83>>o6:>0;66g<8b83>>i0m3:17d9m:188k40d2900e>oj:188m42f2900c<96:188m6ca2900e>j9:188k43a2900c?m;:188k6g22900c<7l:188k12=831d8n4?::`151<72k0:6ouC34395~N6il1v@>;=:0y'054=<2w/?<951018m=<50;9l652=831d>=850;9j5d>=831b=l750;9j5dg=831b=ll50;9j5de=831i=a983>>o6i00;66g>a`83>>o6ik0;66g>ab83>>o>m3:17b?ie;29?j4783:17bof83:17b<>a;29?l?e2900n:4?:283>5}#;8=1h45G35d8H63628q/=?;53gd8yl>f2900el>50;9l64g=831v4l50;0xZ03m6s|1d794?4|5>09=l5Q20c8yv7b>3:1>vPn0:?4>d653;397~J4=80:wE?ne:'741=lk1bm=4?::m15d<722c2n7>5;c594?5=83:p(>?8:e;8L62a3E9>=7?t$006>6`a3tc3m7>5;hc3>5<5<5sW3i70958`9~w4c22909w09520c8Z77f3ty:i;4?:3y]e5=:?3k;7psme783>1<52?8:5f8L62a3`;:47>5;h32=?6=3f;nn7>5;|`gb?6=;3:12900c47?3ty:mn4?:3y]5de<5l:1=<74}rg4>5<5sWo<70ji:03:?xucl3:1>vPkd:?gb?7bj2wxhh4?:3y>a5<6mk16hk4>199~yg`029036;4k{%123?`03`;j47>5;hf`>5<>da;3:1?7>50z&052<3m2B88k5f10:94?=n9831<75`1d`94?=zjlk1<7=50;2x 6702=o0D>:i;h325;n3ff?6=3thno7>53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831vnhk50;694?6|,:;<68?4H26e?l7603:17d?>9;29?l76i3:17b?jb;29?xda83:197>50z&052<2>2B88k5f10:94?=n9831<75f10c94?=n98h1<75`1d`94?=zjo81<7850;2x 67028l>7E=;f:k25=<722c:=44?::k25d<722c:=o4?::k25f<722e:io4?::p5d>=838pR47>3tyoo7>55z\gg>;a;3;:463ja;32<>;bm3;:563i0;32=>{tm>0;69uQe69>ad<69016in4>189>a`<6911v8:?fa?76i2wx=l750;0xZ4g>34l;652z\2eg=:n90:=55rsd:94?4|Vl201k<51d`8yvc>2909w0h<:0ga?8`528;37p}ja;296~;bi3;nn63i2;32=>{tmk0;6?u2eb82ag=:n;0:=l5rsdf94?4|5lo1=hl4=g0954d4ce34l96t$234>1c<@:>m7d?>8;29?l7613:17b?jb;29?xd5880;6>4?:1y'741=5<5<53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831vn?>::180>5<7s-9:;7:i;I17b>o6910;66g>1883>>i6mk0;66sm21594?5=83:p(>?8:0gf?M53n2c:=54?::k25<<722e:io4?::p`f<721q6hn4=1`9>a3189>655=98301?>::03:?847?3;:56s|e683>=}:m>09=l52e78f3>;a?3o<70?if;32=>;5880:=552211954><5;:>652z\2ed=:n>0:ml5rs0ca>5<5sW;jn63i7;3bf>{t9hi1<7=t^0c`?8c128kh70h8:0c`?xu>m3:1?vP6e:?gg??e34o<64l4}r3ea?6=:rT:jh521gd95`d52z\145=::9;1=hl4}r036?6=:rT952z\141=::9?1=hl4}r032?6=:rT9<;5221595`dd6<5l<1hi5rsd:94?4|5l=1m=52f68f<>{z{0h1<7vP=019>547=:9:0q~vP=059>547=:9>0q~vP>a99>547=9h20q~?n9;296~X6i016=vP>a`9>547=9hk0q~?nb;296~X6ik16=vP>ab9>547=9hi0qpl>3383>6<62:qG?8?51zJ2e`=zD:?96{#;8=1=o94i`294?=h:8k1<75f9c83>>d029086=4?{%123?b>3A9?j6B<5082!75=39mj6sf8`83>>of83:17b<>a;29?xu>j3:1>vP6b:?4>=g52z?4>77f3W8:m6s|1d494?4|Vh:01:4n0:~f4>?290>6?4:{M165?7|@8kn7pB<5382!27:3>0q)=>7;3a<>of83:17b<>a;29?l?e2900e4m50;9j=6<722h<6=4::183!56?3nj7E=;f:k;a?6=3`386=44i9c94?=ni90;66a=1`83>>{e;<:1<7?50;2x 67028;=7E=;f:m250<722wx5o4?:3y]=g=:?32j7p}6c;296~X>k27<65k4}r3f1?6=:r789=4>149>3??43ty:i;4?:3y>3?46i2T9=l5rs0g4>5<5sWk;7095a19~yg7c13:187<54zN014<6sA;ji6sC3409<~"38;0?7):?1;14b>"4n00j<6*"4nm09jn5a3g795>{#;8=1=o74i8`94?=ni90;66a=1`83>>o5nj0;66l8:180>4<4sE9>=7?tH0cf?x"49>0:n95fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7d6n:188md6=831d>{t9l?1<74}|`02<<72;0;6=u+3059570<@:>m7d?>7;29?j7bj3:17p}6b;296~X>j27<64l4}r02e?6=:rT9=l527;02e>{t:oi1<70j<63<6882ag=zuk336=4;:080I5293;pD;>7:4$522>61a3-9m57o?;%1ef?46i2.8j:46b:l0b6<63-9mh7b`9j=g<722cj<7>5;n02e?6=3`8mo7>5;c594?5=939p@>;>:0yK5dc5;h;a>5<0;6>4?:1y'741=l01C?9h4i9c94?=ni90;66a=1`83>>{t1k0;6?uQ9c9>3?>f3ty:i84?:3y>3?46i2T9=l5rs0g5>5<5sWk;7095a19~yv?e2909wS7m;<59=g=z{;;j6=4={_02e>;02;;j7p}=fb83>7}Y:oi01:4n0:~f1e=83?1>7;tL272>4}O9ho0qA=:2;cx 1652=1/8=?536d8 6`>2h:0(>hm:33b?!5a?33i7c=i3;38 6`?20i0b>h;:09'7cc=;8<0b>h9:09~ 67028hi7d7m:188m5<22;0>wA=:1;3xL4gb3t.8=:4>b59je5<722e9=l4?::k:f?6=3`3h6=44i8194?=e?3:197>50z&052>o>;3:17d6n:188md6=831d>2B88k5`10794?=z{0h1<7e483>7}:;<:1=<;4=68:7>{t9l<1<74}|`03=<72:0;6=u+30595`c<@:>m7d?>8;29?l7613:17b?jb;29?xu>j3:1>vP6b:?4>3:1?vP<179>3?g7349<47?>8:p721=838p1:46c:?03=<6mk1vqo?96;291?4==rF89<4>{I3ba>{K;<81=v*;0387?x"49>0:n55fa183>>i59h0;66g6b;29?l?d2900e4=50;9a3?6==3:1N45<6290;w)=>7;322>N4{t1j0;6?uQ9b9>3?>b3ty:i84?:3y>706=98?01:463:p5`0=838p1:4=1`9]64g52z\b4>;02h:0qpl>a283>0<52{#;8=1=o64i`294?=h:8k1<75f9c83>>o>k3:17d7<:188f2<72<0;6=u+3059`d=O;=l0e5k50;9j=6<722c3m7>5;hc3>5<7095929~w4c12909w09520c8Z77f3ty:i:4?:3y]e5=:?3k;7psm17a94?5=939p@>;>:0yK5dc>7?t$521>1=z,:;<65<50z&052>of83:17b<>a;29?xu>j3:1>vP6b:?4>=g52z?4>77f3W8:m6s|1d494?4|Vh:01:4n0:~f41>290>6?4:{M165?7|@8kn7pB<5382!27:3>0q)=>7;3a<>of83:17b<>a;29?l?e2900e4m50;9j=6<722h<6=4::183!56?3nj7E=;f:k;a?6=3`386=44i9c94?=ni90;66a=1`83>>{e;<:1<7?50;2x 67028;=7E=;f:m250<722wx5o4?:3y]=g=:?32j7p}6c;296~X>k27<65k4}r3f1?6=:r789=4>149>3??43ty:i;4?:3y>3?46i2T9=l5rs0g4>5<5sWk;7095a19~yg2329086<4<{M165?7|@8kn7pB<5382!27:3>0q)=>7;3g7>of83:17b<>a;29?l?e2900n:4?:283>5}#;8=1h45G35d8m=g=831bm=4?::m15d<722wx5o4?:3y]=g=:?32j7p}>e483>7}:?38:m6P=1`9~w4c12909wSo?;<59e5=zuk;>j7>55;091~J4=80:wE?ne:O704=9r.?5;h;`>5<f2900el>50;9l64g=831vn>;?:182>5<7s-9:;7?>6:J00c=h98?1<75rs8`94?4|V0h01:47a:p=f<72;qU5n527;:f?xu6m<0;6?u23429543<5>02?6s|1d494?4|5>09=l5Q20c8yv7b?3:1>vPn0:?4>d60}K;<;1=vF>ad9~H63528q/8=<54:'741=9k20el>50;9l64g=831b5o4?::k:g?6=3`386=44b683>0<729q/?<95d`9K71`>o?i3:17do?:188k77f2900qo=:0;295?6=8r.8=:4>179K71`6=44}r;a>5<5sW3i70958`9~w{t9l?1<747234=15>5rs0g5>5<5s4=1>0;6?uQa19>3?g73twi=k750;796?3|D:?:6=:59'057=;>l0(>h6:`28 6`e2;;j7)=i7;;a?k5a;3;0(>h7:8a8j6`3281/?kj52ga8j6`2291v(>?8:0``?l?e2900e4m50;9je5<722e9=l4?::k1bf<722h<6=4::386I5293;pD5;h;`>5<2480bc=za1o1<75f9283>>o?i3:17do?:188k77f2900qo=:0;295?6=8r.8=:4>179K71`6=44}r;a>5<5sW3i70958`9~w{t9l?1<747234=15>5rs0g5>5<5s4=1>0;6?uQa19>3?g73twi?:850;194?6|,:;<6o6910;66g>1883>>i6mk0;66s|9c83>7}Y1k16;77m;|q:g?6=:rT2o63<77825<=z{;;j6=4={_02e>;02;;j7p}=fb83>6}Y:oi01:4n0:?033<6911v>9::18181=1j16?:851d`8yxd60m0;684<:6yO707=9rB:mh5rL271>d}#<98186*;00803c=#;o31m=5+3g`964g<,:l<64l4n2d0>4=#;o215n5a3g695>"4nm09jn5a3g794>{#;8=1=oj4i8`94?=n1j0;66gn0;29?j46i3:17d7;tL272>4}O9ho0q)=>7;3a0>of83:17b<>a;29?l?e2900e4m50;9j=6<722h<6=4::183!56?3nj7E=;f:k;a?6=3`386=44i9c94?=ni90;66a=1`83>>{e;<:1<7?50;2x 67028;=7E=;f:m250<722wx5o4?:3y]=g=:?32j7p}6c;296~X>k27<65k4}r3f1?6=:r789=4>149>3??43ty:i;4?:3y>3?46i2T9=l5rs0g4>5<5sWk;7095a19~yg5113:1?7>50z&052<3l2B88k5f10:94?=n9831<75`1d`94?=zj:==6=4<:183!56?3;nj6F<4g9j54>=831b=<750;9l5`d=831v4l50;0xZ02n6s|9b83>7}Y1j16?:8510;8yvg72909wSo?;<15=?7612wx><5:==6k278;;4>ec9~yg1b290>6>48{M165?7|@8kn7pB<538b!27:3>0(9>>:25e?!5a13k;7)=ib;02e>"4n>02n6`50;9l64g=831b>km50;9a3?6==3819vB<5082M7fm2w/?<951c68md6=831d>5;h;0>5<0;684?:1y'741=lh1C?9h4i9g94?=n1:0;66g7a;29?lg72900c??n:188yg5283:1=7>50z&052<69?1C?9h4o036>5<03i6s|1d794?4|5:?;6e683>7}Yi916;7o?;|a73?=8391<7>t$234>1b<@:>m7d?>8;29?l7613:17b?jb;29?xd4??0;6>4?:1y'741=9ll0D>:i;h325;n3ff?6=3ty2n7>52z\:f>;020h0q~7l:181[?d349<:7?>9:pe5<72;qUm=5237;954?52z\15d=:?38:m6s|2ga94?5|V;lh70=99;32<>;4??0:=55rs24;>5<5s4=1m=5237;95`d52z?4>4103f;=j7>5;h02h6=44i04g>5<6=44i335>5<5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::a527=8391<7>t$234>4cb3A9?j6g>1983>>o6900;66a>ec83>>{e9>81<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th:;94?:283>5}#;8=18h5G35d8m47?2900ef09K71`5<5<52z\22c=:9>?1=hl4}r02h6=4<{_6`?870>3;:463>70825==z{8;6??0:=45rs336>5<5sW8:963>74825<=z{;;=6=4={_022>;6?=0:=45rs011>5<5sW;8>63>75825==z{8=;6=4={<342?7bj27:;<4>189~w4c22909w0?81;3ff>;6?;0:=45rs0g5>5<5s4;<>7?jb:?230<6911v<9<:181870<3;nn63>74825d=zuk;3=7>54;192~"49>0:4<5`16a94?=n8983>>o4mo0;66l>8183>6<729q/?<954e9K71`5<N4;6?o0:io5rs5a94?5|V=i01<6?:03;?870m3;:46s|19:94?4|V82370?70;32=>{t;ll1<7{zj8<>6=4;:285!56?3;=96a>6183>>o3k3:17d?96;29?l5fm3:17o?94;297?6=8r.8=:4;d:J00c=n9821<75f10;94?=h9lh1<75rb041>5<4290;w)=>7;6e?M53n2c:=54?::k25<<722e:io4?::a535=8391<7>t$234>4cb3A9?j6g>1983>>o6900;66a>ec83>>{t9?:1<76}Y6783>7}Y9?<01<8;:03:?xu4il0;6?uQ3`g8940528;27p}>6083>7}:9?>1=hl4=040>47?3ty::?4?:3y>534=9lh01<8<:03:?x{e9h81<7:53;4x 67028k97b?6d;29?l2d2900e:180>5<7s-9:;7:k;I17b>o6910;66g>1883>>i6mk0;66sm18d94?5=83:p(>?8:5d8L62a3`;:47>5;h32=?6=3f;nn7>5;|`2e5<72:0;6=u+30595`c<@:>m7d?>8;29?l7613:17b?jb;29?xu61m0;6?uQ18f894g728oi7p};c;297~X3k27:m<4>199>5<`=9820q~?n3;296~X6i:16=l?510;8yv5c>3:1>vP5<`=9830q~?6e;296~;6i80:io521`2954>52z?2=c<6mk16=l>510;8yxd5ko0;694?:1y'741=9o80D>:i;h325;h32e?6=3f;nn7>5;|`0e3<72=0;6=u+305914=O;=l0e2900e:i;h325;n3ff?6=3th:jo4?:283>5}#;8=18i5G35d8m47?2900e5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::a5=e=83>1<7>t$234>4`63A9?j6g>1983>>o6900;66g>1`83>>i6mk0;66sm17g94?2=83:p(>?8:438L62a3`;:47>5;h32=?6=3`;:m7>5;n3ff?6=3th:484?:583>5}#;8=1=k=4H26e?l7603:17d?>9;29?l76i3:17b?jb;29?xd6?k0;6>4?:1y'741=5<5<53;294~"49>0:ih5G35d8m47?2900eed9K71`5<2900c7>50z&052<6:?1C?9h4i034>5<279K71`5<52;294~"49>0:>;5G35d8m4702900c93:1>7>50z&052<6:?1C?9h4i034>5<5<69rT3j63=158:f>;6;;02n63>898:f>;6l002n6368;;a?82d20h01<89:8`894g420h01<8l:8`8941>20h019:59c9>50`=1k16=4m59c9>5c?=1k16=5j59c9>3`<>j2wx=>=50;1xZ45434=n6l>4=0;2>4703ty::l4?:3y]53g<5;in6d652z\05<5sW=n709j:33b?xu0j3:14vP8b:?151<6i016=k752ga894>c2;lh709j:3d`?87?i3;:m63>6d825d=:91?1=<64}r35g?6=:rT::n5217a964g53z\0e`=:9??1?lk4=04;>4703ty:8l4?:9y]51g<5;;?6189>5=g=98i0184825<=z{8=26=4={_34=>;6?009=l5rs2ge>5<3sW9nj63>898b4>;60808ik523`4954g53z\0`3=:9h81?i84=0c6>4703ty:9k4?:3y]50`<58?m6??n;|q1g1<72;qU>n:4=3ae>4ce3ty8m84?:3y]7d3<5:k=677f3ty?87>52z\70>;3<38:m6s|4b83>f}Y<:51`:891e=:8k01<98:5a894>62=i01<8::5a894g52=i01<6n:03;?8?f28;270?7f;32=>;5kl0:=55216`954>52z?2ec9~w4?c2909w0?6c;c3?87f:3;2h6s|19;94?5|582364m4=0:b>4ce34;on7?>7:p52g=838p1<9m:0ga?87??3;:46s|12094?2|5;;?6339>5=`=9820q~?9d;296~;6?>0::i5217g95`d7`d343j6<:51``894`>2;;j70?7a;32=>;60o0:=l5217g954><582>6a:?7g??d3ty:hl4?:3y>5a?=:oi01<:5214894>028;27p}>8d83>6}:91n15n527d8:g>;60o0:io5rs044>5<5s4;=:7o?;<35a28;i70?63;3ff>{t9181<7q6><:51gg8912=i916=8h59b9>5<:5212894102;;=70?7c;32=>{t:8=1<7=t=337>76534;3o7?>a:?2=6<6901v??7:187846<38;863>76815==:9on1=<64=0;0>47?3ty:454?:3y>5=>=:8k01<6>:0:;?xu6nh0;6?u21g;9=f=:9oh1=hl4}r3g=?6=0f<49?16=;859b9>5d5=1j1v<89:181871>38:m63>648223=z{8<;6=4={<36b?g734;=97?90:p52e=838p1<96:`2894>628=h7p}>6g83>7}:9?i1m=52165953`52z?2<0<6mk16=:l510;8yv4dl3:1?v3=cg825d=::jo1=hl4=0dg>47>3ty:4;4?:3y>5=1=9lh01<6<:034?xu6i=0;6?u21`19e5=:9h?1=hl4}r3b7?6=:r7:m>4=1`9>5d4=9h90q~?7d;296~;60m09=l522bd954?{I3ba>{K;<81>v`;0286<>"38;0>7p*<16820==]:o31=v?8:|m276<722c8i44?::k0=a<722c:m:4?::m262<722e84n4?::kaa?6=3`9hj7>5;h35g?6=3f92?7>5;h:`>5<>d4=90;6<4?:1y'741=98<0D>:i;n321?6=3th:594?:g82>c}K;<;1=vF>ad9~H63528q/8=<53:'741=98>0e4l50;9l5cc=831d>=>50;9l654=831d>=:50;9l650=831d>=650;9l65g=831d>=m50;9j5d>=831b=l750;9j5dg=831b=ll50;9j5de=831b=lj50;9a547=83l1j7?9{M165?7|@8kn7p*<1682=1=n9h21<75f1`;94?=n9hk1<75f1``94?=n9hi1<75f1`f94?=n1l0;66a>fd83>>i5890;66a=0383>>i58=0;66a=0783>>i5810;66a=0`83>>i58j0;66lkc;297?7=;rF89<4>{I3ba>{#;8=1ho5fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7A=:1;3x 4422:lm7pg7a;29?lg72900c??n:188yv?e2909wS7m;<596=4={<5964ge783>7}Yi916;7o?;|aa2<72:0:6>uC34395~N6il1v(>?8:e`8md6=831d>5;n02e?6=3ty2n7>52z\:f>;021k0q~?j5;296~;02;;j7S<>a:p5`0=838pRl>4=68b4>{zjo21<7=51;1xH63628qC=lk4}%123?be3`k;6=44o33b>5<2480bc=za1k1<75fa183>>i59h0;66s|9c83>7}Y1k16;76n;|q2a0<72;q6;7<>a:\15d=z{8o=6=4={_c3?81=i91vqo??5;297?7=;rF89<4>{I3ba>{#;8=1ho5fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7A=:1;3x 4422:lm7pg7a;29?lg72900c??n:188yv?e2909wS7m;<596=4={<5964ge783>7}Yi916;7o?;|aa3<72<086:u+3059a3=nm>0;66g>0483>>ock3:17dh7:188kab=831ii?4?:283>5}#;8=18i5G35d8m47?2900e5<7s-9:;7:j;I17b>o6910;66g>1883>>i6mk0;66sme083>1<729q/?<951g38L62a3`;:47>5;h32=?6=3`;:m7>5;n3ff?6=3tyn;7>52z\f3>;b93;:46s|11794?5|V8:>70k=:03;?8ba28;37p}kc;296~Xck27n>7?>9:pb=<72;qUj552dg825<=z{mn1<7:03:?xucn3:1>v3kf;3ff>;b93;:m6srbg594?3=;3=p(>?8:g58m`1=831bhn4?::k240<722cm47>5;ng;>5<:i;h325;n3ff?6=3thnm7>53;294~"49>0?h6F<4g9j54>=831b=<750;9l5`d=831vnhm50;694?6|,:;<6;I17b>o6910;66g>1883>>o69h0;66a>ec83>>{tm>0;6?uQe69>aa<6901vim50;1xZae<5ln1=<64=dc954?52z\240=:mh0:=55rsg:94?4|Vo201hm510c8yvc?2909wSk7;4ce3tyn57>52z?f`?7bj27no7?>8:pad<72;q6il4>ec9>af<6901vqo??4;29059j5dg=831b=ll50;9j5de=831b=lj50;9jb=<722c:m44?::k240<722em57>5;cdf>5<1290;w)=>7;7a?M53n2c:=54?::k25<<722c:=l4?::k25g<722c:=n4?::m2ag<722wijo4?:283>5}#;8=18h5G35d8m47?2900e5<7s-9:;7?i1:J00c=n9821<75f10;94?=n98k1<75`1d`94?=z{8kj6=4={_3be>;am3;:46s|1``94?4|V8ki70hj:03:?xu6ij0;6?uQ1`a89cc=98k0q~?nd;296~X6im16jh4>1c9~wc>=839pRk64=gg954e<5oh1=<74}r3b=?6=:rT:m452fc825==z{8:>6=4={_331>;al3;:m6s|f883>7}Yn016ji4>ec9~wcg=838p1kk51d`89cb=9820q~hm:1818`e28oi70hk:03:?x{e99l1<7955;`x 67028:m7d??5;29?l`?2900eh950;9j`f<722c:m54?::k2e<<722e:<;4?::`24`<72:0;6=u+30590`=O;=l0e2900c50z&052<3m2B88k5f10:94?=n9831<75`1d`94?=zj8:j6=4<:183!56?3>n7E=;f:k25=<722c:=44?::m2ag<722wi==m50;694?6|,:;<68<4H26e?l7603:17d?>9;29?l76i3:17b?jb;29?xd68m0;684?:1y'741=9o>0D>:i;h325;h32e?6=3`;:n7>5;n3ff?6=3ty:<84?:3y]553<58:n60d825==:9921=<74}rg4>5<4sWo<70??8;32<>;68h0:=45rsea94?5|Vmi01<>n:03;?877k3;:46s|1`:94?4|V8k370??c;32e>{t9h31<7{t99=1<74ce34;;h7?>8:p55>=838p1<>7:0ga?877l3;:56s|11;94?4|58:j6{zj8lm6=4<:183!56?3>m7E=;f:k25=<722c:=44?::m2ag<722wi>=?50;194?6|,:;<69k4H26e?l7603:17d?>9;29?j7bj3:17pl=0283>6<729q/?<954d9K71`5<N41983>>o6900;66a>ec83>>{e:931<7=50;2x 6702=o0D>:i;h325;n3ff?6=3th95}#;8=18h5G35d8m47?2900e5c`=98301?>::03;?847?3;:56s|e683>2}:m>09=l52e78f3>;a?3o<70??f;g4?847;3;:463=04825<=::9h1=<74}rd;>5<>s4l36??n;c><5o=1j5521169b==:99l1j552213954><5;:86199~w4622902w0??5;02e>;b>3;;963i7;331>;68=0:<85211d9553<58lm6199>65?=9820q~?n8;296~X6i116==h51`:8yv7f13:1?vP>a89>552=9h301<>i:0c:?xu6ih0;6?uQ1`c8946328kj7p}>ac83>7}Y9hh01<>;:0ca?xu6ij0;6?uQ1`a8946328kh7p}>ae83>7}Y9hn01<>;:0cg?xu>m3:19vP6e:?gg??e34o<64l4=g:9=g=:99?15o5rs0df>5<5sW;mi63>fg82ag=z{;:;6=4={_034>;5880:io5rs321>5<5sW8;>63=0282ag=z{;:?6=4={_030>;58<0:io5rs325>5<5sW8;:63=0682ag=z{;:36=4={_03<>;5800:io5rs32b>5<5sW8;m63=0c82ag=z{mn1<77}:m>0j<63i7;g;?xua13:1>v3i8;c3?877<3l27p}>0783>7}:99?1m=5211d955052z\:f>;69802i6s|1gg94?4|V8ln70?>1;3ea>{t:9:1<71;036>{t:9>1<71;032>{t:921<71;03e>{t:9i1<71;3b<>{t9h31<71;3be>{t9hh1<71;3bg>{t9hn1<75<42;0?wA=:1;3xL4gb3tF89?4>{%636?55;h;a>5<0;6>4?:1y'741=l01C?9h4L272>4}#9;?1?kh4}h:b>5<6=4={<595<5s4=1>0;6?uQa19>3?g73twimh4?:282>6}K;<;1=vF>ad9~H63528q/8=<53:'741=9m90el>50;9l64g=831b5o4?::`4>5<4290;w)=>7;f:?M53n2c3m7>5;hc3>5<5<5sW3i70958`9~w4c22909w09520c8Z77f3ty:i;4?:3y]e5=:?3k;7psm33494?5=939p@>;>:0yK5dc>7?t$521>6=z,:;<65<50z&052>of83:17b<>a;29?xu>j3:1>vP6b:?4>=g52z?4>77f3W8:m6s|1d494?4|Vh:01:4n0:~f=0=8391=7=tL272>4}O9ho0qA=:2;3x 1652:1v(>?8:0f0?lg72900c??n:188m53;294~"49>0o56F<4g9j5;n02e?6=3ty2n7>52z\:f>;021k0q~?j5;296~;02;;j7S<>a:p5`0=838pRl>4=68b4>{zj;h96=4<:080I5293;pD;>7=4}%123?7c;2cj<7>5;n02e?6=3`3i6=44b683>6<729q/?<95d89K71`>i59h0;66s|9c83>7}Y1k16;76n;|q2a0<72;q6;7<>a:\15d=z{8o=6=4={_c3?81=i91vqook:180>7<3sE9>=7?tH0cf?xJ4=;0:w):?2;18y!56?3;i:6gn0;29?j46i3:17d7m:188f2<72:0;6=u+3059`<=O;=l0e5o50;9je5<722e9=l4?::a=c<72;0;6=u+3059570<@:>m7d?>7;29?j7bj3:17p}6b;296~X>j272j7?>7:p5`3=838p1:47a:?:b?7bj2wx=h850;0x92<59h1U>4=:5yO707=9rB:mh5rL271>4}#<981?6s+30595g05<7s-9:;7j6;I17b>o?i3:17do?:188k77f2900qo7i:181>5<7s-9:;7?=6:J00c=n98=1<75`1d`94?=z{0h1<73:1>v38:33b?[46i2wx=h950;0xZd6<5>0j<6srb9794?5=:3>p@>;>:0yK5dc>7?t$521>6=z,:;<65<50z&052>of83:17b<>a;29?xd>n3:1>7>50z&052<6:?1C?9h4i034>5<5<5sW3i707i:034?xu6m<0;6?u27;:b?8?a28oi7p}>e783>7}:?38:m6P=1`9~w4c02909wSo?;<59e5=zuk8i=7>53;090~J4=80:wE?ne:O704=9r.?5;c594?5=83:p(>?8:e;8L62a3`2j6=44i`294?=h:8k1<75rb8d94?4=83:p(>?8:005?M53n2c:=:4?::m2ag<722wx5o4?:3y]=g=:1o0:=:5rs0g6>5<5s4=14l529g82ag=z{8o=6=4={<5964ge683>7}Yi916;7o?;|a`3<72:0969uC34395~N6il1v@>;=:0y'054=;2w/?<951c48md6=831d>5<52z?4>=g<50l1=hl4}r3f2?6=:r7<6??n;_02e>{t9l=1<7=:29~ 67028n87do?:188k77f2900e4l50;9a3?6=;3:1N45;|q:f?6=:rT2n638:9c8yv7b=3:1>v38:33b?[46i2wx=h850;0xZd6<5>0j<6srbcd94?>=:3np@>;>:0yK5dc>76t$521>6=#<9;1?:j4$2:3>1633-9mn7<>a:&0b2<>j2d8j>4>;%1e`?4ak2d8j84>;|&052<6j;1Q444>{0c9y_4a138p=n4>e;j6cb=831b5o4?::k1bf<722e9>=4?:%027?46n2d9=?4?;:m15`<72-8:?7<>f:l157<632e9=i4?:%027?46n2d9=?4=;:m15f<72-8:?7<>f:l157<432e9=o4?:%027?46n2d9=?4;;:`4>5>i5:90;6)<>3;02b>h59;0;76a=1d83>!46;38:j6`=1382?>i59m0;6)<>3;02b>h59;0976a=1b83>!46;38:j6`=1380?>i59k0;6)<>3;02b>h59;0?76gn6;29 7742h?0b??=:198md2=83.9=>4n5:l157<632cj?7>5$330>d3of93:1(??<:`78j7752=10n:4?:480>2}K;<;1=vF>ad9~H63520q/8=?536d8 6`>2h:0(>hm:33b?!5a?33i7c=i3;38 6`c2;lh7c=i5;38 6`b2:;=7c=i6;38y!56?3;h=6g6b;29?lg72900c??n:188m6712900e?hl:188f2<72:0:6>uC34395~N6il1v(>?8:0`7?lg72900c??n:188m53;294~"49>0o56F<4g9j5;n02e?6=3ty2n7>52z\:f>;021k0q~?j5;296~;02;;j7S<>a:p5`0=838pRl>4=68b4>{zj:<26=4<:183!56?3>n7E=;f:k25=<722c:=44?::m2ag<722wi?:l50;194?6|,:;<6o6910;66g>1883>>i6mk0;66s|9c83>7}Y1k16;77m;|qb4?6=:rTj<63<7c825<=z{;;j6=4={_02e>;02;;j7p}<1783>7}Y;8<01>9m:03;?xu5nj0;6?uQ2ga8960>28;37p}<7`83>7}:;?31=<74=25a>4ce3ty8:54?:3y>3?g7349=57?jb:~f=<72=0968uC34395~N6il1v@>;=:6y'057=;>l0(>h6:`28 6`e2;;j7)=i7;;a?k5a;3;0(>hk:3d`?k5a=3;0q)=>7;3a=>o>j3:17do?:188k77f2900e?hl:188f2<72:0:6>uC34395~N6il1v(>?8:0`7?lg72900c??n:188m53;294~"49>0o56F<4g9j5;n02e?6=3ty2n7>52z\:f>;021k0q~?j5;296~;02;;j7S<>a:p5`0=838pRl>4=68b4>{zj:<26=4<:183!56?3>n7E=;f:k25=<722c:=44?::m2ag<722wx5o4?:3y]=g=:?33i7p}n0;296~Xf8278:44>189~w77f2909wS<>a:?4>77f3ty9jn4?:3y]6ce<5:<260}K;<;1=vF>ad9~H6352>q/8=?536d8 6`>2h:0(>hm:33b?!5a?33i7c=i3;38 6`c2;lh7c=i5;38y!56?3;i56g6b;29?lg72900c??n:188m7`d2900n:4?:282>6}K;<;1=vF>ad9~ 67028h?7do?:188k77f2900e4l50;9a3?6=;3:1N45;|q:f?6=:rT2n638:9c8yv7b=3:1>v38:33b?[46i2wx=h850;0xZd6<5>0j<6srb24:>5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::p=g<72;qU5o527;;a?xuf83:1>vPn0:?02<<6901v??n:181[46i27<6??n;|q1bf<72;qU>km4=24:>47?3ty8:54?:3y>3?g7349=57?jb:~f44=83>1>7;tL272>4}O9ho0qA=:2;5x 1662:=m7)=i9;c3?!5aj38:m6*h4n:0:7)=id;0eg>h4n<0:7p*<1682f<=n1k0;66gn0;29?j46i3:17d4}O9ho0q)=>7;3a0>of83:17b<>a;29?l?e2900n:4?:283>5}#;8=1h45G35d8m=g=831bm=4?::m15d<722wx5o4?:3y]=g=:?32j7p}>e483>7}:?38:m6P=1`9~w4c12909wSo?;<59e5=zuk9=57>53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831v4l50;0xZ02n6s|a183>7}Yi916?;7510;8yv46i3:1>vP=1`9>3?46i2wx>km50;0xZ7`d349=57?>8:p73>=838p1:4n0:?02<<6mk1vqo?<:187>7<2sE9>=7?tH0cf?xJ4=;0"4n00j<6*"4nm09jn5a3g795>{#;8=1=o74i8`94?=ni90;66a=1`83>>o5nj0;66l8:180>4<4sE9>=7?tH0cf?x"49>0:n95fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7d6n:188md6=831d>{t9l?1<74}|`02<<72:0;6=u+30590`=O;=l0e2900c28;27p}=1`83>7}Y:8k01:4=1`9~w7`d2909wS87:18181=i916?;751d`8yxd5:?0;6>4?:1y'741=9lo0D>:i;h325;n3ff?6=3ty9ji4?:2y]6cb<5>08=;52234954?3;;a?xu5nj0;6>uQ2ga892<5nj16>?8510:8yv45=3:19v37:3d`?8b=:oi01<<52ga8945=:oi01?<9:0ga?xu5:90;6?uQ2328945=:8k0(?hm:33e?k4ai3:0q~<>e;296~X59l16=?4=1`9'6cd=:8l0b?hn:09~w77c2909wS<>d:?g>77f3-8mn7<>f:l1bd<53ty9=n4?:3y]64e<5109=l5+2g`964`5rs33a>5<5sW8:n638:33b?!4aj38:j6`=f`87?xuf>3:1>vPn6:?27?g73-8mn7o:;o0ee?6h5nh097p}n2;296~Xf:2736l>4$3da>d35rs`394?4|Vh;01:4n0:&1bg4}O9ho0qA=:2;7x 1662:=27)=ia;`0?!27>320(>hl:3ga?!27=3=0q)=>7;3a4>\?138p=o4>9;Y6c?=:r;o6<85}n0e5?6=,;;86?h?;o026?6<3f8nj7>5$330>7`73g8:>7?4;n0fa?6=,;;86?h?;o026?4<3f8nh7>5$330>7`73g8:>7=4;n0fg?6=,;;86?h?;o026?2<3`h26=4+2019f==i:881<65fb683>!46;3h37c<>2;38?ld1290/><=5b99m644=:21bn84?:%027?d?3g8:>7=4;h`7>5<#:891n55a20090>=e9mo1<7=51;1xH63628qC=lk4}%123?7c02ci=7>5;h`1>5<5<42:0>w)=>7;16f>oe93:17dl=:188k7ce2900n>;7:180>5<7s-9:;7:j;I17b>o6910;66g>1883>>i6mk0;66sm34;94?5=83:p(>?8:5g8L62a3`;:47>5;h32=?6=3f;nn7>5;|`01d<72:0;6=u+30595`c<@:>m7d?>8;29?l7613:17b?jb;29?xue93:1?vPm1:?01=<69016?87510:8yvd52908wSl=;<16189~w7ce2909wS;8:18185203;nn63<5`825==z{:?36=4={<16=?7bj2789l4>189~yvd62909wSl>;<16f?d63tyi>7>52z\a6>;4=k0i>6s|2d`94?4|V;oi70=:b;0ff>{zj8nm6=4<:080I5293;pD5;c16f?6=;3919v*<16801g=nj80;66gm2;29?j4bj3:17o=:8;297?6=8r.8=:4;e:J00c=n9821<75f10;94?=h9lh1<75rb27:>5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::a70g=8391<7>t$234>4cb3A9?j6g>1983>>o6900;66a>ec83>>{tj80;6>uQb09>70>=98301>;6:03;?xue:3:1?vPm2:?01=<69116?87510;8yv4bj3:1>vP=ec9>70g=9lh0q~=:7;296~;4=10:io5234c954>47>52z?01<<6mk16?8o510;8yxue93:1>vPm1:?01g5<5sW8nn63<5c81ag=zuk;n<7>53;397~J4=80:wE?ne:'741=9m20eo?50;9jf7<722e9io4?::`01g<72:0868u+305970d>i5mk0;66l<5983>6<729q/?<954d9K71`5<N4{tj;0;6>uQb39>70>=98201>;6:03:?xu5mk0;6?uQ2d`8963f28oi7p}<5683>7}:;<21=hl4=27b>47?3ty8954?:3y>70?=9lh01>;n:03:?x{tj80;6?uQb09>70d=j81vo<50;0xZg4<5:?i6o<4}r0ff?6=:rT9io5234`96`d6}K;<;1=vF>ad9~ 67028n37dl>:188mg4=831d>hl50;9a70d=8391?7;t$234>63e3`h:6=44ic094?=h:lh1<75m34:94?5=83:p(>?8:5g8L62a3`;:47>5;h32=?6=3f;nn7>5;|`01<<72:0;6=u+30590`=O;=l0e2900c50z&052<6ml1C?9h4i03;>5<5<{t:lh1<728oi70=:a;32=>{z{k;1<7n7l=;|q1ag<72;qU>hl4=27a>7ce3twi?;750;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl<6c83>6<729q/?<954e9K71`5<N42B88k5f10594?=h9lh1<75rs24;>5<4s4;oj7l>;<15=?7bj278:o4>189~w60f2908w0?j0;`2?851j3;nn63<6e825<=z{:;<3f5?4bj2.9jo4=f19m6cg=82wx>hh50;0xZ7ca34;n<7ko51:p6`c=838pR?kj;<3gb?4bj2.9jo4=f19m6cg=:2wx>hj50;0xZ7cc34;oi7ko53:p6`e=838pR?kl;<0e2?7bj2.9jo4=f19m6cg=<2wxn44?:3y]f<=:9l;1n?5+2g`9f==i:ok1<6s|b683>6}Yj>16=h>5b39>73b=9820(?hm:c:8j7`f281vo850;1xZg0<58nm6o<4=24a>47?3-8mn7l7;o0ee?46=4<{_`6?87cm3h970=99;32<>"5nk0i46`=f`80?xue<3:18vPm4:?2``189>6c0=98=0(?hm:c:8j7`f2=1vq~3-8mn7<>f:l1bd<73ty9=h4?:2y]64c<5>09=h521ef9f2=#:oh1>4=z{;;o6=4<{_02`>;02;;o70?kd;`5?!4aj38:j6`=f`81?xu59j0;6>uQ20a892<59j16=ij5b49'6cd=:8l0b?hn:29~w77e2908wS<>b:?4>77e34;oh7l;;%0ef?46n2d9jl4;;|q026<72;q6;7o9;<3g`?4a92.9jo4<639m6cg=82wx?;?50;0x925ab=:lo0(?hm:241?k4ai380q~=:f;296~;02h8016`=f`80?xu4=l0;6?u27;c2?87cl38no6*=fc8027=i:ok186srbe294?5=939p@>;>:0yK5dc>7?t$521>6=z,:;<65<50z&052>{t1k0;6?uQ9c9>3?>f3ty:i84?:3y>3?46i2T9=l5rs0g5>5<5sWk;7095a19~yg5b93:197=56zN014<6sA;ji6sC3409e~"38;087):?1;14b>"4n00j<6*"4n102o6`0:o>5f9c83>>o>k3:17do?:188k77f2900e?hl:188f2<72<0968uC34395~N6il1v(>?8:0`7?lg72900c??n:188m:i;h:f>5<>of83:17b<>a;29?xd4=90;6<4?:1y'741=98<0D>:i;n321?6=3ty2n7>52z\:f>;021k0q~7l:181[?d34=14h5rs0g6>5<5s49><7?>5:?4><552z?4>77f3W8:m6s|1d594?4|Vh:01:4n0:~f60>29096=4?{%123?75>2B88k5f10594?=h9lh1<75rb255>5<4290;w)=>7;3fb>N4{t1j0;6?uQ9b9>720=9820q~<>a;296~X59h16;7<>a:p6ce=839pR?hl;<15=?76?278;;4>189~w60?2909w095a19>73?=9lh0q~=85;296~;020i01>99:0ga?x{e9;=1<7=51;1xH63628qC=lk4}M166?7|,=:96>5r$234>4b43`k;6=44o33b>5<j27<65o4}r3f1?6=:r7<6??n;_02e>{t9l<1<7=:29~ 67028n87do?:188k77f2900e4l50;9a3?6=;3:1N45;|q:f?6=:rT2n638:9c8yv7b=3:1>v38:33b?[46i2wx=h850;0xZd6<5>0j<6srb010>5<42808wA=:1;3xL4gb3tF89?4>{%636?55;h;a>5<0;6>4?:1y'741=l01C?9h4i9c94?=ni90;66a=1`83>>{t1k0;6?uQ9c9>3?>f3ty:i84?:3y>3?46i2T9=l5rs0g5>5<5sWk;7095a19~yg5f:3:1?7<54zN014<6sA;ji6sC34095~"38;087p*<1682f3=ni90;66a=1`83>>o>j3:17o950;194?6|,:;<6i74H26e?l>f2900el>50;9l64g=831vn4h50;094?6|,:;<6<<9;I17b>o69>0;66a>ec83>>{t1k0;6?uQ9c9>=c<69>1vec9~w4c12909w09520c8Z77f3ty:i:4?:3y]e5=:?3k;7psm3`594?5=939p@>;>:0yK5dc>7?t$521>6=z,:;<65<50z&052>of83:17b<>a;29?xu>j3:1>vP6b:?4>=g52z?4>77f3W8:m6s|1d494?4|Vh:01:4n0:~f6>d29086?4;{M165?7|@8kn7pB<5382!27:390q)=>7;3a2>of83:17b<>a;29?l?e2900n:4?:283>5}#;8=1h45G35d8m=g=831bm=4?::m15d<722wi5k4?:383>5}#;8=1=?84H26e?l76?3:17b?jb;29?xu>j3:1>vP6b:?:b?76?2wx=h;50;0x9252z\b4>;02h:0qpl6<52=qG?8?51zJ2e`=zD:?96{#;8=1=o84i`294?=h:8k1<75f9c83>>d029086=4?{%123?b>3A9?j6g7a;29?lg72900c??n:188yg?a29096=4?{%123?75>2B88k5f10594?=h9lh1<75rs8`94?4|V0h014h51058yv7b=3:1>v38:9c89<`=9lh0q~?j6;296~;02;;j7S<>a:p5`1=838pRl>4=68b4>{zj:n=6=4<:387I5293;pD;>7=4}%123?7e>2cj<7>5;n02e?6=3`3i6=44b683>6<729q/?<95d89K71`>i59h0;66sm9g83>7<729q/?<951348L62a3`;:;7>5;n3ff?6=3ty2n7>52z\:f>;>n3;:;6s|1d794?4|5>03m636f;3ff>{t9l<1<74}|`26c<720086ou+305957`5<5<5<5<5<4290;w)=>7;6g?M53n2c:=54?::k25<<722e:io4?::a57e=8391<7>t$234>1c<@:>m7d?>8;29?l7613:17b?jb;29?xd6:m0;6:4?:1y'741=9o<0D>:i;h325;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3ff?6=3ty:>l4?:3y]57g<588o647?3ty:;54?:3y]52><588n647>3ty:5:4?:3y]5<1<588o647e3ty:544?:3y]547>3ty:>54?:3y]57><588h62b83>7}:9;i1=hl4=00g>47c3twi8o4?:981><}#;8=18o5`4683>>o61<0;66g>7983>>o61?0;66g>9683>>o6110;66g>9883>>o61h0;66l;a;297?6=8r.8=:4;d:J00c=n9821<75f10;94?=h9lh1<75rb5;94?1=83:p(>?8:0d5?M53n2c:=54?::k25<<722c:=l4?::k25g<722c:=n4?::k25a<722e:io4?::p02<72;qU8:524882ag=z{83>6=4={_3:1>;3i3;:46s|16:94?4|V8=370:n:03:?xu61?0;6?uQ184891?=9830q~?67;296~X61>16844>1`9~w4??2909wS?68:?7=?76j2wx=4750;0xZ4?>34>2636=4={<6b>4ce34>264?::k2=2<722c:554?::k2=<<722c:5l4?::k26=<722c8594?::k203<722h:?;4?:283>5}#;8=18i5G35d8m47?2900e5<4290;w)=>7;6g?M53n2c:=54?::k25<<722e:io4?::a56d=8391<7>t$234>1b<@:>m7d?>8;29?l7613:17b?jb;29?xd6;m0;6>4?:1y'741=5<5<53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831vn<:?:180>5<7s-9:;7?je:J00c=n9821<75f10;94?=h9lh1<75rb062>5<4290;w)=>7;6g?M53n2c:=54?::k25<<722e:io4?::a515=8391<7>t$234>1c<@:>m7d?>8;29?l7613:17b?jb;29?xd6<=0;6:4?:1y'741=9o<0D>:i;h325;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3ff?6=3ty:?94?:3y]562<58>?647?3ty:?>4?:6y]565<589=6189>56d=98301<=i:03:?873;3;:56s|18594?4|V83<70?<7;32<>{t9021<7{t90k1<7;6;o0:=55rs2;7>5<5sW92863>3e825==z{8>=6=4={_372>;6<:0:=55rs016>5<5s4;8:7?jb:?201<6911v<=8:181874?3;nn63>45825<=z{8936=4={<30=?7bj27:894>1`9~w45f2909w0?;6<=0:=o5rs01`>5<5s4;8h7?jb:?205<6911v<=j:181874n3;nn63>41825<=z{8o>6=4={<374?7bj27:8<4>189~w4c12909w0?;1;3ff>;6<=0:=n5rs061>5<5s4;??7?jb:?201<69m1vqo5<4290;w)=>7;6g?M53n2c:=54?::k25<<722e:io4?::a<1<72:0;6=u+30590a=O;=l0e2900c1983>>o6900;66a>ec83>>{ek>0;6>4?:1y'741=5<5<n7E=;f:k25=<722c:=44?::m2ag<722wih?4?:283>5}#;8=18h5G35d8m47?2900e=831b=<750;9l5`d=831vnnl50;494?6|,:;<68m4H26e?l7603:17d?>9;29?l76i3:17d?>b;29?l76k3:17b?jb;29?xddl3:1:7>50z&052<212B88k5f10:94?=n9831<75f10c94?=n98h1<75f10a94?=h9lh1<75rbe694?0=83:p(>?8:4`8L62a3`;:47>5;h32=?6=3`;:m7>5;h32f?6=3`;:o7>5;n3ff?6=3th8i;4?:783>5}#;8=1945G35d8m47?2900e=831b=<750;9j54g=831b=5<7s-9:;7:k;I17b>o6910;66g>1883>>i6mk0;66sm15594?1=83:p(>?8:0d5?M53n2c:=54?::k25<<722c:=l4?::k25g<722c:=n4?::k25a<722e:io4?::a7db=83=1<7>t$234>4`13A9?j6g>1983>>o6900;66g>1`83>>o69k0;66g>1b83>>o69m0;66a>ec83>>{e;h31<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th85?4?:783>5}#;8=1=k;4H26e?l7603:17d?>9;29?l76i3:17d?>b;29?l76k3:17b?jb;29?xd4j10;6>4?:1y'741=9lo0D>:i;h325;n3ff?6=3th8n?4?:283>5}#;8=18h5G35d8m47?2900ef59K71`5<5<N41983>>o6900;66a>ec83>>{ej90;6?4?:1y'741=9;<0D>:i;h323?6=3f;nn7>5;|`06=<72;0;6=u+3059570<@:>m7d?>7;29?j7bj3:17pl78;296?6=8r.8=:4>279K71`5<52;294~"49>0:>;5G35d8m4702900c7>50z&052<6:?1C?9h4i034>5<279K71`5<52;294~"49>0:>;5G35d8m4702900c7>50z&052<6:?1C?9h4i034>5<52121964g<58>>6<=<;|q0a<<72;qU?h74=20;>4703ty85i4?:3y]7<5:h9677f349n87?>9:p7=e=838pR>6l;<1;g?46i2wxnh4?:3y]f`=:l?0j<6s|3bd94?4|V:im70{t9?i1<77}Y;0901>;?:036?xu?k3:18vP7c:?2=1<6i016h;46b:?26<<6911v5l50;34[>e34;2877m;<34;?=33i70`5<>j278i<46b:?262<>j27?877m;<307??e349j>77m;<1b3??e3493o77m;<1ba??e349o:77m;|q0e`<72;qU?lk4=2cf>77f3ty::44?:3y]53?<5:h?677f3ty:m;4?:3y]5d0<5:nj66?33423677f3ty8m:4?:3y]7d1<5:k<6??n;|q70?6=:rT?863;4;02e>{t;l;1<7=t^2g2?85b938:m63k2;32<>{tl:0;6?u2d3825<=:l=0:io5rs2c`>5<5s49jh7?jb:?0e<<6901vll50;1x94?328kj70ol:0ga?87493;:46s|3e;94?4|5:nj6`3<59h16h:4n0:?`=?7602wx??;50;0x96422;;j70==4;32=>{t9::1<74ce34;957?>9:p3`<72:q6=4:51`a89=2=9lh01<=>:03b?xuc93:1>v3k0;c3?8b528oi7p}<2783>7}:;;<1>47?3tyjj7>53z?ba?g734ko6l>4=c295`d7`d34i<6189>gc<6mk1v>7>:18185>:3;nn63<918252=z{1?1<71=<74}r1f7?6=:r78i<4=fb9>7`2=9lh0q~j8:1818b02;;j70m6:03:?xu4j80;6?u23c095`d<5:hj6{t0?0;6?u287815d=:0=0:=55rs2c0>5<5s49j>7o?;<1b0?7bj2wxh=4?:2y>5<2=9hh01i>520c8945628;27p}>7983>1}:90>1=l64=05;>77f34;9j7?88:?7f?7002wxmh4?:3y>e`<59h16mn4>199~w4532909w0?<3;c3?873=3;886s|2c394?4|5;h:6??n;<0a4?7612wx?l650;0x96g>28oi70=nb;323>{t;;=1<7=t=205>d6<5:8>6l>4=20;>4ce3ty:>l4?:3y>571=i916=?h513c8yv4e:3:1>v3=b3815d=::k:1=<64}r117?6=:r78>94>ec9>7`2=98k0q~:8:1818232h:019l5469~wfg=839p1nl51d`89f`=98201>k7:034?xudk3:1>v3ld;3ff>;dn3;:56s|18794?3|583?6=27?n7?65:?200<61<16=99510:8yv7>>3:1;v3>958145=:9;l1=484=5`95<0<58><6199>7g>=9820q~?67;29<~;61=094?034;?;7?>a:?0ea<69016?4<510;896d?28;27p}>9983>=}:90>1>=:4=00e>4??34>i6<77;<371?7>027:8:4>1c9>7db=98k01>7=:03b?85ei3;:56s|18;94??|583?6?>9;<31b?7>127?n7?69:?200<61016?l:510:8942028;h70=nd;32f>;41;0:=o523cc954g59z?2=1<58116=?h518c891d=90k01<:::0;b?85f<3;:563>46825a=:;hn1=47d349im7?>b:p54=2f`>4ce3ty9n>4?:2y>6g4=i916>o?5a19>6g2=9lh0q~=7f;296~;40j0j<63<9182ag=z{1=1<7=t=949e5=:0<0j<6378;3ff>{t;l=1<76}:;kk1=hl4=2`7>47>349om7?>9:p7g3=838p1>oj:`2896d128oi7p}=ag83>6}:90>1=lj4=3`3>4ce34;8=7?>b:p57>=839p1<2882ag=z{8>=6=4={<371?73>27:8:4>ec9~w6gf2909w0=n7;c3?85fj3;nn6s|3d794?4|5:o?6fc<5:916oo4>1b9>ga<69j16h94>1b9>7`0=9820(?hm:b68j7`f291vn=50;7x9g`=:8o01nl510`89fb=98201i:510`896c128;27)3;:o6*=fc8`0>h5nh097p}l1;291~;en38:o63lb;32=>;dl3;:m63k4;32=>;4m?0:=l5+2g`9g1=i:ok1?6s|c183>0}:jo09=o52cc825==:km0:=o52d5825==:;l<1=f2;=:3ym055=>:1/8=<55:'741=1=1Q>k751z0;>xi5m00;66g=d783>>i5m>0;66gk8;29?j5603:17b=>d;29?l>c2900n?j8:18:>7<69rF89<4>{I3ba>{K;<81:v*;0383?!279394d43S2265<5<#:891>?=4n331>4=5<#:891>?=4n331>6=5<#:891>?=4n331>0=5<#:891>?=4n331>2=0;6;=7=8f:&0b<0V5752z06>75=uS8m57!46;389?6`=1383?>i5:;0;6)<>3;017>h59;0:76a=2083>!46;389?6`=1381?>i5:90;6)<>3;017>h59;0876a=1d83>!46;389?6`=1387?>i59m0;6)<>3;017>h59;0>76a=1b83>!46;389?6`=1385?>i59k0;6)<>3;017>h59;0<76gna;29 7742h30b??=:198md>=83.9=>4n9:l157<632cj;7>5$330>d?of<3:1(??<:`;8j7752=10el=50;&1563=h59;0<76l8:180>4<4sE9>=7?tH0cf?x"49>0:o85fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7A=:1;3x 4422:lm7pg7a;29?lg72900c??n:188yv?e2909wS7m;<596=4={<5964ge783>7}Yi916;7o?;|a5;n02e?6=3ty2n7>52z\:f>;021k0q~?j5;296~;02;;j7S<>a:p5`0=838pRl>4=68b4>{zjm0;6>4>:2yO707=9rB:mh5r$234>4e03`k;6=44o33b>5<2480bc=za1k1<75fa183>>i59h0;66s|9c83>7}Y1k16;76n;|q2a0<72;q6;7<>a:\15d=z{8o=6=4={_c3?81=i91vqo?=:180>4<4sE9>=7?tH0cf?x"49>0:o55fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7A=:1;3x 4422:lm7pg7a;29?lg72900c??n:188yv?e2909wS7m;<596=4={<5964ge783>7}Yi916;7o?;|a56<72:0:6>uC34395~N6il1v(>?8:0a:?lg72900c??n:188m53;294~"49>0o56F<4g9O707=9r.:>84e483>7}:?38:m6P=1`9~w4c12909wSo?;<59e5=zuk;?6=4<:080I5293;pD5;c594?5=83:p(>?8:e;8L62a3E9>=7?t$006>6`a3tc3m7>5;hc3>5<5<5sW3i70958`9~w4c22909w09520c8Z77f3ty:i;4?:3y]e5=:?3k;7psm1g83>6<62:qG?8?51zJ2e`=z,:;<65<50z&052>{t1k0;6?uQ9c9>3?>f3ty:i84?:3y>3?46i2T9=l5rs0g5>5<5sWk;7095a19~yg4729086<4<{M165?7|@8kn7p*<1682ga=ni90;66a=1`83>>o>j3:17o950;194?6|,:;<6i74H26e?I5293;p(<<::2de?xo?i3:17do?:188k77f2900q~7m:181[?e34=14l5rs0g6>5<5s4=1>3?g73twx5o4?:8y]=g=:?33i70659c9>`??e34;964l4=019=g=:9=02n63>f;;a?84720h0q~<=4;296~X5:=16>=4=1`9'6cd=:;90b?hn:19~w7452909wS<=2:?2b?46i2.9jo4=229m6cg=92wx>??50;0xZ74634;?6??n;%0ef?45;2d9jl4=;|q165<72;qU>?>4=01964g<,;li6?<<;o0ee?552z\15`=:9;09=l5+2g`96755<5sW8:h63k:33b?!4aj389?6`=f`86?xu59j0;6?uQ20a89=<59h1/>kl52318j7`f2?1v??m:181[46j27<6??n;%0ef?45;2d9jl48;|qbe?6=:rTjm63=0;c3?!4aj3k27cd6<,;li6l74n3db>4=z{h=1<7kl5a89m6cg=:2wxm;4?:3y]e3=:9:0j<6*=fc8b=>h5nh087p}n4;296~Xf<27:>7o?;%0ef?g>3g8mm7:4}rc0>5<5sWk870j5a19'6cd=i01e>ko55:pe7<72;qUm?528;c3?!4aj3k27c;<59e5=#:oh1m45a2gc93>{zj8no6=4>0;g953}K;<;1=vF>ad9~H63529:99'7ce=:lh0(9>::69~ 67028h:7W66:3y12?432tP9j44={3;9676=4+20196c25<#:891>k:4n331>4=5<#:891>k:4n331>6=5<#:891>k:4n331>0=5<#:891>k:4n331>2=h59;0;76gmb;29 7742ki0b??=:098mgg=83.9=>4mc:l157<532ci57>5$330>ge54ic594?"59:0io6`=1387?>oe>3:1(??<:ca8j7752<10eo;50;&1562=5;c16f?6=;3919v*<16801g=nj80;66gm2;29?j4bj3:17o=:8;297?6=8r.8=:4;e:J00c=n9821<75f10;94?=h9lh1<75rb27:>5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::a70g=8391<7>t$234>4cb3A9?j6g>1983>>o6900;66a>ec83>>{tj80;6>uQb09>70>=98301>;6:03;?xue:3:1?vPm2:?01=<69116?87510;8yv4bj3:1>vP=ec9>70g=9lh0q~=:7;296~;4=10:io5234c954>47>52z?01<<6mk16?8o510;8yxue93:1>vPm1:?01g5<5sW8nn63<5c81ag=zuk;oj7>53;397~J4=80:wE?ne:'741=9m20eo?50;9jf7<722e9io4?::`01g<72:0868u+305970d>i5mk0;66l<5983>6<729q/?<954d9K71`5<N4{tj;0;6>uQb39>70>=98201>;6:03:?xu5mk0;6?uQ2d`8963f28oi7p}<5683>7}:;<21=hl4=27b>47?3ty8954?:3y>70?=9lh01>;n:03:?x{tj80;6?uQb09>70d=j81vo<50;0xZg4<5:?i6o<4}r0ff?6=:rT9io5234`96`d6}K;<;1=vF>ad9~ 67028n37dl>:188mg4=831d>hl50;9a70d=8391?7;t$234>63e3`h:6=44ic094?=h:lh1<75m34:94?5=83:p(>?8:5g8L62a3`;:47>5;h32=?6=3f;nn7>5;|`01<<72:0;6=u+30590`=O;=l0e2900c50z&052<6ml1C?9h4i03;>5<5<{t:lh1<728oi70=:a;32=>{z{k;1<7n7l=;|q1ag<72;qU>hl4=27a>7ce3twi=h?50;195?5|D:?:64:{%123?52j2ci=7>5;h`1>5<5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::a70?=8391<7>t$234>1c<@:>m7d?>8;29?l7613:17b?jb;29?xd4=h0;6>4?:1y'741=9lo0D>:i;h325;n3ff?6=3tyi=7>53z\a5>;4=10:=45234;954>;4=h0:io5rs274>5<5s49>47?jb:?01d<6911v>;7:18185213;nn63<5`825<=zuzh:6=4={_`2?852j3h:7p}m2;296~Xe:2789o4m2:p6`d=838pR?km;<16f?4bj2wvn4<4sE9>=7?tH0cf?x"49>0:h55fb083>>oe:3:17b5;c16N429086=4?{%123?2b3A9?j6g>1983>>o6900;66a>ec83>>{e;47?3tyi>7>53z\a6>;4=10:=55234;954?52z\1ag=:;ec9>70g=9820q~=:8;296~;4=00:io5234c954?52z\a5>;4=k0i=6s|b383>7}Yj;16?8l5b39~w7ce2909wS{I3ba>{#;8=1=i64ic394?=nj;0;66a=ec83>>d4=k0;6>4<:4y'741=;2900c50z&052<3m2B88k5f10:94?=n9831<75`1d`94?=zj:?j6=4<:183!56?3;ni6F<4g9j54>=831b=<750;9l5`d=831vo?50;1xZg7<5:?3647>3ty9io4?:3y]6`d<5:?j67}:;<31=hl4=27b>47>3twxn<4?:3y]f4=:;;m:c08yv4bj3:1>vP=ec9>70d=:lh0qpl>e583>6<62:qG?8?51zJ2e`=z,:;<65<:188mg4=831d>hl50;9a70>=8391<7>t$234>1c<@:>m7d?>8;29?l7613:17b?jb;29?xd4=00;6>4?:1y'741=5<5<m7>53;294~"49>0:ih5G35d8m47?2900e:180[d6349>47?>9:?01<<6911vo<50;1xZg4<5:?36hl50;0xZ7ce349>m7?jb:p701=838p1>;7:0ga?852i3;:46s|34:94?4|5:?265<5sWh970=:b;`1?xu5mk0;6?uQ2d`8963e2;oi7psm37;94?5=83:p(>?8:5f8L62a3`;:47>5;h32=?6=3f;nn7>5;|`02g<72:0;6=u+30590a=O;=l0e2900c50z&052<3l2B88k5f10:94?=n9831<75`1d`94?=zj:o7E=;f:k25=<722c:=44?::m2ag<722wi?:?50;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl<7283>6<729q/?<954e9K71`5<e08a5>;4>m0:io5237d954?53z?2a7ec9>727=9830q~=80;297~;6m:0i=63<7082ag=:;>91=<74}r146?6=:r7:i94m1:?036<6mk1v?h::181[4a=27:i94=ec9'6cd=:o>0b?hn:19~w7`42909wSkl52g68j7`f281v?h=:181[4a:27:i?4=ec9'6cd=:o>0b?hn:39~w7`62909wSkl52g68j7`f2:1v?ki:181[4bn27:i=4=ec9'6cd=:o>0b?hn:59~w7cb2909wSkl52g68j7`f2<1v?kk:181[4bl27:hh4=ec9'6cd=:o>0b?hn:79~w7cd2909wSkl52g68j7`f2>1voj50;0xZgb<58o?6o<4$3da>ge7l=;<145?7602.9jo4mc:l1bd<53tyi57>53z\a=>;6m80i>63<6g825==#:oh1nn5a2gc97>{tj>0;6>uQb69>5`6=j;16?;j510:8 7`e2ki0b?hn:59~wg0=839pRo84=0fe>g4<5:5<4sWh>70?ke;`1?85113;:46*=fc8ag>h5nh0=7p}m4;290~Xe<27:hh4m1:?02<<69016>k851058 7`e2ki0b?hn:69~yv?e2909wS7m;<59=g=z{;8?6=4<{_010>;02;8?70?kd;`g?!4aj389?6`=f`83?xu5:;0;6>uQ230892<5:;16=ij5bc9'6cd=:;90b?hn:09~w7462908wS<=1:?4>74634;oh7ln;%0ef?45;2d9jl4=;|q165<72:qU>?>4=68165=:9mn1n45+2g`96755rs33f>5<4sW8:i638:33f?87cl3h<7)h5nh0?7p}=1e83>6}Y:8n01:4=1e9>5ab=j?1/>kl52318j7`f2<1v??l:180[46k27<6??l;<3g`?d23-8mn7<=3:l1bd<13ty9=o4?:2y]64d<5>09=o521ef9f1=#:oh1>?=4n3db>2=z{:<<6=4={<59ed=:9mn1>k;4$3da>6013g8mm7>4}r151?6=:r7<6l64=0fg>7`43-8mn7=96:l1bd<63ty8:94?:3y>3?g034;oh7?1e>ko52:p735=838p1:4n6:?2`a<5n81/>kl53748j7`f2:1v>8>:18181=i=16=ij52dd8 7`e2:<=7cv38:`1894bc2;on7)h5nh0>7p}<5g83>7}:?3k970?kd;0f`>"5nk08:;5a2gc92>{t;;6lm09in5+2g`9730;=7=8f:&0b<k2d8j94>;%1e`?4ak2d8j84?;|&052<6kl1b5o4?::k:g?6=3`k;6=44o33b>5<0<525<t$234>ag<@:>m7A=:1;3x 4422:lm7pg7e;29?l?42900e5o50;9je5<722e9=l4?::a706=83;1<7>t$234>4713A9?j6a>1483>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?j5;296~;4=90:=8527;;0?xu6m?0;6?u27;02e>X59h1v2900c7}Y:8k01:4=1`9~w7`d2908wSd6<5:==6?7:186>7<2sE9>=7?tH0cf?xJ4=;0jw):?2;28 1662:=m7)=i9;c3?!5aj38:m6*h4n:0:7)=i8;;`?k5a<3:0(>hk:3d`?k5a=3:0q)=>7;3`b>o>j3:17d7l:188md6=831d>55;091~J4=80:wE?ne:'741=9k>0el>50;9l64g=831b5o4?::k:g?6=3`386=44b683>0<729q/?<95d`9K71`>o?i3:17do?:188k77f2900qo=:0;295?6=8r.8=:4>179K71`6=44}r;a>5<5sW3i70958`9~w{t9l?1<747234=15>5rs0g5>5<5s4=1>0;6?uQa19>3?g73twi?:850;194?6|,:;<6o6910;66g>1883>>i6mk0;66s|9c83>7}Y1k16;77m;|q:g?6=:rT2o63<77825<=z{;;j6=4={_02e>;02;;j7p}=fb83>6}Y:oi01:4n0:?033<6911v>9::18181=1j16?:851d`8yxd49l0;684=:4yO707=9rB:mh5rL271>d}#<981<6*;00803c=#;o31m=5+3g`964g<,:l<64l4n2d0>4=#;o215n5a3g694>"4nm09jn5a3g794>{#;8=1=nh4i8`94?=n1j0;66gn0;29?j46i3:17d7;tL272>4}O9ho0q)=>7;3a0>of83:17b<>a;29?l?e2900e4m50;9j=6<722h<6=4::183!56?3nj7E=;f:k;a?6=3`386=44i9c94?=ni90;66a=1`83>>{e;<:1<7?50;2x 67028;=7E=;f:m250<722wx5o4?:3y]=g=:?32j7p}6c;296~X>k27<65k4}r3f1?6=:r789=4>149>3??43ty:i;4?:3y>3?46i2T9=l5rs0g4>5<5sWk;7095a19~yg50>3:1?7>50z&052<6n91C?9h4i03;>5<5<;02h:01>99:03;?xu4?<0;6?u27;;`?850>3;nn6srb23g>5<22;0>wA=:1;3xL4gb3tF89?4n{%636?6<,=::6>9i;%1e=?g73-9mn7<>a:&0b2<>j2d8j>4>;%1e7`d3g9m97>4}%123?7el2c2n7>5;h;`>5<{I3ba>{#;8=1=o:4i`294?=h:8k1<75f9c83>>o>k3:17d7<:188f2<72<0;6=u+3059`d=O;=l0e5k50;9j=6<722c3m7>5;hc3>5<7095929~w4c12909w09520c8Z77f3ty:i:4?:3y]e5=:?3k;7psm36494?5=83:p(>?8:0ge?M53n2c:=54?::k25<<722e:io4?::p=g<72;qU5o527;;a?xu>k3:1>vP6c:?033<6901v??n:181[46i27<6??n;|q1bf<72:qU>km4=68b4>;4??0:=55rs256>5<5s4=15n5236495`d5}#;8=1=?84H26e?l76?3:17b?jb;29?xd5mh0;684?:1y'741==<1C?9h4i03;>5<5<5<53;294~"49>0?h6F<4g9j54>=831b=<750;9l5`d=831vn?k<:18:>5<7s-9:;7?ja:J00c=n9821<75f10;94?=n98k1<75f10`94?=n98i1<75f10f94?=n98o1<75f10d94?=h9lh1<75rb23b>57;3f=>N45<7s-9:;7?=6:J00c=n98=1<75`1d`94?=zj:;h6=4=:183!56?3;9:6F<4g9j541=831d=hl50;9~w7c>2909wS279i<46c:p6`1=838pR?k8;<0f5<5sW9:463<19815d=z{:;o6=4={_12`>;49m09=l5rs9f94?2|V1n01>?7:3d`?856m38mo63<1e81bf=z{:;n6=4={<12a?46i278>=4>189~w7c62908w0;5m10:=:522dc954>53z?1a4<5nj16?6`3=9lh0q~=>b;296~;49102o63<1b82ag=z{;o96=4<{<114?760279i>4>ec9>6`3=98=0q~=>f;296~;49m02o63<2182ag=z{:;26=4={<12e?7bj278=n4>169~w7c72908w0;5mh0:=4522d1954`<,;li6?ji;o0ee?654z?1`2<5:;16>ho510c897c428;n70=>a;32a>"5nk09hk5a2gc95>{t:mn1<7:t=3f4>746348nm7?>b:?1a6<69m16?47d3-8mn76a1=:8o01?k<:03a?856i3;:n6*=fc81`c=i:ok186s|2ec94?5|5;n<6??k;<0f7?76i278=l4>1`9'6cd=:ml0b?hn:49~w7b>2908w0;5m:0:=45230c954?<,;li6?ji;o0ee?053z?1`2<59k16>h=510:8967f28;37)h5nh0<7psm39394?e=9=0:ovB<5082M7fm2wG?8<52zl746<1j2.?5;h5e>5<1<75f13294?=e=l0;6>4=:5yO707=9rB:mh5rL271>4}#<981>6s+30595a65<7s-9:;7j6;I17b>J4=80:w)?=5;1eb>{n0h0;66gn0;29?j46i3:17pl6f;296?6=8r.8=:4>279K71`5<e483>7}:?32j707i:0ga?xu6m?0;6?u27;02e>X59h1v;=7=8f:&0b<k2d8j94>;%1e`?4ak2d8j84?;|&052<6jm1b5o4?::k:g?6=3`k;6=44o33b>5<0<525<t$234>ag<@:>m7d6j:188m<5=831b4l4?::kb4?6=3f8:m7>5;|`015<7280;6=u+3059540<@:>m7b?>5;29?xu>j3:1>vP6b:?4>=g3:1>v38:33b?[46i2wx=h950;0xZd6<5>0j<6srb255>5<4290;w)=>7;3fb>N4{t1j0;6?uQ9b9>720=9830q~<>a;296~X59h16;7<>a:p6ce=839pR?hl;<59e5=:;><1=<64}r141?6=:r7<64m4=255>4ce3twi>n650;796?3|D:?:6=:39'057=;>l0(>h6:`28 6`e2;;j7)=i7;;a?k5a;3;0(>h7:8a8j6`3281/?kj52ga8j6`2291v(>?8:0`g?l?e2900e4m50;9je5<722e9=l4?::k1bf<722h<6=4::386I5293;pD5;h;`>5<f2900el>50;9l64g=831vn>;?:182>5<7s-9:;7?>6:J00c=h98?1<75rs8`94?4|V0h01:47a:p=f<72;qU5n527;:f?xu6m<0;6?u23429543<5>02?6s|1d494?4|5>09=l5Q20c8yv7b?3:1>vPn0:?4>d65}#;8=1=hh4H26e?l7603:17d?>9;29?j7bj3:17p}6b;296~X>j27<64l4}r;`>5<5sW3h70=86;32=>{t:8k1<7k278;;4>ec9~yg4di3:197<55zN014<6sA;ji6sC3409e~"38;097):?1;14b>"4n00j<6*"4n102o6`0:ni5f9c83>>o>k3:17do?:188k77f2900e?hl:188f2<72<0968uC34395~N6il1v(>?8:0`7?lg72900c??n:188m:i;h:f>5<>of83:17b<>a;29?xd4=90;6<4?:1y'741=98<0D>:i;n321?6=3ty2n7>52z\:f>;021k0q~7l:181[?d34=14h5rs0g6>5<5s49><7?>5:?4><552z?4>77f3W8:m6s|1d594?4|Vh:01:4n0:~f61129086=4?{%123?7bn2B88k5f10:94?=n9831<75`1d`94?=z{0h1<7j2wx5n4?:3y]=f=:;><1=<74}r02e?6=:rT9=l527;02e>{t:oi1<7=t^3d`?81=i916?:8510:8yv50=3:1>v38:8a8961128oi7psm8183>0<52"38808;k5+3g;9e5=#;oh1>1=6*>of83:17b<>a;29?l4ak3:17o950;796?3|D:?:64?::`4>5<2290;w)=>7;fb?M53n2c3i7>5;h;0>5<>i59h0;66sm34294?7=83:p(>?8:035?M53n2e:=84?::p=g<72;qU5o527;:b?xu>k3:1>vP6c:?4>=c52z?015<69<16;77<;|q2a3<72;q6;7<>a:\15d=z{8o<6=4={_c3?81=i91vqo=86;297?6=8r.8=:4>eg9K71`5<5<5sW3i70959c9~w47>3ty9=l4?:3y]64g<5>09=l5rs3d`>5<4sW8mo638:`28961128;37p}<7483>7}:?33h70=86;3ff>{zj181<7;52;7xH63628qC=lk4}M166?g|,=:96?5+413972`<,:l26l>4$2da>77f3-9m;77m;o1e7?7<,:l364m4n2d7>4=#;on1>km4n2d6>5=z,:;<65<>i59h0;66g=fb83>>d0290>6?4:{M165?7|@8kn7p*<1682f1=ni90;66a=1`83>>o>j3:17d7l:188m<5=831i;7>55;294~"49>0om6F<4g9j<`<722c2?7>5;h:b>5<:183!56?3;::6F<4g9l543=831v4l50;0xZ03m6s|9b83>7}Y1j16;76j;|q2a0<72;q6?8>5107892<>;2wx=h850;0x92<59h1U>4?:1y'741=9ll0D>:i;h325;n3ff?6=3ty2n7>52z\:f>;020h0q~7l:181[?d349<:7?>9:p64g=838pR??n;<5964g53z\1bf=:?3k;70=86;32<>{t;>?1<7;4??0:io5r}c1:`?6==391;vB<5082M7fm2wG?8<5az&747<53->;=7=8f:&0b<k2d8j94>;%1ea?56>2d8j;4?;|&052<6l81b5o4?::k:g?6=3`k;6=44o33b>5<0<525<t$234>ag<@:>m7d6j:188m<5=831b4l4?::kb4?6=3f8:m7>5;|`015<7280;6=u+3059540<@:>m7b?>5;29?xu>j3:1>vP6b:?4>=g3:1>v38:33b?[46i2wx=h950;0xZd6<5>0j<6srb255>5<4290;w)=>7;3fb>N4j2wx5n4?:3y]=f=:;>21=<74}rc3>5<5sWk;70=86;32=>{t:8k1<76;297~X49?16?:8510:8961?28;37p}<7683>7}:?33h70=88;3ff>{t;>?1<7;4??0:io5r}c117?6==391;vB<5082M7fm2wG?8<5az&747<53->;=7=8f:&0b<k2d8j94>;%1e`?4ak2d8j84?;|&052<6jm1b5o4?::k:g?6=3`k;6=44o33b>5<0<525<t$234>ag<@:>m7d6j:188m<5=831b4l4?::kb4?6=3f8:m7>5;|`015<7280;6=u+3059540<@:>m7b?>5;29?xu>j3:1>vP6b:?4>=g3:1>v38:33b?[46i2wx=h950;0xZd6<5>0j<6srb24:>5<4290;w)=>7;6g?M53n2c:=54?::k25<<722e:io4?::a720=8391<7>t$234>4ca3A9?j6g>1983>>o6900;66a>ec83>>{t1k0;6?uQ9c9>3??e3ty2o7>52z\:g>;4??0:=45rs`294?4|Vh:01>86:03:?xu59h0;6?uQ20c892<59h1v?hl:180[4ak278:44>199>720=9820q~=98;296~;02h:01>86:0ga?xu4?<0;6?u27;;`?850>3;nn6srb6a94?5=83:p(>?8:0ge?M53n2c:=54?::k25<<722e:io4?::a6f`=83>1<7>t$234>06<@:>m7d?>8;29?l7613:17d?>a;29?j7bj3:17pl74;291?6=8r.8=:4>f59K71`5<5<279K71`5<53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831vn?j>:180>5<7s-9:;7?je:J00c=n9821<75f10;94?=h9lh1<75rb3f0>5<4290;w)=>7;3fa>N4n7E=;f:k25=<722c:=44?::m2ag<722wi?hj50;194?6|,:;<69k4H26e?l7603:17d?>9;29?j7bj3:17pl90;296?6=8r.8=:4>279K71`5<52z\0a<=:;lo1=hl4}r1:`?6=:rT85i5238f964g55z\0<5:oo64703ty59z\4f>;5k?09jn522b:96ce<5;ij6?hl;<:3>7`d34296?hl;<1:`?56>278>>4=fb9>3f<6mk1vi650;;xZa><5l33i70==3;;a?xu0n3:1?vP8f:?;4??d342?6328;j7p}=c483>6}Y:j?01?m9:8a897b628;37p}=c683>6}Y:j=01?m7:8a897b428;37p}=c883>6}Y:j301?mn:8a897b228;37p}<9583>7}Y;0>01>76:0ga?xu6:90;6>uQ132896?c2h:01><<:`28yv1b2908w06;:0ga?85f93;:463<99825<=z{:3<6=4={<1:=?76?278554>ec9~w0c=838p18k520c892e=9830q~7>52z?1gc<69016>i=51d`8yv4c<3:1>v3=cg825d=::m?1=hl4}r:3>5<5s42;6??n;<:7>47>3ty3>7>52z?;6?46i27387?>b:p775=838p1><<:33b?85bl3;:56s|2b494?4|5;i=6??n;<0g5?7612wx>n650;0x97e?2;;j70{t:jk1<777f348o97?>9:p7`e=838p1>kj:034?85bl3;nn6s|2b694?4|5;im61`7k:8a8964420i01>o>:0ga?x{e;1=1<7<50;2x 670288=7E=;f:k252<722e:io4?::a7=d=8381<7>t$234>4413A9?j6g>1683>>i6mk0;66sm37;94?5=83:p(>?8:5g8L62a3`;:47>5;h32=?6=3f;nn7>5;|`0<<<72:0;6=u+30595`c<@:>m7d?>8;29?l7613:17b?jb;29?xd4n;0;6>4?:1y'741=5<5<52;294~"49>0:>;5G35d8m4702900cd3ty85h4?:3y]77k;|q06>d349397=7c:?0<4<40j1v>kn:181[5bi2784<4vP056=;m80q~=l9;296~X4k0168=>53b;8yv5>>3:1>vP<979>7=2=;0>0q~=l7;296~X4k>168=>53c`8yv5>i3:1>vP<9`9>056=;0k0q~=lf;297~X4ko16?:k53bd896>32:im7p}<9g83>7}Y;0l01>6;:2;g?xu40l0;6?uQ39g896>32:2h7p}<9283>7}Y;0901>6;:2;0?xu4k10;6?uQ3b:891672:no7p}7}Y;lh01>6;:2g:?xu4l10;6?uQ3e:896>32:n=7p}7}Y;kl019>?:2`e?xu40?0;6?uQ394896>028oi7p}<8`83>7}Y;1k01>6m:0ga?xu4io0;6>uQ3`d891672:kn70=75;1ba>{t190;6?uQ919>7=2=0k1v4?50;4xZ<7<5:=n65h4=523>=`<5:2>65h4=25`>a><5:2:6i64}r1g4?6=:rT8h=5241297a66=4={_f6?85?<3hn7p}7}Y;h801>6;:2c1?xu4j90;6?uQ3c2896>32:kn7p}7}Y;l801>6;:2g2?xu4i<0;6?uQ3`7896>22:k>7p}7}Y;h=01>6;:2c4?xu4n90;69uQ3g28961b2:om70:?0;1fb>;40<08ik5rs2;6>5<5sW92963<8080=1=z{;o26=4={<634?4b1278;n4=e89~w7b12909w0:?0;0g2>;4?j09h;5rs010>5<5s49397?<3:?0<1<6;:1v<8n:181850m3;=m63<84822d=z{88<6=4={<1;1?75?278494>269~w7`02909w0=8e;0e3>;4>00:=55rs3df>5<5s4932=>01>66:03:?xu3>3:1>v3<84870>;4000:=55rs3g4>5<5s4>;<71v:l50;7x961b2>h019>?:6`896>22>h01>9l:9f896>62>h0q~=72;296~;40809o45239195`d52z?0<0<6>j16?5:517a8yv5603:1>v3<7b805==:;o81=<64}r12`?6=:r78;n4<1e9>73?=9830q~=i1;296~;4n;0:io52391954152z?745<5k=16?5?52b78yv73i3:1>v3<7d820d=:;1?1=9o4}r34=?6=:r78484>789>7=2=9h=0q~52z?0<2<69>16?5751d`8yv72n3:1>v3<84821c=:;1>1=;74}r001?6=:r78;h4=349>056=::?0q~=98;296~;40806=4={<14a?4e=27?<=4=b49~w4472909w0=8e;314>;4080:>=5rs9194?4|5:2>6:k4=2:2>=752z?0<0<61j16?5:51`48yv2d2909w0=75;6`?85?j3;:;6s|23c94?4|V;8j70:?0;01e>"5nk09>45a2gc94>{t:;21<7"5nk09>45a2gc96>{t::81<76*=fc816<=i:ok1?6s|22394?4|V;9:70:?0;005>"5nk09>45a2gc90>{t:::1<7"5nk09>45a2gc92>{t:;o1<7"5nk09>45a2gc9<>{t:;i1<7"5nk09>45a2gc9e>{t:;=1<77}Y?916?:k53c9'6cd=>o1e>ko50:p2`<72;qU:h5236g97<=#:oh1:k5a2gc95>{t>m0;6>uQ6e9>72c=;1168=>5399'6cd=>o1e>ko52:p2f<72:qU:n5236g973=:<9:1?;5+2g`92c=i:ok1?6s|6`83>6}Y>h16?:k5359>056=;=1/>kl56g9m6cg=<2wx:44?:2y]2<=:;>o1?>52412976=#:oh1:k5a2gc91>{t>10;6>uQ699>72c=;;168=>5339'6cd=>o1e>ko56:p22<72:qU::5236g974=:<9:1?<5+2g`92c=i:ok1;6s|6783>6}Y>?16?:k5319>056=;91/>kl56g9m6cg=02wx:84?:2y]20=:;>o1>k5241296c=#:oh1:k5a2gc9=>{t>=0;6>uQ659>72c=:l168=>52d9'6cd=>o1e>ko5a:p26<72:qU:>5236g96a=:<9:1>i5+2g`92c=i:ok1n6s|6383>6}Y>;16?:k52b9>056=:j1/>kl56g9m6cg=k2wx:<4?:2y]24=:;>o1>o5241296g=#:oh1:k5a2gc9`>{t?10;6>uQ799>72c=<:168=>5429'6cd=>o1e>ko5e:p32<72:qU;:5236g907=:<9:18?5+2g`92c=i:ok1j6s|7783>7}Y??168=>5409'6cd=>o1e>ko5119~w23=838pR:;4=523>16<,;li6;h4n3db>473:p37<72;qU;?5241297a=#:oh1:k5a2gc951=z{>;1<7;<7=:;%0ef?0a3g8mm7?9;|~yxFGKr;8j94k32gbfa?zHIHp + + + + + +]> + diff --git a/cpld/XC95144XL/MXSE.prj b/cpld/XC95144/MXSE.prj similarity index 100% rename from cpld/XC95144XL/MXSE.prj rename to cpld/XC95144/MXSE.prj diff --git a/cpld/XC95144/MXSE.rpt b/cpld/XC95144/MXSE.rpt new file mode 100644 index 0000000..86a906d --- /dev/null +++ b/cpld/XC95144/MXSE.rpt @@ -0,0 +1,1318 @@ + +cpldfit: version P.20131013 Xilinx Inc. + Fitter Report +Design Name: MXSE Date: 2- 7-2022, 0:19AM +Device Used: XC95144XL-10-TQ100 +Fitting Status: Successful + +************************* Mapped Resource Summary ************************** + +Macrocells Product Terms Function Block Registers Pins +Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot +105/144 ( 73%) 429 /720 ( 60%) 234/432 ( 54%) 80 /144 ( 56%) 67 /81 ( 83%) + +** Function Block Resources ** + +Function Mcells FB Inps Pterms IO +Block Used/Tot Used/Tot Used/Tot Used/Tot +FB1 18/18* 18/54 22/90 11/11* +FB2 3/18 2/54 2/90 8/10 +FB3 6/18 38/54 81/90 10/10* +FB4 11/18 36/54 81/90 10/10* +FB5 13/18 33/54 81/90 8/10 +FB6 18/18* 34/54 62/90 10/10* +FB7 18/18* 38/54 63/90 6/10 +FB8 18/18* 35/54 37/90 4/10 + ----- ----- ----- ----- + 105/144 234/432 429/720 67/81 + +* - Resource is exhausted + +** Global Control Resources ** + +Signal 'CLK2X_IOB' mapped onto global clock net GCK1. +Signal 'CLK_IOB' mapped onto global clock net GCK2. +Signal 'CLK_FSB' mapped onto global clock net GCK3. +Global output enable net(s) unused. +Global set/reset net(s) unused. + +** Pin Resources ** + +Signal Type Required Mapped | Pin Type Used Total +------------------------------------|------------------------------------ +Input : 32 32 | I/O : 61 73 +Output : 32 32 | GCK/IO : 3 3 +Bidirectional : 0 0 | GTS/IO : 3 4 +GCK : 3 3 | GSR/IO : 0 1 +GTS : 0 0 | +GSR : 0 0 | + ---- ---- + Total 67 67 + +** Power Data ** + +There are 105 macrocells in high performance mode (MCHP). +There are 0 macrocells in low power mode (MCLP). +End of Mapped Resource Summary + ************************** Errors and Warnings *************************** + +WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will + use the default filename of 'MXSE.ise'. +INFO:Cpld - Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC + constraint 'P22'. It is recommended that you declare this BUFG explicitedly + in your design. Note that for certain device families the output of a BUFG + constraint can not drive a gated clock, and the BUFG constraint will be + ignored. +INFO:Cpld - Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC + constraint 'P27'. It is recommended that you declare this BUFG explicitedly + in your design. Note that for certain device families the output of a BUFG + constraint can not drive a gated clock, and the BUFG constraint will be + ignored. +INFO:Cpld - Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC + constraint 'P23'. It is recommended that you declare this BUFG explicitedly + in your design. Note that for certain device families the output of a BUFG + constraint can not drive a gated clock, and the BUFG constraint will be + ignored. + ************************* Summary of Mapped Logic ************************ + +** 32 Outputs ** + +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +nDTACK_FSB 22 33 FB3_9 28 I/O O STD FAST RESET +nROMWE 1 2 FB3_17 34 I/O O STD FAST +nAoutOE 1 0 FB4_2 87 I/O O STD FAST +nDoutOE 2 4 FB4_5 89 I/O O STD FAST RESET +nDinOE 2 6 FB4_6 90 I/O O STD FAST +nBERR_FSB 3 9 FB4_9 92 I/O O STD FAST +nVPA_FSB 1 2 FB4_11 93 I/O O STD FAST +nROMCS 2 5 FB5_2 35 I/O O STD FAST +nCAS 1 1 FB5_5 36 I/O O STD FAST RESET +nOE 1 2 FB5_6 37 I/O O STD FAST +RA<4> 2 3 FB5_9 40 I/O O STD FAST +RA<3> 2 3 FB5_11 41 I/O O STD FAST +RA<5> 2 3 FB5_12 42 I/O O STD FAST +RA<2> 2 3 FB5_14 43 I/O O STD FAST +RA<6> 2 3 FB5_15 46 I/O O STD FAST +nVMA_IOB 2 9 FB6_2 74 I/O O STD FAST RESET +nLDS_IOB 4 6 FB6_9 79 I/O O STD FAST RESET +nUDS_IOB 4 6 FB6_11 80 I/O O STD FAST RESET +nAS_IOB 2 4 FB6_12 81 I/O O STD FAST RESET +nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET +nADoutLE0 1 2 FB6_15 85 I/O O STD FAST +nDinLE 2 3 FB6_17 86 I/O O STD FAST RESET +RA<1> 2 3 FB7_2 50 I/O O STD FAST +RA<7> 2 3 FB7_5 52 I/O O STD FAST +RA<0> 2 3 FB7_6 53 I/O O STD FAST +RA<8> 2 3 FB7_8 54 I/O O STD FAST +RA<10> 1 1 FB7_9 55 I/O O STD FAST +RA<9> 2 3 FB7_11 56 I/O O STD FAST +RA<11> 1 1 FB8_2 63 I/O O STD FAST +nRAS 3 8 FB8_5 64 I/O O STD FAST +nRAMLWE 1 5 FB8_6 65 I/O O STD FAST +nRAMUWE 1 5 FB8_8 66 I/O O STD FAST + +** 73 Buried Nodes ** + +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +iobm/VPArr 1 1 FB1_1 STD RESET +iobm/VPArf 1 1 FB1_2 STD RESET +iobm/RESrr 1 1 FB1_3 STD RESET +iobm/RESrf 1 1 FB1_4 STD RESET +iobm/IOREQr 1 1 FB1_5 STD RESET +iobm/Er2 1 1 FB1_6 STD RESET +iobm/DTACKrr 1 1 FB1_7 STD RESET +iobm/DTACKrf 1 1 FB1_8 STD RESET +iobm/BERRrr 1 1 FB1_9 STD RESET +iobm/BERRrf 1 1 FB1_10 STD RESET +fsb/ASrf 1 1 FB1_11 STD RESET +cnt/RefCnt<1> 1 1 FB1_12 STD RESET +RefAck 1 2 FB1_13 STD RESET +$OpTx$$OpTx$FX_DC$355_INV$439 1 2 FB1_14 STD +iobs/IOU1 2 2 FB1_15 STD RESET +iobs/IOL1 2 2 FB1_16 STD RESET +iobm/IOS_FSM_FFd2 2 4 FB1_17 STD RESET +iobm/IOS_FSM_FFd1 2 4 FB1_18 STD RESET +iobs/IOACTr 1 1 FB2_16 STD RESET +iobm/Er 1 1 FB2_17 STD RESET +cnt/RefCnt<0> 0 0 FB2_18 STD RESET +fsb/VPA 25 31 FB3_2 STD RESET +fsb/Ready1r 7 17 FB3_5 STD RESET +fsb/Ready2r 9 22 FB3_14 STD RESET +iobs/Once 17 18 FB3_16 STD RESET +ram/RAMDIS1 18 15 FB4_3 STD RESET +ram/RAMReady 16 15 FB4_8 STD RESET +fsb/BERR0r 3 8 FB4_10 STD RESET +iobs/Load1 14 18 FB4_12 STD RESET +iobs/Clear1 1 3 FB4_14 STD RESET +ram/RASEL 20 15 FB4_16 STD RESET +iobs/PS_FSM_FFd2 14 19 FB5_4 STD RESET +iobs/IORW1 16 19 FB5_8 STD RESET +IOREQ 14 19 FB5_13 STD RESET +ram/Once 5 10 FB5_16 STD RESET +IORW0 18 20 FB5_18 STD RESET +iobm/ETACK 1 6 FB6_1 STD RESET +ALE0M 2 5 FB6_3 STD RESET +iobm/ES<3> 3 6 FB6_4 STD RESET +iobm/ES<1> 3 4 FB6_5 STD RESET + +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +iobm/ES<0> 3 7 FB6_6 STD RESET +iobm/IOS_FSM_FFd4 4 6 FB6_7 STD RESET +iobm/ES<4> 4 7 FB6_8 STD RESET +iobm/IOS_FSM_FFd3 5 10 FB6_10 STD RESET +iobm/ES<2> 5 7 FB6_13 STD RESET +IOACT 6 13 FB6_16 STD RESET +IOBERR 9 14 FB6_18 STD RESET +ram/RS_FSM_FFd2 13 14 FB7_1 STD RESET +cnt/TimeoutBPre 3 11 FB7_3 STD RESET +cnt/RefCnt<4> 1 4 FB7_4 STD RESET +fsb/Ready0r 3 8 FB7_7 STD RESET +cs/nOverlay0 3 8 FB7_10 STD RESET +TimeoutB 3 12 FB7_12 STD RESET +cnt/RefCnt<5> 1 5 FB7_13 STD RESET +ram/RS_FSM_FFd1 5 10 FB7_14 STD RESET +ram/RAMDIS2 7 15 FB7_15 STD RESET +ram/RS_FSM_FFd3 11 14 FB7_16 STD RESET +cnt/RefCnt<7> 1 7 FB7_17 STD RESET +cnt/RefCnt<6> 1 6 FB7_18 STD RESET +ram/BACTr 1 2 FB8_1 STD RESET +cnt/RefCnt<3> 1 3 FB8_3 STD RESET +cnt/RefCnt<2> 1 2 FB8_4 STD RESET +ALE0S 1 2 FB8_7 STD RESET +iobs/PS_FSM_FFd1 2 3 FB8_9 STD RESET +fsb/BERR1r 2 4 FB8_10 STD RESET +cs/nOverlay1 2 3 FB8_11 STD RESET +cnt/RefDone 2 10 FB8_12 STD RESET +$OpTx$FX_DC$360 2 2 FB8_13 STD +TimeoutA 3 10 FB8_14 STD RESET +IOU0 3 5 FB8_15 STD RESET +IOL0 3 5 FB8_16 STD RESET +iobs/IOReady 4 8 FB8_17 STD RESET +BERR_IOBS 4 8 FB8_18 STD RESET + +** 35 Inputs ** + +Signal Loc Pin Pin Pin +Name No. Type Use +A_FSB<13> FB1_2 11 I/O I +A_FSB<14> FB1_3 12 I/O I +A_FSB<15> FB1_5 13 I/O I +A_FSB<16> FB1_6 14 I/O I +A_FSB<17> FB1_8 15 I/O I +A_FSB<18> FB1_9 16 I/O I +A_FSB<19> FB1_11 17 I/O I +A_FSB<20> FB1_12 18 I/O I +A_FSB<21> FB1_14 19 I/O I +A_FSB<22> FB1_15 20 I/O I +CLK2X_IOB FB1_17 22 GCK/I/O GCK +A_FSB<5> FB2_6 2 GTS/I/O I +A_FSB<6> FB2_8 3 GTS/I/O I +A_FSB<7> FB2_9 4 GTS/I/O I +A_FSB<8> FB2_11 6 I/O I +A_FSB<9> FB2_12 7 I/O I +A_FSB<10> FB2_14 8 I/O I +A_FSB<11> FB2_15 9 I/O I +A_FSB<12> FB2_17 10 I/O I +CLK_IOB FB3_2 23 GCK/I/O GCK/I +A_FSB<23> FB3_5 24 I/O I +E_IOB FB3_6 25 I/O I +CLK_FSB FB3_8 27 GCK/I/O GCK +nWE_FSB FB3_11 29 I/O I +nLDS_FSB FB3_12 30 I/O I +nAS_FSB FB3_14 32 I/O I +nUDS_FSB FB3_15 33 I/O I +nRES FB4_8 91 I/O I +A_FSB<1> FB4_12 94 I/O I +A_FSB<2> FB4_14 95 I/O I +A_FSB<3> FB4_15 96 I/O I +A_FSB<4> FB4_17 97 I/O I +nBERR_IOB FB6_5 76 I/O I +nVPA_IOB FB6_6 77 I/O I +nDTACK_IOB FB6_8 78 I/O I + +Legend: +Pin No. - ~ - User Assigned + ************************** Function Block Details ************************ +Legend: +Total Pt - Total product terms used by the macrocell signal +Imp Pt - Product terms imported from other macrocells +Exp Pt - Product terms exported to other macrocells + in direction shown +Unused Pt - Unused local product terms remaining in macrocell +Loc - Location where logic was mapped in device +Pin Type/Use - I - Input GCK - Global Clock + O - Output GTS - Global Output Enable + (b) - Buried macrocell GSR - Global Set/Reset +X - Signal used as input to the macrocell logic. +Pin No. - ~ - User Assigned + *********************************** FB1 *********************************** +Number of function block inputs used/remaining: 18/36 +Number of signals used by logic mapping into function block: 18 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +iobm/VPArr 1 0 0 4 FB1_1 (b) (b) +iobm/VPArf 1 0 0 4 FB1_2 11 I/O I +iobm/RESrr 1 0 0 4 FB1_3 12 I/O I +iobm/RESrf 1 0 0 4 FB1_4 (b) (b) +iobm/IOREQr 1 0 0 4 FB1_5 13 I/O I +iobm/Er2 1 0 0 4 FB1_6 14 I/O I +iobm/DTACKrr 1 0 0 4 FB1_7 (b) (b) +iobm/DTACKrf 1 0 0 4 FB1_8 15 I/O I +iobm/BERRrr 1 0 0 4 FB1_9 16 I/O I +iobm/BERRrf 1 0 0 4 FB1_10 (b) (b) +fsb/ASrf 1 0 0 4 FB1_11 17 I/O I +cnt/RefCnt<1> 1 0 0 4 FB1_12 18 I/O I +RefAck 1 0 0 4 FB1_13 (b) (b) +$OpTx$$OpTx$FX_DC$355_INV$439 + 1 0 0 4 FB1_14 19 I/O I +iobs/IOU1 2 0 0 3 FB1_15 20 I/O I +iobs/IOL1 2 0 0 3 FB1_16 (b) (b) +iobm/IOS_FSM_FFd2 2 0 0 3 FB1_17 22 GCK/I/O GCK +iobm/IOS_FSM_FFd1 2 0 0 3 FB1_18 (b) (b) + +Signals Used by Logic in Function Block + 1: IOREQ 7: iobm/IOS_FSM_FFd3 13: nLDS_FSB + 2: cnt/RefCnt<0> 8: iobm/IOS_FSM_FFd4 14: nRES + 3: fsb/ASrf 9: iobs/Load1 15: nUDS_FSB + 4: iobm/Er 10: nAS_FSB 16: nVPA_IOB + 5: iobm/IOS_FSM_FFd1 11: nBERR_IOB 17: ram/RS_FSM_FFd1 + 6: iobm/IOS_FSM_FFd2 12: nDTACK_IOB 18: ram/RS_FSM_FFd2 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +iobm/VPArr ...............X........................ 1 +iobm/VPArf ...............X........................ 1 +iobm/RESrr .............X.......................... 1 +iobm/RESrf .............X.......................... 1 +iobm/IOREQr X....................................... 1 +iobm/Er2 ...X.................................... 1 +iobm/DTACKrr ...........X............................ 1 +iobm/DTACKrf ...........X............................ 1 +iobm/BERRrr ..........X............................. 1 +iobm/BERRrf ..........X............................. 1 +fsb/ASrf .........X.............................. 1 +cnt/RefCnt<1> .X...................................... 1 +RefAck ................XX...................... 2 +$OpTx$$OpTx$FX_DC$355_INV$439 + ..X......X.............................. 2 +iobs/IOU1 ........X.....X......................... 2 +iobs/IOL1 ........X...X........................... 2 +iobm/IOS_FSM_FFd2 ....XXXX................................ 4 +iobm/IOS_FSM_FFd1 ....XXXX................................ 4 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB2 *********************************** +Number of function block inputs used/remaining: 2/52 +Number of signals used by logic mapping into function block: 2 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 0 5 FB2_1 (b) +(unused) 0 0 0 5 FB2_2 99 GSR/I/O +(unused) 0 0 0 5 FB2_3 (b) +(unused) 0 0 0 5 FB2_4 (b) +(unused) 0 0 0 5 FB2_5 1 GTS/I/O +(unused) 0 0 0 5 FB2_6 2 GTS/I/O I +(unused) 0 0 0 5 FB2_7 (b) +(unused) 0 0 0 5 FB2_8 3 GTS/I/O I +(unused) 0 0 0 5 FB2_9 4 GTS/I/O I +(unused) 0 0 0 5 FB2_10 (b) +(unused) 0 0 0 5 FB2_11 6 I/O I +(unused) 0 0 0 5 FB2_12 7 I/O I +(unused) 0 0 0 5 FB2_13 (b) +(unused) 0 0 0 5 FB2_14 8 I/O I +(unused) 0 0 0 5 FB2_15 9 I/O I +iobs/IOACTr 1 0 0 4 FB2_16 (b) (b) +iobm/Er 1 0 0 4 FB2_17 10 I/O I +cnt/RefCnt<0> 0 0 0 5 FB2_18 (b) (b) + +Signals Used by Logic in Function Block + 1: E_IOB 2: IOACT + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +iobs/IOACTr .X...................................... 1 +iobm/Er X....................................... 1 +cnt/RefCnt<0> ........................................ 0 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB3 *********************************** +Number of function block inputs used/remaining: 38/16 +Number of signals used by logic mapping into function block: 38 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 \/5 0 FB3_1 (b) (b) +fsb/VPA 25 20<- 0 0 FB3_2 23 GCK/I/O GCK/I +(unused) 0 0 /\5 0 FB3_3 (b) (b) +(unused) 0 0 /\5 0 FB3_4 (b) (b) +fsb/Ready1r 7 2<- 0 0 FB3_5 24 I/O I +(unused) 0 0 /\2 3 FB3_6 25 I/O I +(unused) 0 0 \/5 0 FB3_7 (b) (b) +(unused) 0 0 \/5 0 FB3_8 27 GCK/I/O GCK +nDTACK_FSB 22 17<- 0 0 FB3_9 28 I/O O +(unused) 0 0 /\5 0 FB3_10 (b) (b) +(unused) 0 0 /\2 3 FB3_11 29 I/O I +(unused) 0 0 \/2 3 FB3_12 30 I/O I +(unused) 0 0 \/5 0 FB3_13 (b) (b) +fsb/Ready2r 9 7<- \/3 0 FB3_14 32 I/O I +(unused) 0 0 \/5 0 FB3_15 33 I/O I +iobs/Once 17 12<- 0 0 FB3_16 (b) (b) +nROMWE 1 0 /\4 0 FB3_17 34 I/O O +(unused) 0 0 \/5 0 FB3_18 (b) (b) + +Signals Used by Logic in Function Block + 1: $OpTx$$OpTx$FX_DC$355_INV$439 14: A_FSB<21> 27: fsb/Ready1r + 2: $OpTx$FX_DC$360 15: A_FSB<22> 28: fsb/Ready2r + 3: A_FSB<10> 16: A_FSB<23> 29: fsb/VPA + 4: A_FSB<11> 17: A_FSB<8> 30: iobs/IOReady + 5: A_FSB<12> 18: A_FSB<9> 31: iobs/Once + 6: A_FSB<13> 19: BERR_IOBS 32: iobs/PS_FSM_FFd1 + 7: A_FSB<14> 20: TimeoutA 33: iobs/PS_FSM_FFd2 + 8: A_FSB<15> 21: TimeoutB 34: nADoutLE1 + 9: A_FSB<16> 22: cs/nOverlay1 35: nAS_FSB + 10: A_FSB<17> 23: fsb/ASrf 36: nDTACK_FSB + 11: A_FSB<18> 24: fsb/BERR0r 37: nWE_FSB + 12: A_FSB<19> 25: fsb/BERR1r 38: ram/RAMReady + 13: A_FSB<20> 26: fsb/Ready0r + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +fsb/VPA X.XXXXXXXXXXXXXXXXXXXX.XXXXXXX...X..XX.. 31 +fsb/Ready1r .....XX.XXXXXXXX.....XX...X..X...XX.X... 17 +nDTACK_FSB .XXXXXXXXXXXXXXXXXXXXXXXXXXX.X...XXXXX.. 33 +fsb/Ready2r ..XXXXXXXXXXXXXXXX.X.XX....X......X.X... 22 +iobs/Once .....XX.XXXXXXXX.....XX.......XXXXX.X... 18 +nROMWE ..................................X.X... 2 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB4 *********************************** +Number of function block inputs used/remaining: 36/18 +Number of signals used by logic mapping into function block: 36 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 0 5 FB4_1 (b) (b) +nAoutOE 1 1<- \/5 0 FB4_2 87 I/O O +ram/RAMDIS1 18 13<- 0 0 FB4_3 (b) (b) +(unused) 0 0 /\5 0 FB4_4 (b) (b) +nDoutOE 2 0 /\3 0 FB4_5 89 I/O O +nDinOE 2 0 \/3 0 FB4_6 90 I/O O +(unused) 0 0 \/5 0 FB4_7 (b) (b) +ram/RAMReady 16 11<- 0 0 FB4_8 91 I/O I +nBERR_FSB 3 1<- /\3 0 FB4_9 92 I/O O +fsb/BERR0r 3 0 /\1 1 FB4_10 (b) (b) +nVPA_FSB 1 0 \/4 0 FB4_11 93 I/O O +iobs/Load1 14 9<- 0 0 FB4_12 94 I/O I +(unused) 0 0 /\5 0 FB4_13 (b) (b) +iobs/Clear1 1 0 \/4 0 FB4_14 95 I/O I +(unused) 0 0 \/5 0 FB4_15 96 I/O I +ram/RASEL 20 15<- 0 0 FB4_16 (b) (b) +(unused) 0 0 /\5 0 FB4_17 97 I/O I +(unused) 0 0 /\1 4 FB4_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<13> 13: TimeoutB 25: iobm/IOS_FSM_FFd4 + 2: A_FSB<14> 14: cnt/RefCnt<5> 26: iobs/Once + 3: A_FSB<16> 15: cnt/RefCnt<6> 27: iobs/PS_FSM_FFd1 + 4: A_FSB<17> 16: cnt/RefCnt<7> 28: iobs/PS_FSM_FFd2 + 5: A_FSB<18> 17: cnt/RefDone 29: nADoutLE1 + 6: A_FSB<19> 18: cs/nOverlay1 30: nAS_FSB + 7: A_FSB<20> 19: fsb/ASrf 31: nWE_FSB + 8: A_FSB<21> 20: fsb/BERR0r 32: ram/BACTr + 9: A_FSB<22> 21: fsb/BERR1r 33: ram/Once + 10: A_FSB<23> 22: fsb/VPA 34: ram/RS_FSM_FFd1 + 11: BERR_IOBS 23: iobm/IOS_FSM_FFd2 35: ram/RS_FSM_FFd2 + 12: IORW0 24: iobm/IOS_FSM_FFd3 36: ram/RS_FSM_FFd3 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +nAoutOE ........................................ 0 +ram/RAMDIS1 .......XXX...XXXXXX..........X.XXXXX.... 15 +nDoutOE ...........X..........XXX............... 4 +nDinOE ......XXXX...................XX......... 6 +ram/RAMReady .......XXX...XXXXXX..........X.XXXXX.... 15 +nBERR_FSB ......XXXXX.X......XX........X.......... 9 +fsb/BERR0r ......XXXX..X.....XX.........X.......... 8 +nVPA_FSB .....................X.......X.......... 2 +iobs/Load1 XXXXXXXXXX.......XX......XXXXXX......... 18 +iobs/Clear1 ..........................XXX........... 3 +ram/RASEL .......XXX...XXXXXX..........X.XXXXX.... 15 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB5 *********************************** +Number of function block inputs used/remaining: 33/21 +Number of signals used by logic mapping into function block: 33 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 /\5 0 FB5_1 (b) (b) +nROMCS 2 0 /\3 0 FB5_2 35 I/O O +(unused) 0 0 \/5 0 FB5_3 (b) (b) +iobs/PS_FSM_FFd2 14 9<- 0 0 FB5_4 (b) (b) +nCAS 1 0 /\4 0 FB5_5 36 I/O O +nOE 1 0 \/1 3 FB5_6 37 I/O O +(unused) 0 0 \/5 0 FB5_7 (b) (b) +iobs/IORW1 16 11<- 0 0 FB5_8 39 I/O (b) +RA<4> 2 2<- /\5 0 FB5_9 40 I/O O +(unused) 0 0 /\2 3 FB5_10 (b) (b) +RA<3> 2 0 \/2 1 FB5_11 41 I/O O +RA<5> 2 2<- \/5 0 FB5_12 42 I/O O +IOREQ 14 9<- 0 0 FB5_13 (b) (b) +RA<2> 2 1<- /\4 0 FB5_14 43 I/O O +RA<6> 2 0 /\1 2 FB5_15 46 I/O O +ram/Once 5 0 0 0 FB5_16 (b) (b) +(unused) 0 0 \/5 0 FB5_17 49 I/O (b) +IORW0 18 13<- 0 0 FB5_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<12> 12: A_FSB<23> 23: iobs/Once + 2: A_FSB<13> 13: A_FSB<3> 24: iobs/PS_FSM_FFd1 + 3: A_FSB<14> 14: A_FSB<4> 25: iobs/PS_FSM_FFd2 + 4: A_FSB<15> 15: A_FSB<5> 26: nADoutLE1 + 5: A_FSB<16> 16: A_FSB<6> 27: nAS_FSB + 6: A_FSB<17> 17: A_FSB<7> 28: nWE_FSB + 7: A_FSB<18> 18: IORW0 29: ram/Once + 8: A_FSB<19> 19: cs/nOverlay1 30: ram/RASEL + 9: A_FSB<20> 20: fsb/ASrf 31: ram/RS_FSM_FFd1 + 10: A_FSB<21> 21: iobs/IOACTr 32: ram/RS_FSM_FFd2 + 11: A_FSB<22> 22: iobs/IORW1 33: ram/RS_FSM_FFd3 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +nROMCS ........XXXX......X..................... 5 +iobs/PS_FSM_FFd2 .XX.XXXXXXXX......XXX.XXXXXX............ 19 +nCAS .............................X.......... 1 +nOE ..........................XX............ 2 +iobs/IORW1 .XX.XXXXXXXX......XX.XXXXXXX............ 19 +RA<4> ..X...........X..............X.......... 3 +RA<3> .X...........X...............X.......... 3 +RA<5> ...X...........X.............X.......... 3 +IOREQ .XX.XXXXXXXX......XXX.XXXXXX............ 19 +RA<2> X...........X................X.......... 3 +RA<6> ....X...........X............X.......... 3 +ram/Once .........XXX......XX......X.X.XXX....... 10 +IORW0 .XX.XXXXXXXX.....XXX.XXXXXXX............ 20 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB6 *********************************** +Number of function block inputs used/remaining: 34/20 +Number of signals used by logic mapping into function block: 34 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +iobm/ETACK 1 0 /\1 3 FB6_1 (b) (b) +nVMA_IOB 2 0 0 3 FB6_2 74 I/O O +ALE0M 2 0 0 3 FB6_3 (b) (b) +iobm/ES<3> 3 0 0 2 FB6_4 (b) (b) +iobm/ES<1> 3 0 0 2 FB6_5 76 I/O I +iobm/ES<0> 3 0 0 2 FB6_6 77 I/O I +iobm/IOS_FSM_FFd4 4 0 0 1 FB6_7 (b) (b) +iobm/ES<4> 4 0 0 1 FB6_8 78 I/O I +nLDS_IOB 4 0 0 1 FB6_9 79 I/O O +iobm/IOS_FSM_FFd3 5 0 0 0 FB6_10 (b) (b) +nUDS_IOB 4 0 0 1 FB6_11 80 I/O O +nAS_IOB 2 0 0 3 FB6_12 81 I/O O +iobm/ES<2> 5 0 0 0 FB6_13 (b) (b) +nADoutLE1 2 0 0 3 FB6_14 82 I/O O +nADoutLE0 1 0 \/1 3 FB6_15 85 I/O O +IOACT 6 1<- 0 0 FB6_16 (b) (b) +nDinLE 2 0 \/3 0 FB6_17 86 I/O O +IOBERR 9 4<- 0 0 FB6_18 (b) (b) + +Signals Used by Logic in Function Block + 1: ALE0M 13: iobm/ES<0> 24: iobm/IOS_FSM_FFd3 + 2: ALE0S 14: iobm/ES<1> 25: iobm/IOS_FSM_FFd4 + 3: CLK_IOB 15: iobm/ES<2> 26: iobm/RESrf + 4: IOACT 16: iobm/ES<3> 27: iobm/RESrr + 5: IOBERR 17: iobm/ES<4> 28: iobm/VPArf + 6: IOL0 18: iobm/ETACK 29: iobm/VPArr + 7: IORW0 19: iobm/Er 30: iobs/Clear1 + 8: IOU0 20: iobm/Er2 31: iobs/Load1 + 9: iobm/BERRrf 21: iobm/IOREQr 32: nADoutLE1 + 10: iobm/BERRrr 22: iobm/IOS_FSM_FFd1 33: nBERR_IOB + 11: iobm/DTACKrf 23: iobm/IOS_FSM_FFd2 34: nVMA_IOB + 12: iobm/DTACKrr + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +iobm/ETACK ............XXXXX................X...... 6 +nVMA_IOB ...X........XXXXX..........XX....X...... 9 +ALE0M ....................XXXXX............... 5 +iobm/ES<3> ............XXXX..XX.................... 6 +iobm/ES<1> ............XX....XX.................... 4 +iobm/ES<0> ............XXXXX.XX.................... 7 +iobm/IOS_FSM_FFd4 ..X.................XXXXX............... 6 +iobm/ES<4> ............XXXXX.XX.................... 7 +nLDS_IOB .....XX..............XXXX............... 6 +iobm/IOS_FSM_FFd3 ..X.....XXXX.....X.....XXXX............. 10 +nUDS_IOB ......XX.............XXXX............... 6 +nAS_IOB .....................XXXX............... 4 +iobm/ES<2> ............XXXXX.XX.................... 7 +nADoutLE1 .............................XXX........ 3 +nADoutLE0 XX...................................... 2 +IOACT ..X.....XXXX.....X..XXXXXXX............. 13 +nDinLE .....................X.XX............... 3 +IOBERR ..X.X...XXXX.....X...XXXXXX.....X....... 14 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB7 *********************************** +Number of function block inputs used/remaining: 38/16 +Number of signals used by logic mapping into function block: 38 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +ram/RS_FSM_FFd2 13 8<- 0 0 FB7_1 (b) (b) +RA<1> 2 1<- /\4 0 FB7_2 50 I/O O +cnt/TimeoutBPre 3 0 /\1 1 FB7_3 (b) (b) +cnt/RefCnt<4> 1 0 0 4 FB7_4 (b) (b) +RA<7> 2 0 0 3 FB7_5 52 I/O O +RA<0> 2 0 0 3 FB7_6 53 I/O O +fsb/Ready0r 3 0 0 2 FB7_7 (b) (b) +RA<8> 2 0 0 3 FB7_8 54 I/O O +RA<10> 1 0 0 4 FB7_9 55 I/O O +cs/nOverlay0 3 0 0 2 FB7_10 (b) (b) +RA<9> 2 0 0 3 FB7_11 56 I/O O +TimeoutB 3 0 0 2 FB7_12 58 I/O (b) +cnt/RefCnt<5> 1 0 \/4 0 FB7_13 (b) (b) +ram/RS_FSM_FFd1 5 4<- \/4 0 FB7_14 59 I/O (b) +ram/RAMDIS2 7 4<- \/2 0 FB7_15 60 I/O (b) +ram/RS_FSM_FFd3 11 6<- 0 0 FB7_16 (b) (b) +cnt/RefCnt<7> 1 0 /\4 0 FB7_17 61 I/O (b) +cnt/RefCnt<6> 1 0 \/4 0 FB7_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<10> 14: TimeoutB 27: fsb/ASrf + 2: A_FSB<11> 15: cnt/RefCnt<0> 28: fsb/Ready0r + 3: A_FSB<17> 16: cnt/RefCnt<1> 29: nAS_FSB + 4: A_FSB<18> 17: cnt/RefCnt<2> 30: nRES + 5: A_FSB<19> 18: cnt/RefCnt<3> 31: ram/BACTr + 6: A_FSB<1> 19: cnt/RefCnt<4> 32: ram/Once + 7: A_FSB<20> 20: cnt/RefCnt<5> 33: ram/RAMDIS2 + 8: A_FSB<21> 21: cnt/RefCnt<6> 34: ram/RAMReady + 9: A_FSB<22> 22: cnt/RefCnt<7> 35: ram/RASEL + 10: A_FSB<23> 23: cnt/RefDone 36: ram/RS_FSM_FFd1 + 11: A_FSB<2> 24: cnt/TimeoutBPre 37: ram/RS_FSM_FFd2 + 12: A_FSB<8> 25: cs/nOverlay0 38: ram/RS_FSM_FFd3 + 13: A_FSB<9> 26: cs/nOverlay1 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RS_FSM_FFd2 .......XXX.........XXXX..XX.X.X....XXX.. 14 +RA<1> .X........X.......................X..... 3 +cnt/TimeoutBPre ..............XXXXXXXX.X..X.X........... 11 +cnt/RefCnt<4> ..............XXXX...................... 4 +RA<7> ..X........X......................X..... 3 +RA<0> X....X............................X..... 3 +fsb/Ready0r .......XXX...............XXXX....X...... 8 +RA<8> ...X........X.....................X..... 3 +RA<10> .......X................................ 1 +cs/nOverlay0 ......XXXX..............X.X.XX.......... 8 +RA<9> ....X.X...........................X..... 3 +TimeoutB .............XXXXXXXXX.X..X.X........... 12 +cnt/RefCnt<5> ..............XXXXX..................... 5 +ram/RS_FSM_FFd1 .......XXX...............XX.X..X...XXX.. 10 +ram/RAMDIS2 .......XXX.........XXXX..XX.X..XX..XXX.. 15 +ram/RS_FSM_FFd3 .......XXX.........XXXX..XX.X..X...XXX.. 14 +cnt/RefCnt<7> ..............XXXXXXX................... 7 +cnt/RefCnt<6> ..............XXXXXX.................... 6 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB8 *********************************** +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +ram/BACTr 1 0 0 4 FB8_1 (b) (b) +RA<11> 1 0 0 4 FB8_2 63 I/O O +cnt/RefCnt<3> 1 0 0 4 FB8_3 (b) (b) +cnt/RefCnt<2> 1 0 0 4 FB8_4 (b) (b) +nRAS 3 0 0 2 FB8_5 64 I/O O +nRAMLWE 1 0 0 4 FB8_6 65 I/O O +ALE0S 1 0 0 4 FB8_7 (b) (b) +nRAMUWE 1 0 0 4 FB8_8 66 I/O O +iobs/PS_FSM_FFd1 2 0 0 3 FB8_9 67 I/O (b) +fsb/BERR1r 2 0 0 3 FB8_10 (b) (b) +cs/nOverlay1 2 0 0 3 FB8_11 68 I/O (b) +cnt/RefDone 2 0 0 3 FB8_12 70 I/O (b) +$OpTx$FX_DC$360 2 0 0 3 FB8_13 (b) (b) +TimeoutA 3 0 0 2 FB8_14 71 I/O (b) +IOU0 3 0 0 2 FB8_15 72 I/O (b) +IOL0 3 0 0 2 FB8_16 (b) (b) +iobs/IOReady 4 0 0 1 FB8_17 73 I/O (b) +BERR_IOBS 4 0 0 1 FB8_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<19> 13: cnt/RefCnt<4> 25: iobs/IOU1 + 2: A_FSB<21> 14: cnt/RefCnt<5> 26: iobs/Once + 3: A_FSB<22> 15: cnt/RefCnt<6> 27: iobs/PS_FSM_FFd1 + 4: A_FSB<23> 16: cnt/RefCnt<7> 28: iobs/PS_FSM_FFd2 + 5: BERR_IOBS 17: cnt/RefDone 29: nADoutLE1 + 6: IOBERR 18: cs/nOverlay0 30: nAS_FSB + 7: RefAck 19: cs/nOverlay1 31: nLDS_FSB + 8: TimeoutA 20: fsb/ASrf 32: nUDS_FSB + 9: cnt/RefCnt<0> 21: fsb/BERR1r 33: nWE_FSB + 10: cnt/RefCnt<1> 22: iobs/IOACTr 34: ram/RAMDIS1 + 11: cnt/RefCnt<2> 23: iobs/IOL1 35: ram/RAMDIS2 + 12: cnt/RefCnt<3> 24: iobs/IOReady + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/BACTr ...................X.........X.......... 2 +RA<11> X....................................... 1 +cnt/RefCnt<3> ........XXX............................. 3 +cnt/RefCnt<2> ........XX.............................. 2 +nRAS .XXX..X...........X..........X...XX..... 8 +nRAMLWE .............................XX.XXX..... 5 +ALE0S ..........................XX............ 2 +nRAMUWE .............................X.XXXX..... 5 +iobs/PS_FSM_FFd1 .....................X....XX............ 3 +fsb/BERR1r ....X..............XX........X.......... 4 +cs/nOverlay1 .................X.X.........X.......... 3 +cnt/RefDone ......X.XXXXXXXXX....................... 10 +$OpTx$FX_DC$360 ..X...............X..................... 2 +TimeoutA .......XXXXXXXX....X.........X.......... 10 +IOU0 ........................X.XXX..X........ 5 +IOL0 ......................X...XXX.X......... 5 +iobs/IOReady .....X.............X.X.X.X.XXX.......... 8 +BERR_IOBS ....XX.............X.X...X.XXX.......... 8 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + ******************************* Equations ******************************** + +********** Mapped Logic ********** + + +$OpTx$$OpTx$FX_DC$355_INV$439 <= (nAS_FSB AND NOT fsb/ASrf); + + +$OpTx$FX_DC$360 <= NOT (A_FSB(22) + XOR +$OpTx$FX_DC$360 <= NOT (cs/nOverlay1); + +FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); +ALE0M_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); + +FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0'); +ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); + +FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); +BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/Once AND BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT BERR_IOBS AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1)); + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); +IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/RESrf AND iobm/RESrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr) + OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/ETACK) + OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); + +FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); +IOBERR_T <= ((nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND + IOBERR AND iobm/RESrf AND iobm/RESrr) + OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND + IOBERR AND iobm/ETACK) + OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND + IOBERR AND iobm/DTACKrf AND iobm/DTACKrr) + OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND + IOBERR AND iobm/BERRrf AND iobm/BERRrr) + OR (iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOS_FSM_FFd1 AND IOBERR) + OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/ETACK) + OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/BERRrf AND iobm/BERRrr) + OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/RESrf AND iobm/RESrr)); + +FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); +IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) + OR (iobs/IOL1 AND NOT nADoutLE1)); +IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); + +FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); +IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1) + OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) + OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND + nADoutLE1) + OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1)); + +FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); +IORW0_T <= ((nROMCS_OBUF.EXP) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + fsb/ASrf AND nADoutLE1) + OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND + NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); + +FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE); +IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) + OR (iobs/IOU1 AND NOT nADoutLE1)); +IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); + + +RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(1))); + + +RA(1) <= ((ram/RASEL AND A_FSB(2)) + OR (A_FSB(11) AND NOT ram/RASEL)); + + +RA(2) <= ((ram/RASEL AND A_FSB(3)) + OR (A_FSB(12) AND NOT ram/RASEL)); + + +RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(4))); + + +RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(5))); + + +RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(6))); + + +RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(7))); + + +RA(7) <= ((A_FSB(8) AND ram/RASEL) + OR (A_FSB(17) AND NOT ram/RASEL)); + + +RA(8) <= ((A_FSB(9) AND ram/RASEL) + OR (A_FSB(18) AND NOT ram/RASEL)); + + +RA(9) <= ((A_FSB(20) AND ram/RASEL) + OR (A_FSB(19) AND NOT ram/RASEL)); + + +RA(10) <= A_FSB(21); + + +RA(11) <= A_FSB(19); + +FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0'); +RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); + +FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0'); +TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND + NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4)) + OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND + NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND + NOT cnt/RefCnt(4) AND fsb/ASrf)); + +FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0'); +TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND + NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND + NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) + OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND + NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); + +FTCPE_cnt/RefCnt0: FTCPE port map (cnt/RefCnt(0),'1',CLK_FSB,'0','0'); + +FTCPE_cnt/RefCnt1: FTCPE port map (cnt/RefCnt(1),cnt/RefCnt(0),CLK_FSB,'0','0'); + +FTCPE_cnt/RefCnt2: FTCPE port map (cnt/RefCnt(2),cnt/RefCnt_T(2),CLK_FSB,'0','0'); +cnt/RefCnt_T(2) <= (cnt/RefCnt(0) AND cnt/RefCnt(1)); + +FTCPE_cnt/RefCnt3: FTCPE port map (cnt/RefCnt(3),cnt/RefCnt_T(3),CLK_FSB,'0','0'); +cnt/RefCnt_T(3) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2)); + +FTCPE_cnt/RefCnt4: FTCPE port map (cnt/RefCnt(4),cnt/RefCnt_T(4),CLK_FSB,'0','0'); +cnt/RefCnt_T(4) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND + cnt/RefCnt(3)); + +FTCPE_cnt/RefCnt5: FTCPE port map (cnt/RefCnt(5),cnt/RefCnt_T(5),CLK_FSB,'0','0'); +cnt/RefCnt_T(5) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND + cnt/RefCnt(3) AND cnt/RefCnt(4)); + +FTCPE_cnt/RefCnt6: FTCPE port map (cnt/RefCnt(6),cnt/RefCnt_T(6),CLK_FSB,'0','0'); +cnt/RefCnt_T(6) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(1) AND + cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); + +FTCPE_cnt/RefCnt7: FTCPE port map (cnt/RefCnt(7),cnt/RefCnt_T(7),CLK_FSB,'0','0'); +cnt/RefCnt_T(7) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND + cnt/RefCnt(1) AND cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); + +FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0'); +cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck) + OR (NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND + NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND + NOT cnt/RefCnt(7))); + +FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0'); +cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND + NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) + OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND + NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND + NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); + +FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0'); +cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay0 AND NOT nAS_FSB) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay0 AND fsb/ASrf)); + +FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE); +cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf); + +FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0'); + +FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0'); +fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND + NOT fsb/BERR0r)); + +FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0'); +fsb/BERR1r_D <= ((NOT BERR_IOBS AND NOT fsb/BERR1r) + OR (nAS_FSB AND NOT fsb/ASrf)); + +FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0'); +fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND NOT ram/RAMReady) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); + +FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); +fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + NOT nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + NOT nADoutLE1) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND + NOT iobs/IOReady) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady)); + +FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); +fsb/Ready2r_D <= ((EXP18_.EXP) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); + +FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); +fsb/VPA_D <= ((EXP21_.EXP) + OR (NOT A_FSB(22) AND TimeoutB AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(21) AND TimeoutB AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND + NOT iobs/IOReady AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND + fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (EXP12_.EXP) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND + NOT nADoutLE1 AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND + NOT nADoutLE1 AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (BERR_IOBS AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (fsb/BERR0r AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (fsb/BERR1r AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(23) AND TimeoutB AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (NOT A_FSB(20) AND TimeoutB AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439)); + +FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0'); + +FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0'); + +FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),CLK2X_IOB,'0','0'); +iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); + +FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),CLK2X_IOB,'0','0'); +iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) + OR (NOT iobm/Er AND iobm/Er2)); + +FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),CLK2X_IOB,'0','0'); +iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) + OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) + OR (NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) + OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); + +FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),CLK2X_IOB,'0','0'); +iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); + +FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),CLK2X_IOB,'0','0'); +iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + iobm/ES(3) AND iobm/Er) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + iobm/ES(3) AND NOT iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND iobm/ES(4))); + +FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,'0','0'); +iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND iobm/ES(4)); + +FDCPE_iobm/Er: FDCPE port map (iobm/Er,E_IOB,NOT CLK_IOB,'0','0'); + +FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND + NOT iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2)); + +FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd2_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND + NOT iobm/IOS_FSM_FFd1)); + +FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND + iobm/DTACKrr) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND + iobm/BERRrr) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND + iobm/RESrr)); + +FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd4_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd4_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND CLK_IOB) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); + +FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES,CLK2X_IOB,'0','0'); + +FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0'); + +FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0'); +iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); + +FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0'); + +FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1); + +FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); +iobs/IORW1_T <= ((iobs/Once) + OR (NOT nADoutLE1) + OR (nOE_OBUF.EXP) + OR (NOT nWE_FSB AND NOT iobs/IORW1) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1) + OR (NOT A_FSB(23) AND NOT A_FSB(20)) + OR (nWE_FSB AND iobs/IORW1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); + +FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); +iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)); + +FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1); + +FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0'); +iobs/Load1_D <= ((iobs/Once) + OR (NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21)) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1) + OR (NOT A_FSB(23) AND NOT A_FSB(20)) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); + +FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); +iobs/Once_D <= ((fsb/Ready2r.EXP) + OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) + OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1) + OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once) + OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) + OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)); + +FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0'); +iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) + OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); + +FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); +iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND + iobs/IOACTr) + OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND + NOT iobs/IOACTr) + OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + NOT fsb/ASrf AND nADoutLE1)); + + +nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); + +FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0'); +nADoutLE1_D <= ((iobs/Load1) + OR (NOT iobs/Clear1 AND NOT nADoutLE1)); + +FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); +nAS_IOB_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1)); + diff --git a/cpld/XC95144XL/MXSE.stx b/cpld/XC95144/MXSE.stx similarity index 100% rename from cpld/XC95144XL/MXSE.stx rename to cpld/XC95144/MXSE.stx diff --git a/cpld/XC95144XL/MXSE.syr b/cpld/XC95144/MXSE.syr similarity index 92% rename from cpld/XC95144XL/MXSE.syr rename to cpld/XC95144/MXSE.syr index 4a8f658..fad0dbe 100644 --- a/cpld/XC95144XL/MXSE.syr +++ b/cpld/XC95144/MXSE.syr @@ -3,14 +3,14 @@ Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. --> Parameter TMPDIR set to xst/projnav.tmp -Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.36 secs +Total REAL time to Xst completion: 1.00 secs +Total CPU time to Xst completion: 0.09 secs --> Parameter xsthdpdir set to xst -Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.36 secs +Total REAL time to Xst completion: 1.00 secs +Total CPU time to Xst completion: 0.09 secs --> Reading design: MXSE.prj @@ -219,7 +219,7 @@ Synthesizing Unit . | States | 8 | | Transitions | 15 | | Inputs | 6 | - | Outputs | 9 | + | Outputs | 8 | | Clock | C16M (rising_edge) | | Power Up State | 000 | | Encoding | automatic | @@ -303,18 +303,18 @@ Macro Statistics ========================================================================= Analyzing FSM for best encoding. -Optimizing FSM on signal with one-hot encoding. +Optimizing FSM on signal with johnson encoding. ------------------- State | Encoding ------------------- - 000 | 00000001 - 001 | 00000010 - 010 | 00000100 - 011 | 00001000 - 100 | 00010000 - 101 | 00100000 - 110 | 01000000 - 111 | 10000000 + 000 | 0000 + 001 | 0001 + 010 | 0011 + 011 | 0111 + 100 | 1111 + 101 | 1110 + 110 | 1100 + 111 | 1000 ------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with johnson encoding. @@ -385,16 +385,12 @@ Optimizing unit ... implementation constraint: INIT=r : ASrf Optimizing unit ... - implementation constraint: INIT=r : ETACK implementation constraint: INIT=r : IOREQr + implementation constraint: INIT=r : ETACK implementation constraint: INIT=r : IOS_FSM_FFd1 implementation constraint: INIT=r : IOS_FSM_FFd2 implementation constraint: INIT=r : IOS_FSM_FFd3 implementation constraint: INIT=r : IOS_FSM_FFd4 - implementation constraint: INIT=r : IOS_FSM_FFd5 - implementation constraint: INIT=r : IOS_FSM_FFd6 - implementation constraint: INIT=r : IOS_FSM_FFd7 - implementation constraint: INIT=s : IOS_FSM_FFd8 Optimizing unit ... implementation constraint: INIT=r : RefDone @@ -437,24 +433,23 @@ Design Statistics # IOs : 67 Cell Usage : -# BELS : 570 -# AND2 : 160 -# AND3 : 20 -# AND4 : 15 -# AND5 : 1 -# AND6 : 3 +# BELS : 605 +# AND2 : 170 +# AND3 : 24 +# AND4 : 14 +# AND6 : 2 # AND7 : 1 # AND8 : 3 # GND : 6 -# INV : 243 -# OR2 : 92 -# OR3 : 8 -# OR4 : 5 +# INV : 255 +# OR2 : 107 +# OR3 : 9 +# OR4 : 1 # VCC : 1 # XOR2 : 12 -# FlipFlops/Latches : 84 -# FD : 57 -# FDCE : 27 +# FlipFlops/Latches : 80 +# FD : 54 +# FDCE : 26 # IO Buffers : 67 # IBUF : 35 # OBUF : 32 @@ -462,11 +457,11 @@ Cell Usage : Total REAL time to Xst completion: 3.00 secs -Total CPU time to Xst completion: 3.01 secs +Total CPU time to Xst completion: 2.63 secs --> -Total memory usage is 232788 kilobytes +Total memory usage is 232880 kilobytes Number of errors : 0 ( 0 filtered) Number of warnings : 0 ( 0 filtered) diff --git a/cpld/XC95144XL/MXSE.tim b/cpld/XC95144/MXSE.tim similarity index 100% rename from cpld/XC95144XL/MXSE.tim rename to cpld/XC95144/MXSE.tim diff --git a/cpld/XC95144/MXSE.tspec b/cpld/XC95144/MXSE.tspec new file mode 100644 index 0000000..e49ebc4 --- /dev/null +++ b/cpld/XC95144/MXSE.tspec @@ -0,0 +1,811 @@ +AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nVMA_IOB:1 +AUTO_TS_F2P:FROM:nVMA_IOB_OBUF.Q:TO:nVMA_IOB:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:nDTACK_FSB:1 +AUTO_TS_F2P:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB:1 +AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nAS_IOB:1 +AUTO_TS_F2P:FROM:nAS_IOB_OBUF.Q:TO:nAS_IOB:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:nCAS:1 +AUTO_TS_F2P:FROM:nCAS_OBUF.Q:TO:nCAS:1 +AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nDinLE:1 +AUTO_TS_F2P:FROM:nDinLE_OBUF.Q:TO:nDinLE:1 +AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nDoutOE:1 +AUTO_TS_F2P:FROM:nDoutOE_OBUF.Q:TO:nDoutOE:1 +AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nLDS_IOB:1 +AUTO_TS_F2P:FROM:nLDS_IOB_OBUF.Q:TO:nLDS_IOB:1 +AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nUDS_IOB:1 +AUTO_TS_F2P:FROM:nUDS_IOB_OBUF.Q:TO:nUDS_IOB:1 +AUTO_TS_P2P:FROM:A_FSB<10>:TO:RA<0>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<0>:1 +AUTO_TS_P2P:FROM:A_FSB<1>:TO:RA<0>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<0>:1 +AUTO_TS_P2P:FROM:A_FSB<11>:TO:RA<1>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<1>:1 +AUTO_TS_P2P:FROM:A_FSB<2>:TO:RA<1>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<1>:1 +AUTO_TS_P2P:FROM:A_FSB<12>:TO:RA<2>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<2>:1 +AUTO_TS_P2P:FROM:A_FSB<3>:TO:RA<2>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<2>:1 +AUTO_TS_P2P:FROM:A_FSB<13>:TO:RA<3>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<3>:1 +AUTO_TS_P2P:FROM:A_FSB<4>:TO:RA<3>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<3>:1 +AUTO_TS_P2P:FROM:A_FSB<14>:TO:RA<4>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<4>:1 +AUTO_TS_P2P:FROM:A_FSB<5>:TO:RA<4>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<4>:1 +AUTO_TS_P2P:FROM:A_FSB<15>:TO:RA<5>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<5>:1 +AUTO_TS_P2P:FROM:A_FSB<6>:TO:RA<5>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<5>:1 +AUTO_TS_P2P:FROM:A_FSB<16>:TO:RA<6>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<6>:1 +AUTO_TS_P2P:FROM:A_FSB<7>:TO:RA<6>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<6>:1 +AUTO_TS_P2P:FROM:A_FSB<8>:TO:RA<7>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<7>:1 +AUTO_TS_P2P:FROM:A_FSB<17>:TO:RA<7>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<7>:1 +AUTO_TS_P2P:FROM:A_FSB<9>:TO:RA<8>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<8>:1 +AUTO_TS_P2P:FROM:A_FSB<18>:TO:RA<8>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<8>:1 +AUTO_TS_P2P:FROM:A_FSB<20>:TO:RA<9>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<9>:1 +AUTO_TS_P2P:FROM:A_FSB<19>:TO:RA<9>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<9>:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nBERR_FSB:1 +AUTO_TS_P2P:FROM:A_FSB<23>:TO:nBERR_FSB:1 +AUTO_TS_P2P:FROM:A_FSB<22>:TO:nBERR_FSB:1 +AUTO_TS_P2P:FROM:A_FSB<21>:TO:nBERR_FSB:1 +AUTO_TS_P2P:FROM:A_FSB<20>:TO:nBERR_FSB:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:nBERR_FSB:1 +AUTO_TS_F2P:FROM:BERR_IOBS.Q:TO:nBERR_FSB:1 +AUTO_TS_F2P:FROM:fsb/BERR0r.Q:TO:nBERR_FSB:1 +AUTO_TS_F2P:FROM:fsb/BERR1r.Q:TO:nBERR_FSB:1 +AUTO_TS_F2P:FROM:TimeoutB.Q:TO:nBERR_FSB:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nOE:1 +AUTO_TS_P2P:FROM:nWE_FSB:TO:nOE:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nROMWE:1 +AUTO_TS_P2P:FROM:nWE_FSB:TO:nROMWE:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nVPA_FSB:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:nVPA_FSB:1 +AUTO_TS_F2P:FROM:fsb/VPA.Q:TO:nVPA_FSB:1 +AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nADoutLE0:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:nADoutLE0:1 +AUTO_TS_F2P:FROM:ALE0M.Q:TO:nADoutLE0:1 +AUTO_TS_F2P:FROM:ALE0S.Q:TO:nADoutLE0:1 +AUTO_TS_P2P:FROM:A_FSB<23>:TO:nDinOE:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nDinOE:1 +AUTO_TS_P2P:FROM:nWE_FSB:TO:nDinOE:1 +AUTO_TS_P2P:FROM:A_FSB<22>:TO:nDinOE:1 +AUTO_TS_P2P:FROM:A_FSB<21>:TO:nDinOE:1 +AUTO_TS_P2P:FROM:A_FSB<20>:TO:nDinOE:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:nRAS:1 +AUTO_TS_P2P:FROM:A_FSB<23>:TO:nRAS:1 +AUTO_TS_P2P:FROM:A_FSB<22>:TO:nRAS:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nRAS:1 +AUTO_TS_P2P:FROM:A_FSB<21>:TO:nRAS:1 +AUTO_TS_F2P:FROM:RefAck.Q:TO:nRAS:1 +AUTO_TS_F2P:FROM:cs/nOverlay1.Q:TO:nRAS:1 +AUTO_TS_F2P:FROM:ram/RAMDIS2.Q:TO:nRAS:1 +AUTO_TS_F2P:FROM:ram/RAMDIS1.Q:TO:nRAS:1 +AUTO_TS_P2P:FROM:A_FSB<19>:TO:RA<11>:1 +AUTO_TS_P2P:FROM:A_FSB<21>:TO:RA<10>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:nADoutLE1:1 +AUTO_TS_F2P:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nRAMLWE:1 +AUTO_TS_P2P:FROM:nWE_FSB:TO:nRAMLWE:1 +AUTO_TS_P2P:FROM:nLDS_FSB:TO:nRAMLWE:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:nRAMLWE:1 +AUTO_TS_F2P:FROM:ram/RAMDIS2.Q:TO:nRAMLWE:1 +AUTO_TS_F2P:FROM:ram/RAMDIS1.Q:TO:nRAMLWE:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nRAMUWE:1 +AUTO_TS_P2P:FROM:nWE_FSB:TO:nRAMUWE:1 +AUTO_TS_P2P:FROM:nUDS_FSB:TO:nRAMUWE:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:nRAMUWE:1 +AUTO_TS_F2P:FROM:ram/RAMDIS2.Q:TO:nRAMUWE:1 +AUTO_TS_F2P:FROM:ram/RAMDIS1.Q:TO:nRAMUWE:1 +AUTO_TS_P2P:FROM:A_FSB<23>:TO:nROMCS:1 +AUTO_TS_P2P:FROM:A_FSB<20>:TO:nROMCS:1 +AUTO_TS_P2P:FROM:A_FSB<21>:TO:nROMCS:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:nROMCS:1 +AUTO_TS_P2P:FROM:A_FSB<22>:TO:nROMCS:1 +AUTO_TS_F2P:FROM:cs/nOverlay1.Q:TO:nROMCS:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd4.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd4.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd4.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd4.D:1 +AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd4.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/IOS_FSM_FFd4.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/IOS_FSM_FFd4.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/RESrf.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/RESrr.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/ETACK.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/BERRrf.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/BERRrr.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/DTACKrf.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/DTACKrr.Q:TO:IOACT.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:IOACT.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:IOBERR.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/ETACK.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/BERRrf.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/BERRrr.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/DTACKrr.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/RESrf.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/RESrr.Q:TO:IOBERR.D:1 +AUTO_TS_P2F:FROM:nBERR_IOB:TO:IOBERR.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:IOBERR.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cs/nOverlay1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:cs/nOverlay1.CE:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cs/nOverlay1.CE:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/Once.D:1 +AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/Once.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/Once.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/Once.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/Once.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/Once.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/Once.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/Once.D:1 +AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:cnt/RefDone.D:1 +AUTO_TS_F2F:FROM:RefAck.Q:TO:cnt/RefDone.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefDone.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefDone.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefDone.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefDone.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefDone.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefDone.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefDone.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefDone.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefDone.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/Once.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/Once.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:BERR_IOBS.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:BERR_IOBS.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:BERR_IOBS.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:BERR_IOBS.D:1 +AUTO_TS_F2F:FROM:BERR_IOBS.Q:TO:BERR_IOBS.D:1 +AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:BERR_IOBS.D:1 +AUTO_TS_F2F:FROM:IOBERR.Q:TO:BERR_IOBS.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:BERR_IOBS.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:BERR_IOBS.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:BERR_IOBS.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:IORW0.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:iobs/IORW1.Q:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:cs/nOverlay0.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:cs/nOverlay0.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:cs/nOverlay0.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:cs/nOverlay0.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:cs/nOverlay0.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cs/nOverlay0.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:1 +AUTO_TS_F2F:FROM:iobs/IOL1.Q:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:nLDS_FSB:TO:IOL0.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IOL0.CE:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IOL0.CE:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:IOL0.CE:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOU0.D:1 +AUTO_TS_F2F:FROM:iobs/IOU1.Q:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:nUDS_FSB:TO:IOU0.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IOU0.CE:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IOU0.CE:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:IOU0.CE:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:TimeoutA.D:1 +AUTO_TS_F2F:FROM:TimeoutA.Q:TO:TimeoutA.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:TimeoutA.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:TimeoutA.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:TimeoutA.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:TimeoutA.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:TimeoutA.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:TimeoutA.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:TimeoutA.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:TimeoutA.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:TimeoutA.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:TimeoutB.Q:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:cnt/TimeoutBPre.Q:TO:TimeoutB.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:TimeoutB.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_F2F:FROM:cnt/TimeoutBPre.Q:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/BERR0r.D:1 +AUTO_TS_F2F:FROM:TimeoutB.Q:TO:fsb/BERR0r.D:1 +AUTO_TS_F2F:FROM:fsb/BERR0r.Q:TO:fsb/BERR0r.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/BERR0r.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:fsb/BERR0r.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/BERR0r.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/BERR0r.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/BERR0r.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:fsb/BERR0r.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/BERR1r.D:1 +AUTO_TS_F2F:FROM:BERR_IOBS.Q:TO:fsb/BERR1r.D:1 +AUTO_TS_F2F:FROM:fsb/BERR1r.Q:TO:fsb/BERR1r.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/BERR1r.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:fsb/BERR1r.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:1 +AUTO_TS_F2F:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:1 +AUTO_TS_F2F:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/Ready0r.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:fsb/Ready0r.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/Ready0r.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/Ready0r.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/Ready0r.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:1 +AUTO_TS_F2F:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:1 +AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/Ready1r.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/Ready2r.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/Ready2r.D:1 +AUTO_TS_F2F:FROM:TimeoutA.Q:TO:fsb/Ready2r.D:1 +AUTO_TS_F2F:FROM:fsb/Ready2r.Q:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<8>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<15>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<12>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<11>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<10>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<9>:TO:fsb/Ready2r.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:TimeoutA.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:fsb/Ready2r.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:fsb/VPA.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:BERR_IOBS.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:TimeoutB.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:fsb/BERR0r.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:fsb/BERR1r.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<9>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<15>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<12>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<11>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<10>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<8>:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/IOL1.CE:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:IOBERR.Q:TO:iobs/IOReady.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/IOReady.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/IOReady.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/IOU1.CE:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:ram/RAMDIS2.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RAMDIS2.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RAMDIS2.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RAMDIS2.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RAMDIS2.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:fsb/Ready1r.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:TimeoutA.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:fsb/Ready2r.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:TimeoutB.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:BERR_IOBS.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:fsb/BERR0r.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:fsb/BERR1r.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<8>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<15>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<12>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<11>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<10>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<9>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RASEL.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RASEL.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RASEL.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RASEL.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/PS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<5>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<5>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<5>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<5>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<5>.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<5>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<6>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<6>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<6>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<6>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<6>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<6>.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<6>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<1>.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<1>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<2>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<2>.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<2>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<3>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<3>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<3>.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<3>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<4>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<4>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<4>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<4>.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<4>.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/BACTr.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/BACTr.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<7>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<7>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<7>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<7>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<7>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<7>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<7>.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<7>.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:RefAck.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:RefAck.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:RefAck.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:ALE0S.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:ALE0S.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Clear1.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Clear1.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Clear1.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/Clear1.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RAMDIS1.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RAMDIS1.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RAMDIS1.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RAMDIS1.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RAMReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RAMReady.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RAMReady.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RAMReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:nCAS_OBUF.D:1 +AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:1 +AUTO_TS_F2F:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:nADoutLE1_OBUF.D:1 +AUTO_TS_F2F:FROM:nVMA_IOB_OBUF.Q:TO:nVMA_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:nVMA_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:nVMA_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:nVMA_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:nVMA_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:nVMA_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:IOACT.Q:TO:nVMA_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/VPArf.Q:TO:nVMA_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/VPArr.Q:TO:nVMA_IOB_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:nVMA_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/IOS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/ES<0>.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:1 +AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<1>.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<1>.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/ES<1>.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/ES<1>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/ES<2>.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/ES<3>.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/ES<4>.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:nVMA_IOB_OBUF.Q:TO:iobm/ETACK.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:1 +AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/ETACK.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:ALE0M.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nAS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:nAS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nAS_IOB_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:nAS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDinLE_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDinLE_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nDinLE_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:nDinLE_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDoutOE_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDoutOE_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDoutOE_OBUF.D:1 +AUTO_TS_F2F:FROM:IORW0.Q:TO:nDoutOE_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:nDoutOE_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:nDoutOE_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nLDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:nLDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nLDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:IOL0.Q:TO:nLDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:IORW0.Q:TO:nLDS_IOB_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:nLDS_IOB_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:nLDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nUDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:nUDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nUDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:IOU0.Q:TO:nUDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:IORW0.Q:TO:nUDS_IOB_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:nUDS_IOB_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:nUDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:IOACT.Q:TO:iobs/IOACTr.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobs/IOACTr.D:1 +AUTO_TS_F2F:FROM:IOREQ.Q:TO:iobm/IOREQr.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:iobm/IOREQr.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/Er2.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/Er2.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:FCLKIO_2:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:FCLKIO_0:1 +AUTO_TS_P2F:FROM:nRES:TO:FSR-IO_5:1 +AUTO_TS_P2F:FROM:nLDS_FSB:TO:iobs/IOL1.D:1 +AUTO_TS_P2F:FROM:nUDS_FSB:TO:iobs/IOU1.D:1 +AUTO_TS_P2F:FROM:E_IOB:TO:iobm/Er.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:FCLK-IO_4:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:FCLK-IO_3:1 +AUTO_TS_P2F:FROM:nDTACK_IOB:TO:iobm/DTACKrf.D:1 +AUTO_TS_P2F:FROM:nDTACK_IOB:TO:iobm/DTACKrr.D:1 +AUTO_TS_P2F:FROM:nVPA_IOB:TO:iobm/VPArf.D:1 +AUTO_TS_P2F:FROM:nVPA_IOB:TO:iobm/VPArr.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/ASrf.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:FCLK-IO_1:1 +AUTO_TS_P2F:FROM:nBERR_IOB:TO:iobm/BERRrf.D:1 +AUTO_TS_P2F:FROM:nBERR_IOB:TO:iobm/BERRrr.D:1 +AUTO_TS_P2F:FROM:nRES:TO:iobm/RESrf.D:1 +AUTO_TS_P2F:FROM:nRES:TO:iobm/RESrr.D:1 diff --git a/cpld/XC95144/MXSE.ucf b/cpld/XC95144/MXSE.ucf new file mode 100644 index 0000000..75cb5dd --- /dev/null +++ b/cpld/XC95144/MXSE.ucf @@ -0,0 +1,76 @@ +#PACE: Start of Constraints generated by PACE + +#PACE: Start of PACE I/O Pin Assignments +NET "A_FSB[10]" LOC = "P8" ; +NET "A_FSB[11]" LOC = "P9" ; +NET "A_FSB[12]" LOC = "P10" ; +NET "A_FSB[13]" LOC = "P11" ; +NET "A_FSB[14]" LOC = "P12" ; +NET "A_FSB[15]" LOC = "P13" ; +NET "A_FSB[16]" LOC = "P14" ; +NET "A_FSB[17]" LOC = "P15" ; +NET "A_FSB[18]" LOC = "P16" ; +NET "A_FSB[19]" LOC = "P17" ; +NET "A_FSB[1]" LOC = "P94" ; +NET "A_FSB[20]" LOC = "P18" ; +NET "A_FSB[21]" LOC = "P19" ; +NET "A_FSB[22]" LOC = "P20" ; +NET "A_FSB[23]" LOC = "P24" ; +NET "A_FSB[2]" LOC = "P95" ; +NET "A_FSB[3]" LOC = "P96" ; +NET "A_FSB[4]" LOC = "P97" ; +NET "A_FSB[5]" LOC = "P2" ; +NET "A_FSB[6]" LOC = "P3" ; +NET "A_FSB[7]" LOC = "P4" ; +NET "A_FSB[8]" LOC = "P6" ; +NET "A_FSB[9]" LOC = "P7" ; +NET "CLK2X_IOB" LOC = "P22" ; +NET "CLK_FSB" LOC = "P27" ; +NET "CLK_IOB" LOC = "P23" ; +NET "E_IOB" LOC = "P25" ; +NET "nADoutLE0" LOC = "P85" ; +NET "nADoutLE1" LOC = "P82" ; +NET "nAoutOE" LOC = "P87" ; +NET "nAS_FSB" LOC = "P32" ; +NET "nAS_IOB" LOC = "P81" ; +NET "nBERR_FSB" LOC = "P92" ; +NET "nBERR_IOB" LOC = "P76" ; +NET "nCAS" LOC = "P36" ; +NET "nDinLE" LOC = "P86" ; +NET "nDinOE" LOC = "P90" ; +NET "nDoutOE" LOC = "P89" ; +NET "nDTACK_FSB" LOC = "P28" ; +NET "nDTACK_IOB" LOC = "P78" ; +NET "nLDS_FSB" LOC = "P30" ; +NET "nLDS_IOB" LOC = "P79" ; +NET "nOE" LOC = "P37" ; +NET "nRAMLWE" LOC = "P65" ; +NET "nRAMUWE" LOC = "P66" ; +NET "nRAS" LOC = "P64" ; +NET "nRES" LOC = "P91" ; +NET "nROMCS" LOC = "P35" ; +NET "nROMWE" LOC = "P34" ; +NET "nUDS_FSB" LOC = "P33" ; +NET "nUDS_IOB" LOC = "P80" ; +NET "nVMA_IOB" LOC = "P74" ; +NET "nVPA_FSB" LOC = "P93" ; +NET "nVPA_IOB" LOC = "P77" ; +NET "nWE_FSB" LOC = "P29" ; +NET "RA[0]" LOC = "P53" ; +NET "RA[10]" LOC = "P55" ; +NET "RA[11]" LOC = "P63" ; +NET "RA[1]" LOC = "P50" ; +NET "RA[2]" LOC = "P43" ; +NET "RA[3]" LOC = "P41" ; +NET "RA[4]" LOC = "P40" ; +NET "RA[5]" LOC = "P42" ; +NET "RA[6]" LOC = "P46" ; +NET "RA[7]" LOC = "P52" ; +NET "RA[8]" LOC = "P54" ; +NET "RA[9]" LOC = "P56" ; + +#PACE: Start of PACE Area Constraints + +#PACE: Start of PACE Prohibit Constraints + +#PACE: End of Constraints generated by PACE diff --git a/cpld/XC95144/MXSE.vm6 b/cpld/XC95144/MXSE.vm6 new file mode 100644 index 0000000..002b21b --- /dev/null +++ b/cpld/XC95144/MXSE.vm6 @@ -0,0 +1,8003 @@ +NDS Database: version P.20131013 + +NDS_INFO | xc9500xl | 95144XL100 | XC95144XL-10-TQ100 + +DEVICE | 95144XL | 95144XL100 | + +NETWORK | MXSE | 0 | 0 | 16391 + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<9> | 9534 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<8> | 9535 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<15> | 9536 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<14> | 9537 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<13> | 9538 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<12> | 9539 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<11> | 9540 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<10> | 9541 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<23> | 9542 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<22> | 9543 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<21> | 9544 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<20> | 9545 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<19> | 9546 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<18> | 9547 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<17> | 9548 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<16> | 9549 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nBERR_IOB | 9550 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | CLK2X_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | CLK2X_IOB | 9551 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nVMA_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2155877376 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS.EXP | 10018 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.EXP | BERR_IOBS | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nVMA_IOB_OBUF$Q | 9374 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | nVMA_IOB_OBUF | 9375 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nVMA_IOB_OBUF.EXP | 10017 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nVMA_IOB_OBUF.SI | nVMA_IOB_OBUF | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS.EXP | 10018 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.EXP | BERR_IOBS | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVMA_IOB_OBUF.D1 | 9570 | ? | 0 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVMA_IOB_OBUF.D2 | 9571 | ? | 0 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | BERR_IOBS.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nVMA_IOB_OBUF.EXP | 10002 | ? | 0 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 + +SRFF_INSTANCE | nVMA_IOB_OBUF.REG | nVMA_IOB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nVMA_IOB_OBUF.D | 9569 | ? | 0 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nVMA_IOB_OBUF.Q | 9572 | ? | 0 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | CLK_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | CLK_FSB | 9552 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_1 | 9377 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV + +INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nAS_FSB | 9557 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/nOverlay1 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9387 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cs/nOverlay1.SI | cs/nOverlay1 | 0 | 3 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9387 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay1.D1 | 9574 | ? | 0 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay1.D2 | 9575 | ? | 0 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay0 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cs/nOverlay1.CE | 9576 | ? | 0 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | cs/nOverlay1.REG | cs/nOverlay1 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cs/nOverlay1.D | 9573 | ? | 0 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cs/nOverlay1.CE | 9576 | ? | 0 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cs/nOverlay1.Q | 9577 | ? | 0 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/Once | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/Once.D1 | 9579 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/Once.D2 | 9580 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | ram/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/Once.REG | ram/Once | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/Once.D | 9578 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/Once.Q | 9581 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/RefDone | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefDone.SI | cnt/RefDone | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefDone.D1 | 9583 | ? | 0 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefDone.D2 | 9584 | ? | 0 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | cnt/RefDone | IV_FALSE | RefAck +SPPTERM | 8 | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> + +SRFF_INSTANCE | cnt/RefDone.REG | cnt/RefDone | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefDone.D | 9582 | ? | 0 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefDone.Q | 9585 | ? | 0 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nWE_FSB | 9553 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Once | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP33_.EXP | 10089 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP34_.EXP | 10090 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP33_.EXP | 10089 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP34_.EXP | 10090 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Once.D1 | 9587 | ? | 0 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Once.D2 | 9588 | ? | 0 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP33_.EXP +SPPTERM | 1 | IV_TRUE | EXP34_.EXP +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/Once +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | iobs/Once.REG | iobs/Once | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Once.D | 9586 | ? | 0 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Once.Q | 9589 | ? | 0 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | BERR_IOBS | MXSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9375 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArf | 9449 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArr | 9450 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r.EXP | 10019 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | BERR_IOBS.EXP | 10018 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.EXP | BERR_IOBS | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | BERR_IOBS.SI | BERR_IOBS | 0 | 18 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9375 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArf | 9449 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArr | 9450 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r.EXP | 10019 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | BERR_IOBS.D1 | 9591 | ? | 0 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | BERR_IOBS.D2 | 9592 | ? | 0 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | fsb/BERR0r.EXP +SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | BERR_IOBS | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | BERR_IOBS.EXP | 10003 | ? | 0 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> +SPPTERM | 9 | IV_TRUE | nVMA_IOB_OBUF | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | IOACT | IV_TRUE | iobm/VPArf | IV_TRUE | iobm/VPArr + +SRFF_INSTANCE | BERR_IOBS.REG | BERR_IOBS | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | BERR_IOBS.D | 9590 | ? | 0 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | BERR_IOBS.Q | 9593 | ? | 0 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IORW0 | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0.EXP | 10021 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP14_.EXP | 10022 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IORW0.SI | IORW0 | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0.EXP | 10021 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP14_.EXP | 10022 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IORW0.D1 | 9595 | ? | 0 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IORW0.D2 | 9596 | ? | 0 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay0.EXP +SPPTERM | 1 | IV_TRUE | EXP14_.EXP +SPPTERM | 5 | IV_TRUE | IORW0 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 5 | IV_FALSE | IORW0 | IV_TRUE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 + +SRFF_INSTANCE | IORW0.REG | IORW0 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IORW0.D | 9594 | ? | 0 | 0 | IORW0 | NULL | NULL | IORW0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IORW0.Q | 9597 | ? | 0 | 0 | IORW0 | NULL | NULL | IORW0.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nRES_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nRES | 9554 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nRES_IBUF | 9385 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 9 | 5 | II_FSRINV +NODE | FSR-IO_5 | 9386 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay0 | MXSE_COPY_0_COPY_0 | 2155877376 | 15 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FSR-IO_5 | 9386 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF$BUF0.EXP | 10020 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9387 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay0.EXP | 10021 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cs/nOverlay0.SI | cs/nOverlay0 | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF$BUF0.EXP | 10020 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay0.D1 | 9599 | ? | 0 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay0.D2 | 9600 | ? | 0 | 6144 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF$BUF0.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cs/nOverlay0.EXP | 10006 | ? | 0 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | cs/nOverlay0.REG | cs/nOverlay0 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cs/nOverlay0.D | 9598 | ? | 0 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +NODE | FSR-IO_5 | 9386 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cs/nOverlay0.Q | 9601 | ? | 0 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nLDS_FSB | 9555 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | IOL0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL1 | 9400 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOL0 | 9388 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL1 | 9400 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOL0.D1 | 9603 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOL0.D2 | 9604 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 2 | IV_TRUE | iobs/IOL1 | IV_FALSE | ALE1 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOL0.CE | 9605 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOL0.D | 9602 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | IOL0.CE | 9605 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOL0.Q | 9606 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nUDS_FSB | 9556 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | IOU0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU1 | 9404 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOU0 | 9389 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU1 | 9404 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOU0.D1 | 9608 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOU0.D2 | 9609 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 2 | IV_TRUE | iobs/IOU1 | IV_FALSE | ALE1 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOU0.CE | 9610 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOU0.D | 9607 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | IOU0.CE | 9610 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOU0.Q | 9611 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutA | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | TimeoutA.SI | TimeoutA | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | TimeoutA.D1 | 9613 | ? | 0 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | TimeoutA.D2 | 9614 | ? | 0 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | TimeoutA | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | TimeoutA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> +SPPTERM | 9 | IV_FALSE | TimeoutA | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | TimeoutA.REG | TimeoutA | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | TimeoutA.D | 9612 | ? | 0 | 0 | TimeoutA | NULL | NULL | TimeoutA.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | TimeoutA.Q | 9615 | ? | 0 | 0 | TimeoutA | NULL | NULL | TimeoutA.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutB | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre | 9392 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | TimeoutB.SI | TimeoutB | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre | 9392 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | TimeoutB.D1 | 9617 | ? | 0 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | TimeoutB.D2 | 9618 | ? | 0 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | TimeoutB | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 11 | IV_FALSE | TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> +SPPTERM | 11 | IV_FALSE | TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | TimeoutB.REG | TimeoutB | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | TimeoutB.D | 9616 | ? | 0 | 0 | TimeoutB | NULL | NULL | TimeoutB.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | TimeoutB.Q | 9619 | ? | 0 | 0 | TimeoutB | NULL | NULL | TimeoutB.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/TimeoutBPre | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre | 9392 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/TimeoutBPre | 9392 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/TimeoutBPre.SI | cnt/TimeoutBPre | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre | 9392 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/TimeoutBPre.D1 | 9621 | ? | 0 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/TimeoutBPre.D2 | 9622 | ? | 0 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/TimeoutBPre | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> +SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | cnt/TimeoutBPre.REG | cnt/TimeoutBPre | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/TimeoutBPre.D | 9620 | ? | 0 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/TimeoutBPre.Q | 9623 | ? | 0 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR0r | MXSE_COPY_0_COPY_0 | 2155873536 | 15 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | fsb/BERR0r.EXP | 10019 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | fsb/BERR0r.SI | fsb/BERR0r | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/BERR0r.D1 | 9625 | ? | 0 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/BERR0r.D2 | 9626 | ? | 0 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/BERR0r +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | fsb/BERR0r.EXP | 10004 | ? | 0 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | fsb/BERR0r.REG | fsb/BERR0r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/BERR0r.D | 9624 | ? | 0 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/BERR0r.Q | 9627 | ? | 0 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR1r | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/BERR1r.SI | fsb/BERR1r | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/BERR1r.D1 | 9629 | ? | 0 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/BERR1r.D2 | 9630 | ? | 0 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | fsb/BERR1r.REG | fsb/BERR1r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/BERR1r.D | 9628 | ? | 0 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/BERR1r.Q | 9631 | ? | 0 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | MXSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready0r.D1 | 9633 | ? | 0 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready0r.D2 | 9634 | ? | 0 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady + +SRFF_INSTANCE | fsb/Ready0r.REG | fsb/Ready0r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/Ready0r.D | 9632 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/Ready0r.Q | 9635 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | MXSE_COPY_0_COPY_0 | 2155873536 | 23 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP32_.EXP | 10087 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | fsb/Ready1r.EXP | 10086 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 22 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP32_.EXP | 10087 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready1r.D1 | 9637 | ? | 0 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready1r.D2 | 9638 | ? | 0 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP32_.EXP +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | fsb/Ready1r.EXP | 10072 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r + +SRFF_INSTANCE | fsb/Ready1r.REG | fsb/Ready1r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/Ready1r.D | 9636 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/Ready1r.Q | 9639 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready2r | MXSE_COPY_0_COPY_0 | 2155873536 | 22 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r.EXP | 10086 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/Ready2r.SI | fsb/Ready2r | 0 | 21 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r.EXP | 10086 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready2r.D1 | 9641 | ? | 0 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready2r.D2 | 9642 | ? | 0 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | fsb/Ready1r.EXP +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r + +SRFF_INSTANCE | fsb/Ready2r.REG | fsb/Ready2r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/Ready2r.D | 9640 | ? | 0 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/Ready2r.Q | 9643 | ? | 0 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/VPA | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP26_.EXP | 10080 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP37_.EXP | 10093 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP37_.EXP | EXP37_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP26_.EXP | 10080 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP37_.EXP | 10093 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP37_.EXP | EXP37_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/VPA.D1 | 9645 | ? | 0 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/VPA.D2 | 9646 | ? | 0 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP26_.EXP +SPPTERM | 1 | IV_TRUE | EXP37_.EXP +SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 3 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 3 | IV_TRUE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 4 | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM + +SRFF_INSTANCE | fsb/VPA.REG | fsb/VPA | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/VPA.D | 9644 | ? | 0 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/VPA.Q | 9647 | ? | 0 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9400 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 9649 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 9650 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 9651 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 + +SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOL1.D | 9648 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | iobs/IOL1.CE | 9651 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOL1.Q | 9652 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | MXSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP11_.EXP | 10011 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDinOE_OBUF.EXP | 10012 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP11_.EXP | 10011 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDinOE_OBUF.EXP | 10012 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 9654 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IORW1.D2 | 9655 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Once +SPPTERM | 1 | IV_FALSE | ALE1 +SPPTERM | 1 | IV_TRUE | EXP11_.EXP +SPPTERM | 1 | IV_TRUE | nDinOE_OBUF.EXP +SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/IORW1 + +SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IORW1.D | 9653 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IORW1.Q | 9656 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOReady.D1 | 9658 | ? | 0 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOReady.D2 | 9659 | ? | 0 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobs/IOReady | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | iobs/IOReady.REG | iobs/IOReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOReady.D | 9657 | ? | 0 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOReady.Q | 9660 | ? | 0 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9404 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 9662 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 9663 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 9664 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 + +SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOU1.D | 9661 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | iobs/IOU1.CE | 9664 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOU1.Q | 9665 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RAMDIS2 | MXSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 10043 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RAMDIS2.EXP | 10044 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/RAMDIS2.SI | ram/RAMDIS2 | 0 | 18 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 10043 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMDIS2.D1 | 9667 | ? | 0 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMDIS2.D2 | 9668 | ? | 0 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP20_.EXP +SPPTERM | 3 | IV_TRUE | ram/RAMDIS2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RAMDIS2.EXP | 10030 | ? | 0 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF + +SRFF_INSTANCE | ram/RAMDIS2.REG | ram/RAMDIS2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMDIS2.D | 9666 | ? | 0 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMDIS2.Q | 9669 | ? | 0 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP29_.EXP | 10083 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP30_.EXP | 10084 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF | 9407 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP29_.EXP | 10083 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP30_.EXP | 10084 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 9671 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 9672 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP29_.EXP +SPPTERM | 1 | IV_TRUE | EXP30_.EXP +SPPTERM | 2 | IV_TRUE | BERR_IOBS | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | fsb/BERR0r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM + +SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDTACK_FSB_OBUF.D | 9670 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDTACK_FSB_OBUF.Q | 9673 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | MXSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 10040 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAS_OBUF.EXP | 10041 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 10040 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAS_OBUF.EXP | 10041 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEL.D1 | 9675 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASEL.D2 | 9676 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP18_.EXP +SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RASEL.D | 9674 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RASEL.Q | 9677 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155877632 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1.EXP | 10064 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck.EXP | 10065 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.EXP | RefAck | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1.EXP | 10064 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck.EXP | 10065 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.EXP | RefAck | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9679 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9680 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP +SPPTERM | 1 | IV_TRUE | RefAck.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_TRUE | ram/BACTr +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_TRUE | ram/BACTr +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr | IV_FALSE | cnt/RefCnt<7> + +SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd2.D | 9678 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 9681 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | CLK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | CLK_IOB | 9559 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_4 | 9439 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd4 | MXSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd4.SI | iobm/IOS_FSM_FFd4 | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 9683 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 9684 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | CLK_IOB_IBUF +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr + +SRFF_INSTANCE | iobm/IOS_FSM_FFd4.REG | iobm/IOS_FSM_FFd4 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd4.D | 9682 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd4.Q | 9685 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9687 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9688 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd1.D | 9686 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd1.Q | 9689 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155877632 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S.EXP | 10062 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1.EXP | 10063 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S.EXP | 10062 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1.EXP | 10063 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9691 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9692 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ALE0S.EXP +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd1.EXP +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | ram/Once | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> +SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> +SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> + +SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd3.D | 9690 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd3.Q | 9693 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155873536 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nCAS_OBUF.EXP | 10056 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobm/IOS_FSM_FFd3.EXP | 10057 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.EXP | iobm/IOS_FSM_FFd3 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nCAS_OBUF.EXP | 10056 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9695 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9696 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nCAS_OBUF.EXP +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/IOS_FSM_FFd3.EXP | 10054 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd3.D | 9694 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 9697 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9699 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9700 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOS_FSM_FFd1 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd2.D | 9698 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd2.Q | 9701 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9703 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9704 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd1.D | 9702 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd1.Q | 9705 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/PS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP13_.EXP | 10016 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/PS_FSM_FFd2.EXP | 10015 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.EXP | iobs/PS_FSM_FFd2 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP13_.EXP | 10016 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 9707 | ? | 0 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 9708 | ? | 0 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP13_.EXP +SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/IOACTr +SPPTERM | 4 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/PS_FSM_FFd2.EXP | 10000 | ? | 0 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay1 + +SRFF_INSTANCE | iobs/PS_FSM_FFd2.REG | iobs/PS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/PS_FSM_FFd2.D | 9706 | ? | 0 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd2.Q | 9709 | ? | 0 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobm/ES<0> | MXSE_COPY_0_COPY_0 | 2155877632 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 9711 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 9712 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/Er +SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_FALSE | iobm/Er2 + +SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<0>.D | 9710 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 9713 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<1> | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 9715 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 9716 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> +SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> +SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 + +SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<1>.D | 9714 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 9717 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<2> | MXSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 9719 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 9720 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> +SPPTERM | 2 | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> +SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> +SPPTERM | 3 | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> + +SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<2>.D | 9718 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<2>.Q | 9721 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873280 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/PS_FSM_FFd1.EXP | 10063 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 9723 | ? | 0 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 9724 | ? | 0 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/PS_FSM_FFd1.EXP | 10059 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | iobs/PS_FSM_FFd1.REG | iobs/PS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/PS_FSM_FFd1.D | 9722 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd1.Q | 9725 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 9727 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 9728 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/Er +SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/Er2 + +SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<3>.D | 9726 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<3>.Q | 9729 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<4>.SI | iobm/ES<4> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<4>.D1 | 9731 | ? | 0 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<4>.D2 | 9732 | ? | 0 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<4> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_TRUE | iobm/Er +SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er2 +SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> + +SRFF_INSTANCE | iobm/ES<4>.REG | iobm/ES<4> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<4>.D | 9730 | ? | 0 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<4>.Q | 9733 | ? | 0 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<0> | MXSE_COPY_0_COPY_0 | 2155877376 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<0>.SI | cnt/RefCnt<0> | 0 | 0 | 2 +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<0>.D1 | 9735 | ? | 0 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<0>.D2 | 9736 | ? | 0 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_DC + +SRFF_INSTANCE | cnt/RefCnt<0>.REG | cnt/RefCnt<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<0>.D | 9734 | ? | 0 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<0>.Q | 9737 | ? | 0 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<5> | MXSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<5>.SI | cnt/RefCnt<5> | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<5>.D1 | 9739 | ? | 0 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<5>.D2 | 9740 | ? | 0 | 4096 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> + +SRFF_INSTANCE | cnt/RefCnt<5>.REG | cnt/RefCnt<5> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<5>.D | 9738 | ? | 0 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<5>.Q | 9741 | ? | 0 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<6> | MXSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<6>.SI | cnt/RefCnt<6> | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<6>.D1 | 9743 | ? | 0 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<6>.D2 | 9744 | ? | 0 | 4096 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> + +SRFF_INSTANCE | cnt/RefCnt<6>.REG | cnt/RefCnt<6> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<6>.D | 9742 | ? | 0 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<6>.Q | 9745 | ? | 0 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | MXSE_COPY_0_COPY_0 | 2155873280 | 16 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/IOACTr.EXP | 10055 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 15 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 9747 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOACTr.D2 | 9748 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOACT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/IOACTr.EXP | 10052 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK +SPPTERM | 9 | IV_TRUE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 9 | IV_TRUE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 9 | IV_TRUE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOACTr.D | 9746 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOACTr.Q | 9749 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<1> | MXSE_COPY_0_COPY_0 | 2155877376 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<1>.SI | cnt/RefCnt<1> | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<1>.D1 | 9751 | ? | 0 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<1>.D2 | 9752 | ? | 0 | 4096 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/RefCnt<0> + +SRFF_INSTANCE | cnt/RefCnt<1>.REG | cnt/RefCnt<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<1>.D | 9750 | ? | 0 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<1>.Q | 9753 | ? | 0 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<2> | MXSE_COPY_0_COPY_0 | 2155877376 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<2>.SI | cnt/RefCnt<2> | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<2>.D1 | 9755 | ? | 0 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<2>.D2 | 9756 | ? | 0 | 4096 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> + +SRFF_INSTANCE | cnt/RefCnt<2>.REG | cnt/RefCnt<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<2>.D | 9754 | ? | 0 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<2>.Q | 9757 | ? | 0 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<3>.SI | cnt/RefCnt<3> | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<3>.D1 | 9759 | ? | 0 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<3>.D2 | 9760 | ? | 0 | 4096 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> + +SRFF_INSTANCE | cnt/RefCnt<3>.REG | cnt/RefCnt<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<3>.D | 9758 | ? | 0 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<3>.Q | 9761 | ? | 0 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<4>.SI | cnt/RefCnt<4> | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<4>.D1 | 9763 | ? | 0 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<4>.D2 | 9764 | ? | 0 | 4096 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> + +SRFF_INSTANCE | cnt/RefCnt<4>.REG | cnt/RefCnt<4> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<4>.D | 9762 | ? | 0 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<4>.Q | 9765 | ? | 0 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP21_.EXP | 10045 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_0_OBUF.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP21_.EXP | 10045 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_0_OBUF.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 9767 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Load1.D2 | 9768 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Once +SPPTERM | 1 | IV_FALSE | ALE1 +SPPTERM | 1 | IV_TRUE | EXP21_.EXP +SPPTERM | 1 | IV_TRUE | RA_0_OBUF.EXP +SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Load1.D | 9766 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Load1.Q | 9769 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | MXSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/BACTr.D1 | 9771 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/BACTr.D2 | 9772 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/BACTr.D | 9770 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/BACTr.Q | 9773 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOACT | MXSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3.EXP | 10057 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.EXP | iobm/IOS_FSM_FFd3 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOACT | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3.EXP | 10057 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.EXP | iobm/IOS_FSM_FFd3 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOACT.D1 | 9775 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOACT.D2 | 9776 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd3.EXP +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr +SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr + +SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOACT.D | 9774 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOACT.Q | 9777 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IOBERR | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr.EXP | 10055 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 15 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr.EXP | 10055 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOBERR.D1 | 9779 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOBERR.D2 | 9780 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/IOACTr.EXP +SPPTERM | 5 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | IOBERR +SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK +SPPTERM | 7 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 7 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 7 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOBERR.D | 9778 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOBERR.Q | 9781 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<7> | MXSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<7>.SI | cnt/RefCnt<7> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<7>.D1 | 9783 | ? | 0 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<7>.D2 | 9784 | ? | 0 | 4096 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> + +SRFF_INSTANCE | cnt/RefCnt<7>.REG | cnt/RefCnt<7> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<7>.D | 9782 | ? | 0 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<7>.Q | 9785 | ? | 0 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | E_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | E_IOB | 9558 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | E_IOB_IBUF | 9437 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IOB_IBUF | 9437 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_4 | 9439 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IOB_IBUF | 9437 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 9787 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er.D2 | 9788 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | E_IOB_IBUF + +SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/Er.D | 9786 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_4 | 9439 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/Er.Q | 9789 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/IOREQr | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOREQ | 9453 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOREQr.SI | iobm/IOREQr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOREQ | 9453 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOREQr.D1 | 9791 | ? | 0 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOREQr.D2 | 9792 | ? | 0 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOREQ + +SRFF_INSTANCE | iobm/IOREQr.REG | iobm/IOREQr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOREQr.D | 9790 | ? | 0 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOREQr.Q | 9793 | ? | 0 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | RefAck | MXSE_COPY_0_COPY_0 | 2155873280 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | RefAck | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RefAck.EXP | 10065 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.EXP | RefAck | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RefAck.SI | RefAck | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefAck.D1 | 9795 | ? | 0 | 4096 | RefAck | NULL | NULL | RefAck.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefAck.D2 | 9796 | ? | 0 | 4096 | RefAck | NULL | NULL | RefAck.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RefAck.EXP | 10061 | ? | 0 | 0 | RefAck | NULL | NULL | RefAck.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | RefAck.REG | RefAck | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RefAck.D | 9794 | ? | 0 | 0 | RefAck | NULL | NULL | RefAck.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RefAck.Q | 9797 | ? | 0 | 0 | RefAck | NULL | NULL | RefAck.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nDTACK_IOB | 9560 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9443 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/DTACKrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9443 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/DTACKrf.SI | iobm/DTACKrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9443 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrf.D1 | 9799 | ? | 0 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrf.D2 | 9800 | ? | 0 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF + +SRFF_INSTANCE | iobm/DTACKrf.REG | iobm/DTACKrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/DTACKrf.D | 9798 | ? | 0 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrf.Q | 9801 | ? | 0 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DTACKrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9443 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/DTACKrr.SI | iobm/DTACKrr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9443 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrr.D1 | 9803 | ? | 0 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrr.D2 | 9804 | ? | 0 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF + +SRFF_INSTANCE | iobm/DTACKrr.REG | iobm/DTACKrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/DTACKrr.D | 9802 | ? | 0 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrr.Q | 9805 | ? | 0 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | MXSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9375 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9375 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ETACK.D1 | 9807 | ? | 0 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ETACK.D2 | 9808 | ? | 0 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> + +SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ETACK.D | 9806 | ? | 0 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ETACK.Q | 9809 | ? | 0 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/Er2 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/Er2.SI | iobm/Er2 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er2.D1 | 9811 | ? | 0 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er2.D2 | 9812 | ? | 0 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/Er + +SRFF_INSTANCE | iobm/Er2.REG | iobm/Er2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/Er2.D | 9810 | ? | 0 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/Er2.Q | 9813 | ? | 0 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nVPA_IOB | 9561 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9448 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPArf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9448 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9449 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/VPArf.SI | iobm/VPArf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9448 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArf.D1 | 9815 | ? | 0 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArf.D2 | 9816 | ? | 0 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF + +SRFF_INSTANCE | iobm/VPArf.REG | iobm/VPArf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/VPArf.D | 9814 | ? | 0 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/VPArf.Q | 9817 | ? | 0 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/VPArr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9448 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9450 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/VPArr.SI | iobm/VPArr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9448 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArr.D1 | 9819 | ? | 0 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArr.D2 | 9820 | ? | 0 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF + +SRFF_INSTANCE | iobm/VPArr.REG | iobm/VPArr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/VPArr.D | 9818 | ? | 0 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/VPArr.Q | 9821 | ? | 0 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | MXSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE0M | 9451 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0M.D1 | 9823 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0M.D2 | 9824 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr + +SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ALE0M.D | 9822 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ALE0M.Q | 9825 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE0S | 9452 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ALE0S.EXP | 10062 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0S.D1 | 9827 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0S.D2 | 9828 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ALE0S.EXP | 10058 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ALE0S.D | 9826 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ALE0S.Q | 9829 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOREQ | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP12_.EXP | 10013 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 10014 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOREQ | 9453 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOREQ.SI | IOREQ | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP12_.EXP | 10013 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 10014 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOREQ.D1 | 9831 | ? | 0 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOREQ.D2 | 9832 | ? | 0 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP12_.EXP +SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 3 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | IOREQ.REG | IOREQ | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOREQ.D | 9830 | ? | 0 | 0 | IOREQ | NULL | NULL | IOREQ.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOREQ.Q | 9833 | ? | 0 | 0 | IOREQ | NULL | NULL | IOREQ.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_1 | 9377 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 9835 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 9836 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/ASrf.D | 9834 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_1 | 9377 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 9837 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/BERRrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/BERRrf.SI | iobm/BERRrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrf.D1 | 9839 | ? | 0 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrf.D2 | 9840 | ? | 0 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF + +SRFF_INSTANCE | iobm/BERRrf.REG | iobm/BERRrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/BERRrf.D | 9838 | ? | 0 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/BERRrf.Q | 9841 | ? | 0 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BERRrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/BERRrr.SI | iobm/BERRrr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrr.D1 | 9843 | ? | 0 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrr.D2 | 9844 | ? | 0 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF + +SRFF_INSTANCE | iobm/BERRrr.REG | iobm/BERRrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/BERRrr.D | 9842 | ? | 0 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/BERRrr.Q | 9845 | ? | 0 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/RESrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9385 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/RESrf.SI | iobm/RESrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9385 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrf.D1 | 9847 | ? | 0 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrf.D2 | 9848 | ? | 0 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nRES_IBUF + +SRFF_INSTANCE | iobm/RESrf.REG | iobm/RESrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/RESrf.D | 9846 | ? | 0 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/RESrf.Q | 9849 | ? | 0 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/RESrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9385 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/RESrr.SI | iobm/RESrr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9385 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrr.D1 | 9851 | ? | 0 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrr.D2 | 9852 | ? | 0 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nRES_IBUF + +SRFF_INSTANCE | iobm/RESrr.REG | iobm/RESrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/RESrr.D | 9850 | ? | 0 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/RESrr.Q | 9853 | ? | 0 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | MXSE_COPY_0_COPY_0 | 2155873280 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9459 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/Clear1.EXP | 10064 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Clear1.D1 | 9855 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Clear1.D2 | 9856 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/Clear1.EXP | 10060 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 + +SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Clear1.D | 9854 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Clear1.Q | 9857 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMDIS1 | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP24_.EXP | 10050 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP25_.EXP | 10051 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RAMDIS1.SI | ram/RAMDIS1 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP24_.EXP | 10050 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP25_.EXP | 10051 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMDIS1.D1 | 9859 | ? | 0 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMDIS1.D2 | 9860 | ? | 0 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP24_.EXP +SPPTERM | 1 | IV_TRUE | EXP25_.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RAMDIS1.REG | ram/RAMDIS1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMDIS1.D | 9858 | ? | 0 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMDIS1.Q | 9861 | ? | 0 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RAMReady | MXSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP22_.EXP | 10047 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP23_.EXP | 10048 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP22_.EXP | 10047 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP23_.EXP | 10048 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMReady.D1 | 9863 | ? | 0 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMReady.D2 | 9864 | ? | 0 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP22_.EXP +SPPTERM | 1 | IV_TRUE | EXP23_.EXP +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 + +SRFF_INSTANCE | ram/RAMReady.REG | ram/RAMReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMReady.D | 9862 | ? | 0 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMReady.Q | 9865 | ? | 0 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<1> | 9562 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9462 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<2> | 9563 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 9463 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<3> | 9564 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9464 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<4> | 9565 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9465 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<5> | 9566 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 9466 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<6> | 9567 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 9467 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<7> | 9568 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 9468 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nAS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nAS_IOB_OBUF | 9469 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nAS_IOB_OBUF.SI | nAS_IOB_OBUF | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAS_IOB_OBUF.D1 | 9867 | ? | 0 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAS_IOB_OBUF.D2 | 9868 | ? | 0 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 + +SRFF_INSTANCE | nAS_IOB_OBUF.REG | nAS_IOB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nAS_IOB_OBUF.D | 9866 | ? | 0 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nAS_IOB_OBUF.Q | 9869 | ? | 0 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_1 | 9377 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 9470 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nCAS_OBUF.EXP | 10056 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nCAS_OBUF.D1 | 9871 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nCAS_OBUF.D2 | 9872 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | ram/RASEL +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nCAS_OBUF.EXP | 10053 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nCAS_OBUF.D | 9870 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_1 | 9377 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nCAS_OBUF.Q | 9873 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nDinLE_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 9471 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinLE_OBUF.D1 | 9875 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinLE_OBUF.D2 | 9876 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 + +SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDinLE_OBUF.D | 9874 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDinLE_OBUF.Q | 9877 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 9472 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDoutOE_OBUF.D1 | 9879 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDoutOE_OBUF.D2 | 9880 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | IORW0 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 + +SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDoutOE_OBUF.D | 9878 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDoutOE_OBUF.Q | 9881 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nLDS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 9388 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nLDS_IOB_OBUF | 9473 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nLDS_IOB_OBUF.SI | nLDS_IOB_OBUF | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 9388 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nLDS_IOB_OBUF.D1 | 9883 | ? | 0 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nLDS_IOB_OBUF.D2 | 9884 | ? | 0 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | IOL0 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | IORW0 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOS_FSM_FFd1 + +SRFF_INSTANCE | nLDS_IOB_OBUF.REG | nLDS_IOB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nLDS_IOB_OBUF.D | 9882 | ? | 0 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nLDS_IOB_OBUF.Q | 9885 | ? | 0 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nUDS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 9389 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nUDS_IOB_OBUF | 9474 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nUDS_IOB_OBUF.SI | nUDS_IOB_OBUF | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 9389 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nUDS_IOB_OBUF.D1 | 9887 | ? | 0 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nUDS_IOB_OBUF.D2 | 9888 | ? | 0 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | IOU0 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | IORW0 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOS_FSM_FFd1 + +SRFF_INSTANCE | nUDS_IOB_OBUF.REG | nUDS_IOB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nUDS_IOB_OBUF.D | 9886 | ? | 0 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nUDS_IOB_OBUF.Q | 9889 | ? | 0 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_1_IBUF | 9462 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 9475 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_0_OBUF.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_1_IBUF | 9462 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_0_OBUF.D1 | 9891 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_0_OBUF.D2 | 9892 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_0_OBUF.EXP | 10032 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 + +SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_0_OBUF.D | 9890 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_0_OBUF.Q | 9893 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_2_IBUF | 9463 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 9476 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_2_IBUF | 9463 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_1_OBUF.D1 | 9895 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_1_OBUF.D2 | 9896 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF + +SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_1_OBUF.D | 9894 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_1_OBUF.Q | 9897 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_3_IBUF | 9464 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 9477 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_3_IBUF | 9464 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_2_OBUF.D1 | 9899 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_2_OBUF.D2 | 9900 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF + +SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_2_OBUF.D | 9898 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_2_OBUF.Q | 9901 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_4_IBUF | 9465 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_3_OBUF | 9478 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_4_IBUF | 9465 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_3_OBUF.D1 | 9903 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_3_OBUF.D2 | 9904 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF + +SRFF_INSTANCE | RA_3_OBUF.REG | RA_3_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_3_OBUF.D | 9902 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_3_OBUF.Q | 9905 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9466 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 9479 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9466 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_4_OBUF.D1 | 9907 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_4_OBUF.D2 | 9908 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF + +SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_4_OBUF.D | 9906 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_4_OBUF.Q | 9909 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_6_IBUF | 9467 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 9480 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_6_IBUF | 9467 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_5_OBUF.D1 | 9911 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_5_OBUF.D2 | 9912 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF + +SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_5_OBUF.D | 9910 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_5_OBUF.Q | 9913 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_7_IBUF | 9468 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 9481 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_7_IBUF | 9468 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_6_OBUF.D1 | 9915 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_6_OBUF.D2 | 9916 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF + +SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_6_OBUF.D | 9914 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_6_OBUF.Q | 9917 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 9482 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_7_OBUF.D1 | 9919 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_7_OBUF.D2 | 9920 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL + +SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_7_OBUF.D | 9918 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_7_OBUF.Q | 9921 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 9483 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_8_OBUF.D1 | 9923 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_8_OBUF.D2 | 9924 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL + +SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_8_OBUF.D | 9922 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_8_OBUF.Q | 9925 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 9484 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_9_OBUF.D1 | 9927 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_9_OBUF.D2 | 9928 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL + +SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_9_OBUF.D | 9926 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_9_OBUF.Q | 9929 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nBERR_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP10_.EXP | 10009 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 9485 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 10010 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP10_.EXP | 10009 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 9931 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 9932 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF +SPPTERM | 1 | IV_TRUE | EXP10_.EXP +SPPTERM | 4 | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 9995 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 + +SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nBERR_FSB_OBUF.D | 9930 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nBERR_FSB_OBUF.Q | 9933 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nOE_OBUF | 9486 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 9935 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nOE_OBUF.D2 | 9936 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nOE_OBUF.D | 9934 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 9937 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 9487 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 9939 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMWE_OBUF.D2 | 9940 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nROMWE_OBUF.D | 9938 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 9941 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nVPA_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 9488 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 9943 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 9944 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nVPA_FSB_OBUF.D | 9942 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 9945 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0M | 9451 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S | 9452 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 9489 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0M | 9451 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S | 9452 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 9947 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 9948 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S + +SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nADoutLE0_OBUF.D | 9946 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 9949 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nDinOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 9490 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nDinOE_OBUF.EXP | 10012 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 9951 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 9952 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDinOE_OBUF.EXP | 9997 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF + +SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDinOE_OBUF.D | 9950 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDinOE_OBUF.Q | 9953 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP19_.EXP | 10042 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 9491 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nRAS_OBUF.EXP | 10041 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP19_.EXP | 10042 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 9955 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAS_OBUF.D2 | 9956 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP19_.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAS_OBUF.EXP | 10027 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAS_OBUF.D | 9954 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 9957 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_19_IBUF$BUF0 | 9492 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | A_FSB_19_IBUF$BUF0.EXP | 10049 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 9959 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 9960 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.EXP | 10035 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | cnt/RefDone | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_19_IBUF$BUF0.D | 9958 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_19_IBUF$BUF0.Q | 9961 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9387 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_21_IBUF$BUF0 | 9493 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | A_FSB_21_IBUF$BUF0.EXP | 10020 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 7 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9387 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 9963 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 9964 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.EXP | 10005 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_21_IBUF$BUF0.D | 9962 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_21_IBUF$BUF0.Q | 9965 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | MXSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 9459 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 9494 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 9459 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 9967 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 9968 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Load1 +SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 + +SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nADoutLE1_OBUF.D | 9966 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nADoutLE1_OBUF.Q | 9969 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 9496 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 9971 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 9972 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 + +SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAMLWE_OBUF.D | 9970 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 9973 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 9497 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 9975 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 9976 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 + +SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAMUWE_OBUF.D | 9974 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 9977 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2.EXP | 10015 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.EXP | iobs/PS_FSM_FFd2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 9498 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 10014 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2.EXP | 10015 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.EXP | iobs/PS_FSM_FFd2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 9979 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMCS_OBUF.D2 | 9980 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2.EXP +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nROMCS_OBUF.EXP | 9999 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 + +SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nROMCS_OBUF.D | 9978 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 9981 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | nAoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155907072 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF$Q | 9499 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nAoutOE_OBUF.EXP | 10088 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAoutOE_OBUF.D1 | 9983 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAoutOE_OBUF.D2 | 9984 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nAoutOE_OBUF.EXP | 10074 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 + +SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nAoutOE_OBUF.D | 9982 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nAoutOE_OBUF.Q | 9985 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q + +OUTPUT_INSTANCE | 0 | nVMA_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nVMA_IOB_OBUF$Q | 9374 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 9500 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDTACK_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDTACK_FSB_OBUF | 9407 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 9501 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nAS_IOB_OBUF | 9469 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAS_IOB | 9502 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nCAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nCAS_OBUF | 9470 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nCAS | 9503 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinLE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinLE_OBUF | 9471 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinLE | 9504 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDoutOE_OBUF | 9472 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDoutOE | 9505 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nLDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nLDS_IOB_OBUF | 9473 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 9506 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nUDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nUDS_IOB_OBUF | 9474 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 9507 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<0> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_0_OBUF | 9475 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<0> | 9508 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<1> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_1_OBUF | 9476 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<1> | 9509 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<2> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_2_OBUF | 9477 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<2> | 9510 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<3> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_3_OBUF | 9478 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<3> | 9511 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<4> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_4_OBUF | 9479 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<4> | 9512 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<5> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_5_OBUF | 9480 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<5> | 9513 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<6> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_6_OBUF | 9481 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<6> | 9514 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<7> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_7_OBUF | 9482 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<7> | 9515 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<8> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_8_OBUF | 9483 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<8> | 9516 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<9> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_9_OBUF | 9484 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<9> | 9517 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nBERR_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nBERR_FSB_OBUF | 9485 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 9518 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nOE_OBUF | 9486 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nOE | 9519 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMWE_OBUF | 9487 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMWE | 9520 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nVPA_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nVPA_FSB_OBUF | 9488 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 9521 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE0 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE0_OBUF | 9489 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 9522 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinOE_OBUF | 9490 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinOE | 9523 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAS_OBUF | 9491 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAS | 9524 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<11> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_19_IBUF$BUF0 | 9492 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<11> | 9525 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<10> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_21_IBUF$BUF0 | 9493 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<10> | 9526 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE1 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE1_OBUF | 9494 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 9527 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMLWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMLWE_OBUF | 9496 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 9528 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMUWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMUWE_OBUF | 9497 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 9529 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMCS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMCS_OBUF | 9498 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMCS | 9530 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nAoutOE_OBUF$Q | 9499 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAoutOE | 9531 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT + +MACROCELL_INSTANCE | SoftPfbk | $OpTx$$OpTx$FX_DC$355_INV$439 | MXSE_COPY_0_COPY_0 | 2181038080 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | $OpTx$$OpTx$FX_DC$355_INV$439.SI | $OpTx$$OpTx$FX_DC$355_INV$439 | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$$OpTx$FX_DC$355_INV$439.D1 | 9987 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$355_INV$439 | NULL | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$$OpTx$FX_DC$355_INV$439.D2 | 9988 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$355_INV$439 | NULL | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | $OpTx$$OpTx$FX_DC$355_INV$439.REG | $OpTx$$OpTx$FX_DC$355_INV$439 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.D | 9986 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$355_INV$439 | NULL | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.Q | 9989 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$355_INV$439 | NULL | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+SoftPfbk | $OpTx$FX_DC$360 | MXSE_COPY_0_COPY_0 | 2181038336 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | $OpTx$FX_DC$360.UIM | 9533 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$360.Q | $OpTx$FX_DC$360 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | $OpTx$FX_DC$360.SI | $OpTx$FX_DC$360 | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$FX_DC$360.D1 | 9991 | ? | 0 | 4096 | $OpTx$FX_DC$360 | NULL | NULL | $OpTx$FX_DC$360.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 1 | IV_TRUE | A_FSB_22_IBUF +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$FX_DC$360.D2 | 9992 | ? | 0 | 4096 | $OpTx$FX_DC$360 | NULL | NULL | $OpTx$FX_DC$360.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay1 + +SRFF_INSTANCE | $OpTx$FX_DC$360.REG | $OpTx$FX_DC$360 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | $OpTx$FX_DC$360.D | 9990 | ? | 0 | 0 | $OpTx$FX_DC$360 | NULL | NULL | $OpTx$FX_DC$360.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | $OpTx$FX_DC$360.Q | 9993 | ? | 0 | 0 | $OpTx$FX_DC$360 | NULL | NULL | $OpTx$FX_DC$360.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | NULL | EXP10_ | MXSE_COPY_0_COPY_0 | 2147483648 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 10009 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP10_.EXP | 9994 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r + +MACROCELL_INSTANCE | NULL | EXP11_ | MXSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.EXP | 10010 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 10011 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP11_.SI | EXP11_ | 0 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.EXP | 10010 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP11_.EXP | 9996 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW1 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 + +MACROCELL_INSTANCE | NULL | EXP12_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 10013 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP12_.EXP | 9998 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP13_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF.EXP | 10017 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 10016 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF.EXP | 10017 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP13_.EXP | 10001 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nVMA_IOB_OBUF.EXP +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP14_ | MXSE_COPY_0_COPY_0 | 2147483648 | 19 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 10023 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 10022 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 19 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 10023 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP14_.EXP | 10007 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP15_.EXP +SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP15_ | MXSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 10023 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP15_.EXP | 10008 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP16_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 10038 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP16_.EXP | 10024 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +MACROCELL_INSTANCE | NULL | EXP17_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 10039 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP17_.EXP | 10025 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +MACROCELL_INSTANCE | NULL | EXP18_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 10039 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 10040 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 10039 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP18_.EXP | 10026 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP17_.EXP +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr + +MACROCELL_INSTANCE | NULL | EXP19_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 10042 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP19_.EXP | 10028 | ? | 0 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | RefAck +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 + +MACROCELL_INSTANCE | NULL | EXP20_ | MXSE_COPY_0_COPY_0 | 2147483648 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 10043 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP20_.EXP | 10029 | ? | 0 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP21_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2.EXP | 10044 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 10045 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2.EXP | 10044 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP21_.EXP | 10031 | ? | 0 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | ram/RAMDIS2.EXP +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF + +MACROCELL_INSTANCE | NULL | EXP22_ | MXSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 10047 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP22_.EXP | 10033 | ? | 0 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP23_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF$BUF0.EXP | 10049 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 10048 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF$BUF0.EXP | 10049 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP23_.EXP | 10034 | ? | 0 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF$BUF0.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP24_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP24_.EXP | 10050 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP24_.EXP | 10036 | ? | 0 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr + +MACROCELL_INSTANCE | NULL | EXP25_ | MXSE_COPY_0_COPY_0 | 2147483648 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 10038 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP25_.EXP | 10051 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 10038 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP25_.EXP | 10037 | ? | 0 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP16_.EXP +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 6 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP26_ | MXSE_COPY_0_COPY_0 | 2147483648 | 20 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP27_.EXP | 10081 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP26_.EXP | 10080 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 20 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP27_.EXP | 10081 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP26_.EXP | 10066 | ? | 0 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP27_.EXP +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | ram/RAMReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM + +MACROCELL_INSTANCE | NULL | EXP27_ | MXSE_COPY_0_COPY_0 | 2147483648 | 28 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP27_.EXP | 10081 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 28 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP27_.EXP | 10067 | ? | 0 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 22 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 22 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM + +MACROCELL_INSTANCE | NULL | EXP28_ | MXSE_COPY_0_COPY_0 | 2147483648 | 24 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$360.UIM | 9533 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$360.Q | $OpTx$FX_DC$360 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP28_.EXP | 10082 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP28_.SI | EXP28_ | 0 | 24 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$360.UIM | 9533 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$360.Q | $OpTx$FX_DC$360 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP28_.EXP | 10068 | ? | 0 | 0 | EXP28_ | NULL | NULL | EXP28_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | $OpTx$FX_DC$360.UIM + +MACROCELL_INSTANCE | NULL | EXP29_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP28_.EXP | 10082 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP29_.EXP | 10083 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP29_.SI | EXP29_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP28_.EXP | 10082 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP29_.EXP | 10069 | ? | 0 | 0 | EXP29_ | NULL | NULL | EXP29_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP28_.EXP +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM + +MACROCELL_INSTANCE | NULL | EXP30_ | MXSE_COPY_0_COPY_0 | 2147483648 | 19 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP31_.EXP | 10085 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP30_.EXP | 10084 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP30_.SI | EXP30_ | 0 | 19 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP31_.EXP | 10085 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP30_.EXP | 10070 | ? | 0 | 0 | EXP30_ | NULL | NULL | EXP30_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP31_.EXP +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady +SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP31_ | MXSE_COPY_0_COPY_0 | 2147483648 | 27 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$360.UIM | 9533 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$360.Q | $OpTx$FX_DC$360 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP31_.EXP | 10085 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP31_.SI | EXP31_ | 0 | 27 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$360.UIM | 9533 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$360.Q | $OpTx$FX_DC$360 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP31_.EXP | 10071 | ? | 0 | 0 | EXP31_ | NULL | NULL | EXP31_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | $OpTx$FX_DC$360.UIM +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | $OpTx$FX_DC$360.UIM +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | $OpTx$FX_DC$360.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady + +MACROCELL_INSTANCE | NULL | EXP32_ | MXSE_COPY_0_COPY_0 | 2147483648 | 16 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAoutOE_OBUF.EXP | 10088 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP32_.EXP | 10087 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP32_.SI | EXP32_ | 0 | 16 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAoutOE_OBUF.EXP | 10088 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP32_.EXP | 10073 | ? | 0 | 0 | EXP32_ | NULL | NULL | EXP32_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nAoutOE_OBUF.EXP +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 11 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 11 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP33_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP33_.EXP | 10089 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP33_.SI | EXP33_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP33_.EXP | 10075 | ? | 0 | 0 | EXP33_ | NULL | NULL | EXP33_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | ALE1 +SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once + +MACROCELL_INSTANCE | NULL | EXP34_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP35_.EXP | 10091 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP34_.EXP | 10090 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP34_.SI | EXP34_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP35_.EXP | 10091 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP34_.EXP | 10076 | ? | 0 | 0 | EXP34_ | NULL | NULL | EXP34_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP35_.EXP +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_TRUE | nWE_FSB_IBUF + +MACROCELL_INSTANCE | NULL | EXP35_ | MXSE_COPY_0_COPY_0 | 2147483648 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP35_.EXP | 10091 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP35_.SI | EXP35_ | 0 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP35_.EXP | 10077 | ? | 0 | 0 | EXP35_ | NULL | NULL | EXP35_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once + +MACROCELL_INSTANCE | NULL | EXP36_ | MXSE_COPY_0_COPY_0 | 2147483648 | 22 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP36_.EXP | 10092 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP36_.SI | EXP36_ | 0 | 22 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP36_.EXP | 10078 | ? | 0 | 0 | EXP36_ | NULL | NULL | EXP36_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM + +MACROCELL_INSTANCE | NULL | EXP37_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP36_.EXP | 10092 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP37_.EXP | 10093 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP37_.EXP | EXP37_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP37_.SI | EXP37_ | 0 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP36_.EXP | 10092 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP37_.EXP | 10079 | ? | 0 | 0 | EXP37_ | NULL | NULL | EXP37_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP36_.EXP +SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 4 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | ram/RAMReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM + +FB_INSTANCE | FOOBAR1_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | EXP10_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 11 | 49152 +FBPIN | 3 | EXP11_ | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 12 | 49152 +FBPIN | 4 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 13 | 49152 +FBPIN | 6 | EXP12_ | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 14 | 49152 +FBPIN | 7 | IOREQ | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 15 | 49152 +FBPIN | 9 | iobs/PS_FSM_FFd2 | 1 | A_FSB_5_IBUF | 1 | NULL | 0 | 16 | 49152 +FBPIN | 10 | EXP13_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nVMA_IOB_OBUF | 1 | NULL | 0 | nVMA_IOB | 1 | 17 | 49152 +FBPIN | 12 | BERR_IOBS | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 18 | 49152 +FBPIN | 13 | fsb/BERR0r | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | A_FSB_21_IBUF$BUF0 | 1 | NULL | 0 | RA<10> | 1 | 19 | 49152 +FBPIN | 15 | cs/nOverlay0 | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 20 | 49152 +FBPIN | 16 | IORW0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP14_ | 1 | CLK2X_IOB_IBUF | 1 | NULL | 0 | 22 | 57344 +FBPIN | 18 | EXP15_ | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR2_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | iobm/RESrr | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | iobm/RESrf | 1 | nRES_IBUF | 1 | NULL | 0 | 99 | 51200 +FBPIN | 3 | iobm/IOREQr | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobm/Er2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/ETACK | 1 | NULL | 0 | NULL | 0 | 1 | 53248 +FBPIN | 6 | iobm/DTACKrr | 1 | NULL | 0 | NULL | 0 | 2 | 53248 +FBPIN | 7 | iobm/DTACKrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobm/BERRrr | 1 | NULL | 0 | NULL | 0 | 3 | 53248 +FBPIN | 9 | iobm/BERRrf | 1 | NULL | 0 | NULL | 0 | 4 | 53248 +FBPIN | 10 | iobm/ES<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nLDS_IOB_OBUF | 1 | NULL | 0 | nLDS_IOB | 1 | 6 | 49152 +FBPIN | 12 | nUDS_IOB_OBUF | 1 | NULL | 0 | nUDS_IOB | 1 | 7 | 49152 +FBPIN | 13 | iobm/ES<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | nAS_IOB_OBUF | 1 | NULL | 0 | nAS_IOB | 1 | 8 | 49152 +FBPIN | 15 | iobm/ES<0> | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 9 | 49152 +FBPIN | 16 | iobm/ES<4> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 10 | 49152 +FBPIN | 18 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR3_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | EXP16_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | EXP17_ | 1 | CLK_FSB_IBUF | 1 | NULL | 0 | 23 | 57344 +FBPIN | 3 | EXP18_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | ram/RASEL | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 24 | 49152 +FBPIN | 6 | EXP19_ | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 25 | 49152 +FBPIN | 7 | EXP20_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | ram/RAMDIS2 | 1 | CLK_IOB_IBUF | 1 | NULL | 0 | 27 | 57344 +FBPIN | 9 | EXP21_ | 1 | NULL | 0 | NULL | 0 | 28 | 49152 +FBPIN | 10 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 29 | 49152 +FBPIN | 12 | EXP22_ | 1 | NULL | 0 | NULL | 0 | 30 | 49152 +FBPIN | 13 | ram/RAMReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | EXP23_ | 1 | NULL | 0 | NULL | 0 | 32 | 49152 +FBPIN | 15 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 33 | 49152 +FBPIN | 16 | EXP24_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | ram/RAMDIS1 | 1 | NULL | 0 | NULL | 0 | 34 | 49152 +FBPIN | 18 | EXP25_ | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR4_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | ram/BACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 87 | 49152 +FBPIN | 3 | cnt/RefCnt<7> | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/RefCnt<6> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | cnt/RefCnt<5> | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 89 | 49152 +FBPIN | 6 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 90 | 49152 +FBPIN | 7 | cnt/RefCnt<4> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cnt/RefCnt<3> | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 91 | 49152 +FBPIN | 9 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 92 | 49152 +FBPIN | 10 | cnt/RefCnt<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | fsb/BERR1r | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 93 | 49152 +FBPIN | 12 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 94 | 49152 +FBPIN | 13 | cnt/RefDone | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/TimeoutBPre | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 95 | 49152 +FBPIN | 15 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 96 | 49152 +FBPIN | 16 | TimeoutB | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | TimeoutA | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 97 | 49152 +FBPIN | 18 | IOU0 | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR5_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 35 | 49152 +FBPIN | 3 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/RefCnt<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | cnt/RefCnt<0> | 1 | NULL | 0 | NULL | 0 | 36 | 49152 +FBPIN | 6 | RA_3_OBUF | 1 | NULL | 0 | RA<3> | 1 | 37 | 49152 +FBPIN | 7 | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobs/IOU1 | 1 | NULL | 0 | NULL | 0 | 39 | 49152 +FBPIN | 9 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 +FBPIN | 10 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobm/IOS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 | 41 | 49152 +FBPIN | 12 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 42 | 49152 +FBPIN | 13 | ALE0M | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobm/IOS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 | 43 | 49152 +FBPIN | 15 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 46 | 49152 +FBPIN | 16 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | IOACT | 1 | NULL | 0 | NULL | 0 | 49 | 49152 +FBPIN | 18 | IOBERR | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR6_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | ram/Once | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 74 | 49152 +FBPIN | 3 | ALE0S | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobs/PS_FSM_FFd1 | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 76 | 49152 +FBPIN | 6 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 77 | 49152 +FBPIN | 7 | iobs/IOL1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cs/nOverlay1 | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 78 | 49152 +FBPIN | 9 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 79 | 49152 +FBPIN | 10 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | IOL0 | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 80 | 49152 +FBPIN | 12 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 81 | 49152 +FBPIN | 13 | iobs/IOReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | ram/RS_FSM_FFd1 | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 82 | 49152 +FBPIN | 15 | nADoutLE1_OBUF | 1 | NULL | 0 | nADoutLE1 | 1 | 85 | 49152 +FBPIN | 16 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | ram/RS_FSM_FFd2 | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 86 | 49152 +FBPIN | 18 | RefAck | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR7_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 2 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 50 | 49152 +FBPIN | 6 | NULL | 0 | E_IOB_IBUF | 1 | NULL | 0 | 53 | 49152 +FBPIN | 8 | nADoutLE0_OBUF | 1 | NULL | 0 | nADoutLE0 | 1 | 54 | 49152 +FBPIN | 9 | NULL | 0 | A_FSB_2_IBUF | 1 | NULL | 0 | 55 | 49152 +FBPIN | 11 | NULL | 0 | nBERR_IOB_IBUF | 1 | NULL | 0 | 56 | 49152 +FBPIN | 12 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 58 | 49152 +FBPIN | 14 | NULL | 0 | A_FSB_4_IBUF | 1 | NULL | 0 | 59 | 49152 +FBPIN | 15 | iobm/VPArr | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 60 | 49152 +FBPIN | 16 | iobm/VPArf | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobm/Er | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 61 | 49152 +FBPIN | 18 | $OpTx$FX_DC$360 | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR8_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | EXP26_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | EXP27_ | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 63 | 49152 +FBPIN | 3 | EXP28_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | EXP29_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 64 | 49152 +FBPIN | 6 | EXP30_ | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 65 | 49152 +FBPIN | 7 | EXP31_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | fsb/Ready2r | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 66 | 49152 +FBPIN | 9 | fsb/Ready1r | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 67 | 49152 +FBPIN | 10 | EXP32_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 68 | 49152 +FBPIN | 12 | EXP33_ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 70 | 49152 +FBPIN | 13 | iobs/Once | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | EXP34_ | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 71 | 49152 +FBPIN | 15 | EXP35_ | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 72 | 49152 +FBPIN | 16 | EXP36_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP37_ | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 73 | 49152 +FBPIN | 18 | fsb/VPA | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | INPUTPINS_FOOBAR9_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 + +BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> | 11 | A_FSB<13> | 10 | A_FSB<14> | 9 | A_FSB<15> | 8 | A_FSB<16> | 7 | A_FSB<17> | 6 | A_FSB<18> | 5 | A_FSB<19> | 4 | A_FSB<1> | 22 | A_FSB<20> | 3 | A_FSB<21> | 2 | A_FSB<22> | 1 | A_FSB<23> | 0 | A_FSB<2> | 21 | A_FSB<3> | 20 | A_FSB<4> | 19 | A_FSB<5> | 18 | A_FSB<6> | 17 | A_FSB<7> | 16 | A_FSB<8> | 15 | A_FSB<9> | 14 +BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 + +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | iobs/IOACTr | NULL | 1 | nAS_FSB | 70 | 2 | A_FSB<14> | 63 | 3 | iobs/IORW1 | NULL | 4 | A_FSB<16> | 91 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 6 | nWE_FSB | 60 | 7 | cs/nOverlay1 | NULL | 8 | iobs/PS_FSM_FFd2 | NULL | 9 | iobm/ES<3> | NULL | 10 | nVMA_IOB_OBUF | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 12 | iobm/ES<1> | NULL | 14 | iobm/ES<0> | NULL | 15 | IORW0 | NULL | 16 | IOACT | NULL | 17 | iobm/ES<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 19 | A_FSB<22> | 95 | 21 | A_FSB<17> | 82 | 25 | iobm/VPArr | NULL | 27 | A_FSB<23> | 78 | 28 | iobs/PS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 30 | A_FSB<18> | 93 | 31 | iobm/ES<4> | NULL | 32 | iobs/Once | NULL | 33 | iobm/VPArf | NULL | 35 | A_FSB<13> | 12 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 39 | fsb/ASrf | NULL | 41 | BERR_IOBS | NULL | 42 | A_FSB<21> | 80 | 44 | fsb/BERR1r | NULL | 46 | IOBERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 47 | A_FSB<19> | 61 | 48 | ALE1 | NULL | 49 | cs/nOverlay0 | NULL | 50 | TimeoutB | NULL | 52 | fsb/BERR0r | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 53 | A_FSB<20> | 14 + +FB_IMUX_INDEX | FOOBAR1_ | 72 | 193 | 211 | 3 | 148 | -1 | 215 | 97 | 8 | 27 | 10 | -1 | 30 | -1 | 32 | 15 | 88 | 35 | -1 | 164 | -1 | 151 | -1 | -1 | -1 | 122 | -1 | 167 | 94 | -1 | 152 | 33 | 138 | 123 | -1 | 210 | -1 | -1 | -1 | 74 | -1 | 11 | 155 | -1 | 64 | -1 | 89 | 213 | 104 | 14 | 69 | -1 | 12 | 214 + + +FB_ORDER_OF_INPUTS | FOOBAR2_ | 0 | nRES | 99 | 3 | iobm/Er2 | NULL | 4 | nDTACK_IOB | 20 | 6 | IOREQ | NULL | 10 | IOL0 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 15 | iobm/ES<4> | NULL | 16 | iobm/Er | NULL | 17 | IOU0 | NULL | 30 | iobm/IOS_FSM_FFd2 | NULL | 32 | iobm/IOS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 37 | iobm/ES<0> | NULL | 38 | iobm/ES<3> | NULL | 39 | nVMA_IOB_OBUF | NULL | 40 | iobm/ES<2> | NULL | 43 | iobm/IOS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 47 | iobm/ES<1> | NULL | 50 | IORW0 | NULL | 52 | nBERR_IOB | 56 | 53 | iobm/IOS_FSM_FFd4 | NULL + +FB_IMUX_INDEX | FOOBAR2_ | 176 | -1 | -1 | 21 | 230 | -1 | 6 | -1 | -1 | -1 | 100 | -1 | -1 | -1 | -1 | 33 | 124 | 71 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 81 | -1 | 82 | -1 | -1 | -1 | -1 | 32 | 27 | 10 | 35 | -1 | -1 | 87 | -1 | -1 | -1 | 30 | -1 | -1 | 15 | -1 | 223 | 85 + + +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | ram/Once | NULL | 1 | A_FSB<13> | 12 | 2 | cnt/RefCnt<7> | NULL | 3 | cnt/RefCnt<6> | NULL | 4 | iobs/PS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | A_FSB<20> | 14 | 6 | nWE_FSB | 60 | 7 | ram/RAMDIS2 | NULL | 8 | A_FSB<18> | 93 | 9 | A_FSB<1> | 72 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 12 | cnt/RefDone | NULL | 14 | ALE1 | NULL | 16 | ram/RAMDIS1 | NULL | 17 | RefAck | NULL | 18 | A_FSB<21> | 80 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 19 | A_FSB<22> | 95 | 20 | ram/RS_FSM_FFd3 | NULL | 21 | A_FSB<17> | 82 | 27 | A_FSB<23> | 78 | 30 | nAS_FSB | 70 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 32 | iobs/Once | NULL | 33 | iobs/PS_FSM_FFd2 | NULL | 35 | ram/RS_FSM_FFd2 | NULL | 37 | A_FSB<14> | 63 | 38 | ram/RASEL | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 39 | fsb/ASrf | NULL | 40 | cnt/RefCnt<5> | NULL | 42 | cs/nOverlay1 | NULL | 47 | A_FSB<19> | 61 | 48 | A_FSB<10> | 89 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 49 | ram/RS_FSM_FFd1 | NULL | 51 | A_FSB<16> | 91 | 52 | ram/BACTr | NULL + +FB_IMUX_INDEX | FOOBAR3_ | 90 | 210 | 56 | 57 | 94 | 214 | 215 | 43 | 152 | 185 | -1 | -1 | 66 | -1 | 104 | -1 | 52 | 107 | 155 | 164 | 93 | 151 | -1 | -1 | -1 | -1 | -1 | 167 | -1 | -1 | 193 | -1 | 138 | 8 | -1 | 106 | -1 | 211 | 39 | 74 | 58 | -1 | 97 | -1 | -1 | -1 | -1 | 213 | 144 | 103 | -1 | 148 | 54 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | nUDS_FSB | 18 | 1 | nAS_FSB | 70 | 2 | fsb/ASrf | NULL | 3 | cnt/RefCnt<1> | NULL | 4 | cnt/RefCnt<5> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 6 | cnt/RefCnt<4> | NULL | 7 | cnt/RefCnt<3> | NULL | 8 | iobs/PS_FSM_FFd2 | NULL | 9 | cnt/RefCnt<2> | NULL | 10 | fsb/BERR1r | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 11 | BERR_IOBS | NULL | 12 | cnt/RefDone | NULL | 13 | cnt/TimeoutBPre | NULL | 14 | ALE1 | NULL | 15 | TimeoutB | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 17 | RefAck | NULL | 28 | iobs/PS_FSM_FFd1 | NULL | 29 | cnt/RefCnt<0> | NULL | 33 | cnt/RefCnt<7> | NULL | 35 | A_FSB<11> | 66 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 37 | TimeoutA | NULL | 38 | ram/RASEL | NULL | 39 | nWE_FSB | 60 | 41 | A_FSB<2> | 55 | 42 | cnt/RefCnt<6> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 48 | iobs/IOU1 | NULL | 49 | ram/RAMDIS2 | NULL | 52 | nLDS_FSB | 9 | 53 | ram/RAMDIS1 | NULL + +FB_IMUX_INDEX | FOOBAR4_ | 226 | 193 | 74 | 75 | 58 | -1 | 60 | 61 | 8 | 63 | 64 | 11 | 66 | 67 | 104 | 69 | -1 | 107 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 94 | 76 | -1 | -1 | -1 | 56 | -1 | 205 | -1 | 70 | 39 | 215 | -1 | 227 | 57 | -1 | -1 | -1 | -1 | -1 | 79 | 43 | -1 | -1 | 202 | 52 + + +FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | iobm/RESrr | NULL | 1 | iobm/RESrf | NULL | 2 | iobm/IOREQr | NULL | 3 | ram/RASEL | NULL | 4 | A_FSB<19> | 61 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 5 | CLK_IOB | 27 | 6 | iobm/DTACKrf | NULL | 7 | iobm/BERRrr | NULL | 8 | iobm/BERRrf | NULL | 9 | iobm/IOS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 10 | iobm/IOS_FSM_FFd1 | NULL | 13 | iobm/IOS_FSM_FFd4 | NULL | 14 | nBERR_IOB | 56 | 15 | iobm/IOS_FSM_FFd3 | NULL | 17 | IOBERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 19 | nUDS_FSB | 18 | 24 | iobm/ETACK | NULL | 25 | A_FSB<12> | 65 | 29 | cnt/RefCnt<0> | NULL | 30 | nAS_FSB | 70 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 33 | A_FSB<3> | 67 | 35 | A_FSB<13> | 12 | 37 | A_FSB<14> | 63 | 39 | fsb/ASrf | NULL | 41 | A_FSB<4> | 59 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 47 | iobs/Load1 | NULL | 48 | iobm/DTACKrr | NULL | 49 | IOACT | NULL | 50 | A_FSB<5> | 16 | 53 | A_FSB<20> | 14 + +FB_IMUX_INDEX | FOOBAR5_ | 18 | 19 | 20 | 39 | 213 | 248 | 24 | 25 | 26 | 81 | 82 | -1 | -1 | 85 | 223 | 87 | -1 | 89 | -1 | 226 | -1 | -1 | -1 | -1 | 22 | 207 | -1 | -1 | -1 | 76 | 193 | -1 | -1 | 201 | -1 | 210 | -1 | 211 | -1 | 74 | -1 | 217 | -1 | -1 | -1 | -1 | -1 | 45 | 23 | 88 | 218 | -1 | -1 | 214 + + +FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | ram/BACTr | NULL | 1 | nAS_FSB | 70 | 2 | cnt/RefCnt<7> | NULL | 3 | ram/RASEL | NULL | 4 | cnt/RefCnt<5> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 6 | iobs/IOL1 | NULL | 7 | cs/nOverlay1 | NULL | 8 | iobs/PS_FSM_FFd2 | NULL | 9 | iobs/Load1 | NULL | 10 | nLDS_FSB | 9 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 11 | A_FSB<21> | 80 | 12 | ram/RAMReady | NULL | 13 | ram/RS_FSM_FFd1 | NULL | 14 | cs/nOverlay0 | NULL | 15 | iobs/Clear1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 16 | ram/RS_FSM_FFd2 | NULL | 17 | IOBERR | NULL | 19 | A_FSB<22> | 95 | 23 | A_FSB<7> | 76 | 24 | ram/Once | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 25 | A_FSB<15> | 86 | 27 | A_FSB<23> | 78 | 28 | iobs/PS_FSM_FFd1 | NULL | 30 | A_FSB<18> | 93 | 32 | iobs/Once | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 33 | ram/RS_FSM_FFd3 | NULL | 36 | A_FSB<6> | 97 | 39 | fsb/ASrf | NULL | 40 | fsb/Ready0r | NULL | 41 | A_FSB<8> | 73 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 42 | cnt/RefCnt<6> | NULL | 43 | iobs/IOReady | NULL | 46 | A_FSB<17> | 82 | 47 | iobs/IOACTr | NULL | 48 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 49 | cnt/RefDone | NULL | 50 | A_FSB<9> | 71 | 51 | A_FSB<16> | 91 + +FB_IMUX_INDEX | FOOBAR6_ | 54 | 193 | 56 | 39 | 58 | -1 | 96 | 97 | 8 | 45 | 202 | 155 | 48 | 103 | 14 | 105 | 106 | 89 | -1 | 164 | -1 | -1 | -1 | 175 | 90 | 147 | -1 | 167 | 94 | -1 | 152 | -1 | 138 | 93 | -1 | -1 | 172 | -1 | -1 | 74 | 99 | 183 | 57 | 102 | -1 | -1 | 151 | 72 | 104 | 66 | 189 | 148 | -1 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR7_ | 2 | ALE0S | NULL | 4 | A_FSB<22> | 95 | 7 | cs/nOverlay1 | NULL | 9 | E_IOB | 53 | 10 | iobm/IOS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 12 | ALE0M | NULL | 13 | iobm/IOS_FSM_FFd4 | NULL | 15 | iobm/IOS_FSM_FFd3 | NULL | 16 | nVPA_IOB | 25 | 17 | fsb/VPA | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 30 | nAS_FSB | 70 + +FB_IMUX_INDEX | FOOBAR7_ | -1 | -1 | 92 | -1 | 164 | -1 | -1 | 97 | -1 | 235 | 82 | -1 | 84 | 85 | -1 | 87 | 242 | 143 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 193 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | A_FSB<10> | 89 | 1 | nAS_FSB | 70 | 2 | fsb/ASrf | NULL | 3 | A_FSB<15> | 86 | 4 | iobs/PS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 5 | A_FSB<20> | 14 | 6 | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | NULL | 7 | fsb/Ready2r | NULL | 8 | fsb/Ready1r | NULL | 9 | fsb/Ready0r | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 10 | fsb/BERR1r | NULL | 11 | BERR_IOBS | NULL | 12 | fsb/BERR0r | NULL | 13 | A_FSB<9> | 71 | 14 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 15 | TimeoutB | NULL | 16 | TimeoutA | NULL | 17 | $OpTx$FX_DC$360.UIM | NULL | 18 | A_FSB<21> | 80 | 19 | A_FSB<22> | 95 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 24 | ram/RAMReady | NULL | 25 | A_FSB<12> | 65 | 27 | A_FSB<23> | 78 | 30 | A_FSB<18> | 93 | 32 | iobs/Once | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 33 | iobs/PS_FSM_FFd2 | NULL | 37 | A_FSB<14> | 63 | 38 | nDTACK_FSB_OBUF.UIM | NULL | 39 | nWE_FSB | 60 | 41 | A_FSB<8> | 73 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 42 | cs/nOverlay1 | NULL | 43 | iobs/IOReady | NULL | 44 | A_FSB<13> | 12 | 46 | A_FSB<17> | 82 | 47 | A_FSB<19> | 61 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 48 | A_FSB<11> | 66 | 49 | fsb/VPA | NULL | 51 | A_FSB<16> | 91 + +FB_IMUX_INDEX | FOOBAR8_ | 144 | 193 | 74 | 147 | 94 | 214 | 78 | 133 | 134 | 99 | 64 | 11 | 12 | 189 | 104 | 69 | 70 | 125 | 155 | 164 | -1 | -1 | -1 | -1 | 48 | 207 | -1 | 167 | -1 | -1 | 152 | -1 | 138 | 8 | -1 | -1 | -1 | 211 | 130 | 215 | -1 | 183 | 97 | 102 | 210 | -1 | 151 | 213 | 205 | 143 | -1 | 148 | -1 | -1 + + +GLOBAL_FCLK | CLK2X_IOB | 0 | 0 | CLK_FSB | 1 | 1 | CLK_IOB | 2 | 2 + +GLOBAL_FSR | nRES | 0 | 0 diff --git a/cpld/XC95144XL/MXSE.xst b/cpld/XC95144/MXSE.xst similarity index 100% rename from cpld/XC95144XL/MXSE.xst rename to cpld/XC95144/MXSE.xst diff --git a/cpld/XC95144XL/MXSE_envsettings.html b/cpld/XC95144/MXSE_envsettings.html similarity index 94% rename from cpld/XC95144XL/MXSE_envsettings.html rename to cpld/XC95144/MXSE_envsettings.html index 7fa20b0..2c71ee5 100644 --- a/cpld/XC95144XL/MXSE_envsettings.html +++ b/cpld/XC95144/MXSE_envsettings.html @@ -18,8 +18,8 @@ Path -C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt;
C:\Xilinx\14.7\ISE_DS\common\lib\nt;
C:\Program Files (x86)\Common Files\Oracle\Java\javapath;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin -C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt;
C:\Xilinx\14.7\ISE_DS\common\lib\nt;
C:\Program Files (x86)\Common Files\Oracle\Java\javapath;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin +C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt;
C:\Xilinx\14.7\ISE_DS\common\lib\nt;
C:\Program Files (x86)\Common Files\Oracle\Java\javapath;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin +C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt;
C:\Xilinx\14.7\ISE_DS\common\lib\nt;
C:\Program Files (x86)\Common Files\Oracle\Java\javapath;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin XILINX @@ -206,7 +206,7 @@ -uc   -C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf +MXSE.ucf None diff --git a/cpld/XC95144XL/MXSE_html/fit/applet.js b/cpld/XC95144/MXSE_html/fit/applet.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/applet.js rename to cpld/XC95144/MXSE_html/fit/applet.js diff --git a/cpld/XC95144XL/MXSE_html/fit/appletref.htm b/cpld/XC95144/MXSE_html/fit/appletref.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/appletref.htm rename to cpld/XC95144/MXSE_html/fit/appletref.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/ascii.htm b/cpld/XC95144/MXSE_html/fit/ascii.htm similarity index 50% rename from cpld/XC95144XL/MXSE_html/fit/ascii.htm rename to cpld/XC95144/MXSE_html/fit/ascii.htm index 9fad9ed..31bfc48 100644 --- a/cpld/XC95144XL/MXSE_html/fit/ascii.htm +++ b/cpld/XC95144/MXSE_html/fit/ascii.htm @@ -3,7 +3,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: MXSE Date: 12-11-2021, 6:24AM +Design Name: MXSE Date: 2- 7-2022, 0:04AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -11,22 +11,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -110/144 ( 76%) 439 /720 ( 61%) 244/432 ( 56%) 84 /144 ( 58%) 67 /81 ( 83%) +105/144 ( 73%) 427 /720 ( 59%) 234/432 ( 54%) 80 /144 ( 56%) 67 /81 ( 83%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 9/18 36/54 80/90 11/11* -FB2 18/18* 35/54 34/90 6/10 -FB3 14/18 35/54 77/90 6/10 -FB4 10/18 36/54 82/90 10/10* -FB5 17/18 35/54 47/90 4/10 -FB6 18/18* 24/54 37/90 10/10* -FB7 17/18 35/54 76/90 10/10* -FB8 7/18 8/54 6/90 10/10* +FB1 12/18 36/54 81/90 11/11* +FB2 18/18* 19/54 39/90 6/10 +FB3 8/18 33/54 81/90 6/10 +FB4 18/18* 29/54 29/90 10/10* +FB5 18/18* 30/54 45/90 5/10 +FB6 18/18* 38/54 63/90 10/10* +FB7 7/18 11/54 9/90 9/10 +FB8 6/18 38/54 80/90 10/10* ----- ----- ----- ----- - 110/144 244/432 439/720 67/81 + 105/144 234/432 427/720 67/81 * - Resource is exhausted @@ -53,7 +53,7 @@ GSR : 1 1 | ** Power Data ** -There are 110 macrocells in high performance mode (MCHP). +There are 105 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -64,166 +64,161 @@ WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will ** 32 Outputs ** -Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init -Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 23 32 FB1_2 11 I/O O STD FAST RESET -nBERR_FSB 3 9 FB1_6 14 I/O O STD FAST -nOE 1 2 FB1_11 17 I/O O STD FAST -nLDS_IOB 3 7 FB2_11 6 I/O O STD FAST RESET -nUDS_IOB 3 7 FB2_12 7 I/O O STD FAST RESET -nDoutOE 2 7 FB2_14 8 I/O O STD FAST RESET -nAS_IOB 1 5 FB2_17 10 I/O O STD FAST RESET -nRAS 3 8 FB3_5 24 I/O O STD FAST -nADoutLE1 2 3 FB3_11 29 I/O O STD FAST SET -nVMA_IOB 2 9 FB3_15 33 I/O O STD FAST RESET -RA<0> 2 3 FB4_2 87 I/O O STD FAST -RA<2> 2 3 FB4_8 91 I/O O STD FAST -RA<4> 2 3 FB4_12 94 I/O O STD FAST -nDinOE 2 6 FB4_17 97 I/O O STD FAST -RA<1> 2 3 FB5_2 35 I/O O STD FAST -RA<3> 2 3 FB5_8 39 I/O O STD FAST -nROMCS 2 5 FB5_12 42 I/O O STD FAST -nCAS 1 1 FB6_2 74 I/O O STD FAST RESET -nRAMLWE 1 5 FB6_6 77 I/O O STD FAST -nRAMUWE 1 5 FB6_9 79 I/O O STD FAST -nROMWE 1 2 FB6_12 81 I/O O STD FAST -nVPA_FSB 1 2 FB6_15 85 I/O O STD FAST -RA<5> 2 3 FB7_2 50 I/O O STD FAST -RA<6> 2 3 FB7_6 53 I/O O STD FAST -RA<7> 2 3 FB7_9 55 I/O O STD FAST -RA<8> 2 3 FB7_12 58 I/O O STD FAST -RA<9> 2 3 FB7_15 60 I/O O STD FAST -RA<11> 1 1 FB8_2 63 I/O O STD FAST -RA<10> 1 1 FB8_6 65 I/O O STD FAST -nADoutLE0 1 2 FB8_9 67 I/O O STD FAST -nAoutOE 0 0 FB8_12 70 I/O O STD FAST -nDinLE 1 2 FB8_15 72 I/O O STD FAST RESET +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +nBERR_FSB 3 9 FB1_2 11 I/O O STD FAST +nDinOE 2 6 FB1_5 13 I/O O STD FAST +nROMCS 2 5 FB1_8 15 I/O O STD FAST +nVMA_IOB 2 9 FB1_11 17 I/O O STD FAST RESET +RA<10> 1 1 FB1_14 19 I/O O STD FAST +nLDS_IOB 4 6 FB2_11 6 I/O O STD FAST RESET +nUDS_IOB 4 6 FB2_12 7 I/O O STD FAST RESET +nAS_IOB 2 4 FB2_14 8 I/O O STD FAST RESET +nDoutOE 2 4 FB2_17 10 I/O O STD FAST RESET +nRAS 3 8 FB3_5 24 I/O O STD FAST +RA<0> 2 3 FB3_11 29 I/O O STD FAST +RA<11> 1 1 FB3_15 33 I/O O STD FAST +RA<1> 2 3 FB4_2 87 I/O O STD FAST +nOE 1 2 FB4_6 90 I/O O STD FAST +nRAMLWE 1 5 FB4_9 92 I/O O STD FAST +nRAMUWE 1 5 FB4_12 94 I/O O STD FAST +nROMWE 1 2 FB4_15 96 I/O O STD FAST +RA<2> 2 3 FB5_2 35 I/O O STD FAST +RA<3> 2 3 FB5_6 37 I/O O STD FAST +RA<4> 2 3 FB5_9 40 I/O O STD FAST +RA<9> 2 3 FB5_12 42 I/O O STD FAST +nCAS 1 1 FB5_15 46 I/O O STD FAST RESET +RA<5> 2 3 FB6_2 74 I/O O STD FAST +RA<6> 2 3 FB6_6 77 I/O O STD FAST +RA<7> 2 3 FB6_9 79 I/O O STD FAST +RA<8> 2 3 FB6_12 81 I/O O STD FAST +nADoutLE1 2 3 FB6_15 85 I/O O STD FAST SET +nDinLE 2 3 FB7_2 50 I/O O STD FAST RESET +nADoutLE0 1 2 FB7_8 54 I/O O STD FAST +nVPA_FSB 1 2 FB7_12 58 I/O O STD FAST +nDTACK_FSB 22 33 FB8_5 64 I/O O STD FAST RESET +nAoutOE 0 0 FB8_11 68 I/O O STD FAST -** 78 Buried Nodes ** +** 73 Buried Nodes ** -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -$OpTx$FX_DC$606 5 12 FB1_4 STD -fsb/Ready1r 7 17 FB1_7 STD RESET -fsb/VPA__or00001/fsb/VPA__or00001_D2 8 20 FB1_10 STD -fsb/Ready2r 9 22 FB1_12 STD RESET -fsb/VPA 22 30 FB1_15 STD RESET -$OpTx$FX_DC$602 2 5 FB1_17 STD -iobm/VPArr 1 1 FB2_1 STD RESET -iobm/VPArf 1 1 FB2_2 STD RESET -iobm/RESrr 1 1 FB2_3 STD RESET -iobm/RESrf 1 1 FB2_4 STD RESET -iobm/IOREQr 1 1 FB2_5 STD RESET -cnt/RefCnt<3> 1 3 FB2_6 STD RESET -cnt/RefCnt<2> 1 2 FB2_7 STD RESET -cnt/RefCnt<1> 1 1 FB2_8 STD RESET -fsb/BERR1r 2 4 FB2_9 STD RESET -cnt/RefDone 2 10 FB2_10 STD RESET -cnt/TimeoutBPre 3 11 FB2_13 STD RESET -TimeoutB 3 12 FB2_15 STD RESET -TimeoutA 3 10 FB2_16 STD RESET -iobs/IOReady 4 8 FB2_18 STD RESET -IORW0 18 20 FB3_3 STD RESET -iobs/IOACTr 1 1 FB3_4 STD RESET -iobs/Clear1 1 3 FB3_6 STD RESET -fsb/ASrf 1 1 FB3_7 STD RESET -ALE0S 1 2 FB3_8 STD RESET -iobs/PS_FSM_FFd2 14 19 FB3_9 STD RESET -iobs/PS_FSM_FFd1 2 3 FB3_12 STD RESET -IOREQ 14 19 FB3_13 STD RESET -iobm/ETACK 1 6 FB3_14 STD RESET -iobs/IORW1 16 19 FB3_17 STD RESET -ram/BACTr 1 2 FB3_18 STD RESET -ram/RASEL 20 15 FB4_1 STD RESET -cs/nOverlay1 2 3 FB4_3 STD RESET -iobs/Load1 14 18 FB4_6 STD RESET -iobs/Once 17 18 FB4_10 STD RESET -ram/RAMDIS1 18 15 FB4_14 STD RESET -fsb/BERR0r 3 8 FB4_16 STD RESET -IOACT 10 15 FB5_1 STD RESET -iobm/IOS_FSM_FFd7 1 3 FB5_3 STD RESET -iobm/IOS_FSM_FFd6 1 1 FB5_4 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +iobs/IORW1 16 19 FB1_4 STD RESET +IOREQ 14 19 FB1_7 STD RESET +iobs/PS_FSM_FFd2 14 19 FB1_9 STD RESET +BERR_IOBS 4 8 FB1_12 STD RESET +fsb/BERR0r 3 8 FB1_13 STD RESET +cs/nOverlay0 2 7 FB1_15 STD RESET +IORW0 18 20 FB1_16 STD RESET +iobm/RESrr 1 1 FB2_1 STD RESET +iobm/RESrf 1 1 FB2_2 STD RESET +iobm/IOREQr 1 1 FB2_3 STD RESET +iobm/Er2 1 1 FB2_4 STD RESET +iobm/ETACK 1 6 FB2_5 STD RESET +iobm/DTACKrr 1 1 FB2_6 STD RESET +iobm/DTACKrf 1 1 FB2_7 STD RESET +iobm/BERRrr 1 1 FB2_8 STD RESET +iobm/BERRrf 1 1 FB2_9 STD RESET +iobm/ES<3> 3 6 FB2_10 STD RESET +iobm/ES<1> 3 4 FB2_13 STD RESET +iobm/ES<0> 3 7 FB2_15 STD RESET +iobm/ES<4> 4 7 FB2_16 STD RESET +iobm/ES<2> 5 7 FB2_18 STD RESET +ram/RASEL 20 15 FB3_4 STD RESET +ram/RAMDIS2 7 15 FB3_8 STD RESET +iobs/Load1 14 18 FB3_10 STD RESET +ram/RAMReady 16 15 FB3_13 STD RESET +ram/RAMDIS1 18 15 FB3_17 STD RESET +ram/BACTr 1 2 FB4_1 STD RESET +cnt/RefCnt<7> 1 7 FB4_3 STD RESET +cnt/RefCnt<6> 1 6 FB4_4 STD RESET +cnt/RefCnt<5> 1 5 FB4_5 STD RESET +cnt/RefCnt<4> 1 4 FB4_7 STD RESET +cnt/RefCnt<3> 1 3 FB4_8 STD RESET +cnt/RefCnt<2> 1 2 FB4_10 STD RESET +fsb/BERR1r 2 4 FB4_11 STD RESET +cnt/RefDone 2 10 FB4_13 STD RESET +cnt/TimeoutBPre 3 11 FB4_14 STD RESET +TimeoutB 3 12 FB4_16 STD RESET +TimeoutA 3 10 FB4_17 STD RESET +IOU0 3 5 FB4_18 STD RESET +iobs/IOACTr 1 1 FB5_1 STD RESET -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -iobm/IOS_FSM_FFd5 1 1 FB5_5 STD RESET -iobm/IOS_FSM_FFd4 1 1 FB5_6 STD RESET -iobm/BERRrr 1 1 FB5_7 STD RESET -iobm/BERRrf 1 1 FB5_9 STD RESET -cnt/RefCnt<0> 0 0 FB5_10 STD RESET -iobm/IOS_FSM_FFd8 2 4 FB5_11 STD SET -ALE0M 2 7 FB5_13 STD RESET -iobm/IOS_FSM_FFd2 4 9 FB5_14 STD RESET -BERR_IOBS 4 8 FB5_15 STD RESET -iobm/IOS_FSM_FFd3 5 10 FB5_16 STD RESET -IOBERR 8 11 FB5_18 STD RESET -iobm/Er2 1 1 FB6_1 STD RESET -iobm/DTACKrr 1 1 FB6_3 STD RESET -iobm/DTACKrf 1 1 FB6_4 STD RESET -RefAck 1 2 FB6_5 STD RESET -iobs/IOU1 2 2 FB6_7 STD RESET -iobs/IOL1 2 2 FB6_8 STD RESET -iobm/ES<3> 3 6 FB6_10 STD RESET -iobm/ES<1> 3 4 FB6_11 STD RESET -iobm/ES<0> 3 7 FB6_13 STD RESET -IOU0 3 5 FB6_14 STD RESET -IOL0 3 5 FB6_16 STD RESET -iobm/ES<4> 4 7 FB6_17 STD RESET -iobm/ES<2> 5 7 FB6_18 STD RESET -cnt/RefCnt<5> 1 5 FB7_1 STD RESET -cnt/RefCnt<4> 1 4 FB7_3 STD RESET -cs/nOverlay0 2 7 FB7_4 STD RESET -ram/RS_FSM_FFd3 11 14 FB7_5 STD RESET -fsb/Ready0r 3 8 FB7_7 STD RESET -ram/RS_FSM_FFd1 5 10 FB7_8 STD RESET -ram/Once 5 10 FB7_10 STD RESET -ram/RAMDIS2 7 15 FB7_11 STD RESET -ram/RS_FSM_FFd2 13 14 FB7_13 STD RESET -cnt/RefCnt<7> 1 7 FB7_14 STD RESET -cnt/RefCnt<6> 1 6 FB7_16 STD RESET -ram/RAMReady 16 15 FB7_17 STD RESET -iobm/IOS_FSM_FFd1 1 1 FB8_17 STD RESET -iobm/Er 1 1 FB8_18 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +fsb/ASrf 1 1 FB5_3 STD RESET +cnt/RefCnt<1> 1 1 FB5_4 STD RESET +cnt/RefCnt<0> 0 0 FB5_5 STD RESET +$OpTx$$OpTx$FX_DC$355_INV$439 1 2 FB5_7 STD +iobs/IOU1 2 2 FB5_8 STD RESET +iobm/IOS_FSM_FFd2 2 4 FB5_10 STD RESET +iobm/IOS_FSM_FFd1 2 4 FB5_11 STD RESET +ALE0M 2 5 FB5_13 STD RESET +iobm/IOS_FSM_FFd4 4 6 FB5_14 STD RESET +iobm/IOS_FSM_FFd3 5 10 FB5_16 STD RESET +IOACT 6 13 FB5_17 STD RESET +IOBERR 9 14 FB5_18 STD RESET +ram/Once 5 10 FB6_1 STD RESET +ALE0S 1 2 FB6_3 STD RESET +ram/RS_FSM_FFd3 11 14 FB6_4 STD RESET +iobs/PS_FSM_FFd1 2 3 FB6_5 STD RESET +iobs/IOL1 2 2 FB6_7 STD RESET +cs/nOverlay1 2 3 FB6_8 STD RESET +fsb/Ready0r 3 8 FB6_10 STD RESET +IOL0 3 5 FB6_11 STD RESET +iobs/IOReady 4 8 FB6_13 STD RESET +ram/RS_FSM_FFd1 5 10 FB6_14 STD RESET +iobs/Clear1 1 3 FB6_16 STD RESET +ram/RS_FSM_FFd2 13 14 FB6_17 STD RESET +RefAck 1 2 FB6_18 STD RESET +iobm/VPArr 1 1 FB7_15 STD RESET +iobm/VPArf 1 1 FB7_16 STD RESET +iobm/Er 1 1 FB7_17 STD RESET +$OpTx$FX_DC$360 2 2 FB7_18 STD +fsb/Ready2r 9 22 FB8_8 STD RESET +fsb/Ready1r 7 17 FB8_9 STD RESET +iobs/Once 17 18 FB8_13 STD RESET +fsb/VPA 25 31 FB8_18 STD RESET ** 35 Inputs ** -Signal Loc Pin Pin Pin -Name No. Type Use -A_FSB<9> FB1_3 12 I/O I -nAS_FSB FB1_5 13 I/O I -A_FSB<5> FB1_8 15 I/O I -A_FSB<2> FB1_9 16 I/O I -nBERR_IOB FB1_12 18 I/O I -A_FSB<6> FB1_14 19 I/O I -A_FSB<7> FB1_15 20 I/O I -CLK2X_IOB FB1_17 22~ GCK/I/O GCK -nRES FB2_2 99~ GSR/I/O GSR/I -A_FSB<22> FB2_15 9 I/O I -CLK_FSB FB3_2 23~ GCK/I/O GCK -nLDS_FSB FB3_6 25 I/O I -CLK_IOB FB3_8 27~ GCK/I/O GCK/I -A_FSB<10> FB4_5 89 I/O I -A_FSB<1> FB4_6 90 I/O I -A_FSB<12> FB4_9 92 I/O I -A_FSB<14> FB4_11 93 I/O I -A_FSB<16> FB4_14 95 I/O I -A_FSB<17> FB4_15 96 I/O I -E_IOB FB5_17 49 I/O I -A_FSB<18> FB6_5 76 I/O I -A_FSB<3> FB6_8 78 I/O I -A_FSB<15> FB6_11 80 I/O I -A_FSB<13> FB6_14 82 I/O I -A_FSB<11> FB6_17 86 I/O I -nUDS_FSB FB7_5 52 I/O I -nDTACK_IOB FB7_8 54 I/O I -A_FSB<4> FB7_11 56 I/O I -nVPA_IOB FB7_14 59 I/O I -nWE_FSB FB7_17 61 I/O I -A_FSB<8> FB8_5 64 I/O I -A_FSB<23> FB8_8 66 I/O I -A_FSB<21> FB8_11 68 I/O I -A_FSB<20> FB8_14 71 I/O I -A_FSB<19> FB8_17 73 I/O I +Signal Loc Pin Pin Pin +Name No. Type Use +A_FSB<13> FB1_3 12 I/O I +A_FSB<20> FB1_6 14 I/O I +A_FSB<5> FB1_9 16 I/O I +nUDS_FSB FB1_12 18 I/O I +nDTACK_IOB FB1_15 20 I/O I +CLK2X_IOB FB1_17 22~ GCK/I/O GCK +nRES FB2_2 99~ GSR/I/O GSR/I +nLDS_FSB FB2_15 9 I/O I +CLK_FSB FB3_2 23~ GCK/I/O GCK +nVPA_IOB FB3_6 25 I/O I +CLK_IOB FB3_8 27~ GCK/I/O GCK/I +A_FSB<10> FB4_5 89 I/O I +A_FSB<16> FB4_8 91 I/O I +A_FSB<18> FB4_11 93 I/O I +A_FSB<22> FB4_14 95 I/O I +A_FSB<6> FB4_17 97 I/O I +A_FSB<7> FB6_5 76 I/O I +A_FSB<23> FB6_8 78 I/O I +A_FSB<21> FB6_11 80 I/O I +A_FSB<17> FB6_14 82 I/O I +A_FSB<15> FB6_17 86 I/O I +E_IOB FB7_6 53 I/O I +A_FSB<2> FB7_9 55 I/O I +nBERR_IOB FB7_11 56 I/O I +A_FSB<4> FB7_14 59 I/O I +nWE_FSB FB7_15 60 I/O I +A_FSB<19> FB7_17 61 I/O I +A_FSB<14> FB8_2 63 I/O I +A_FSB<12> FB8_6 65 I/O I +A_FSB<11> FB8_8 66 I/O I +A_FSB<3> FB8_9 67 I/O I +nAS_FSB FB8_12 70 I/O I +A_FSB<9> FB8_14 71 I/O I +A_FSB<1> FB8_15 72 I/O I +A_FSB<8> FB8_17 73 I/O I Legend: Pin No. - ~ - User Assigned @@ -245,434 +240,426 @@ Number of function block inputs used/remaining: 36/18 Number of signals used by logic mapping into function block: 36 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 \/5 0 FB1_1 (b) (b) -nDTACK_FSB 23 18<- 0 0 FB1_2 11 I/O O -(unused) 0 0 /\5 0 FB1_3 12 I/O I -$OpTx$FX_DC$606 5 3<- /\3 0 FB1_4 (b) (b) -(unused) 0 0 /\3 2 FB1_5 13 I/O I -nBERR_FSB 3 0 \/2 0 FB1_6 14 I/O O -fsb/Ready1r 7 2<- 0 0 FB1_7 (b) (b) -(unused) 0 0 0 5 FB1_8 15 I/O I -(unused) 0 0 \/3 2 FB1_9 16 I/O I -fsb/VPA__or00001/fsb/VPA__or00001_D2 - 8 3<- 0 0 FB1_10 (b) (b) -nOE 1 0 \/4 0 FB1_11 17 I/O O -fsb/Ready2r 9 4<- 0 0 FB1_12 18 I/O I -(unused) 0 0 \/5 0 FB1_13 (b) (b) -(unused) 0 0 \/5 0 FB1_14 19 I/O I -fsb/VPA 22 17<- 0 0 FB1_15 20 I/O I -(unused) 0 0 /\5 0 FB1_16 (b) (b) -$OpTx$FX_DC$602 2 0 /\2 1 FB1_17 22 GCK/I/O GCK -(unused) 0 0 \/5 0 FB1_18 (b) (b) +(unused) 0 0 \/1 4 FB1_1 (b) (b) +nBERR_FSB 3 1<- \/3 0 FB1_2 11 I/O O +(unused) 0 0 \/5 0 FB1_3 12 I/O I +iobs/IORW1 16 11<- 0 0 FB1_4 (b) (b) +nDinOE 2 0 /\3 0 FB1_5 13 I/O O +(unused) 0 0 \/5 0 FB1_6 14 I/O I +IOREQ 14 9<- 0 0 FB1_7 (b) (b) +nROMCS 2 1<- /\4 0 FB1_8 15 I/O O +iobs/PS_FSM_FFd2 14 10<- /\1 0 FB1_9 16 I/O I +(unused) 0 0 /\5 0 FB1_10 (b) (b) +nVMA_IOB 2 2<- /\5 0 FB1_11 17 I/O O +BERR_IOBS 4 1<- /\2 0 FB1_12 18 I/O I +fsb/BERR0r 3 0 /\1 1 FB1_13 (b) (b) +RA<10> 1 0 \/2 2 FB1_14 19 I/O O +cs/nOverlay0 2 2<- \/5 0 FB1_15 20 I/O I +IORW0 18 13<- 0 0 FB1_16 (b) (b) +(unused) 0 0 /\5 0 FB1_17 22 GCK/I/O GCK +(unused) 0 0 /\3 2 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: $OpTx$FX_DC$602 13: A_FSB<20> 25: fsb/BERR1r - 2: $OpTx$FX_DC$606 14: A_FSB<21> 26: fsb/Ready0r - 3: A_FSB<10> 15: A_FSB<22> 27: fsb/Ready1r - 4: A_FSB<11> 16: A_FSB<23> 28: fsb/Ready2r - 5: A_FSB<12> 17: A_FSB<8> 29: fsb/VPA - 6: A_FSB<13> 18: A_FSB<9> 30: fsb/VPA__or00001/fsb/VPA__or00001_D2 - 7: A_FSB<14> 19: BERR_IOBS 31: iobs/IOReady - 8: A_FSB<15> 20: TimeoutA 32: nADoutLE1 - 9: A_FSB<16> 21: TimeoutB 33: nAS_FSB - 10: A_FSB<17> 22: cs/nOverlay1 34: nDTACK_FSB - 11: A_FSB<18> 23: fsb/ASrf 35: nWE_FSB - 12: A_FSB<19> 24: fsb/BERR0r 36: ram/RAMReady + 1: A_FSB<13> 13: IOBERR 25: iobm/ES<4> + 2: A_FSB<14> 14: IORW0 26: iobm/VPArf + 3: A_FSB<16> 15: TimeoutB 27: iobm/VPArr + 4: A_FSB<17> 16: cs/nOverlay0 28: iobs/IOACTr + 5: A_FSB<18> 17: cs/nOverlay1 29: iobs/IORW1 + 6: A_FSB<19> 18: fsb/ASrf 30: iobs/Once + 7: A_FSB<20> 19: fsb/BERR0r 31: iobs/PS_FSM_FFd1 + 8: A_FSB<21> 20: fsb/BERR1r 32: iobs/PS_FSM_FFd2 + 9: A_FSB<22> 21: iobm/ES<0> 33: nADoutLE1 + 10: A_FSB<23> 22: iobm/ES<1> 34: nAS_FSB + 11: BERR_IOBS 23: iobm/ES<2> 35: nVMA_IOB + 12: IOACT 24: iobm/ES<3> 36: nWE_FSB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -nDTACK_FSB X.XXXXXXXXXXXXXXXXXX.XXXXXXX..XXXXXX.... 32 -$OpTx$FX_DC$606 .....XX.XXXXXXX......X.........X..X..... 12 -nBERR_FSB ............XXXX..X.X..XX.......X....... 9 -fsb/Ready1r .....XX.XXXXXXXX.....XX...X...XXX.X..... 17 -fsb/VPA__or00001/fsb/VPA__or00001_D2 - ..XXXXXXXXXXXXXXXX.X.X.....X......X..... 20 -nOE ................................X.X..... 2 -fsb/Ready2r ..XXXXXXXXXXXXXXXX.X.XX....X....X.X..... 22 -fsb/VPA XXXXXXXXXXXXXXXXXXX..XXXXXX.XXX.X..X.... 30 -$OpTx$FX_DC$602 ............XXXX....X................... 5 +nBERR_FSB ......XXXXX...X...XX.............X...... 9 +iobs/IORW1 XXXXXXXXXX......XX..........XXXXXX.X.... 19 +nDinOE ......XXXX.......................X.X.... 6 +IOREQ XXXXXXXXXX......XX.........X.XXXXX.X.... 19 +nROMCS ......XXXX......X....................... 5 +iobs/PS_FSM_FFd2 XXXXXXXXXX......XX.........X.XXXXX.X.... 19 +nVMA_IOB ...........X........XXXXXXX.......X..... 9 +BERR_IOBS ..........X.X....X.........X.X.XXX...... 8 +fsb/BERR0r ......XXXX....X..XX..............X...... 8 +RA<10> .......X................................ 1 +cs/nOverlay0 ......XXXX.....X.X...............X...... 7 +IORW0 XXXXXXXXXX...X..XX..........XXXXXX.X.... 20 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 +Number of function block inputs used/remaining: 19/35 +Number of signals used by logic mapping into function block: 19 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobm/VPArr 1 0 0 4 FB2_1 (b) (b) -iobm/VPArf 1 0 0 4 FB2_2 99 GSR/I/O GSR/I -iobm/RESrr 1 0 0 4 FB2_3 (b) (b) -iobm/RESrf 1 0 0 4 FB2_4 (b) (b) -iobm/IOREQr 1 0 0 4 FB2_5 1 GTS/I/O (b) -cnt/RefCnt<3> 1 0 0 4 FB2_6 2 GTS/I/O (b) -cnt/RefCnt<2> 1 0 0 4 FB2_7 (b) (b) -cnt/RefCnt<1> 1 0 0 4 FB2_8 3 GTS/I/O (b) -fsb/BERR1r 2 0 0 3 FB2_9 4 GTS/I/O (b) -cnt/RefDone 2 0 0 3 FB2_10 (b) (b) -nLDS_IOB 3 0 0 2 FB2_11 6 I/O O -nUDS_IOB 3 0 0 2 FB2_12 7 I/O O -cnt/TimeoutBPre 3 0 0 2 FB2_13 (b) (b) -nDoutOE 2 0 0 3 FB2_14 8 I/O O -TimeoutB 3 0 0 2 FB2_15 9 I/O I -TimeoutA 3 0 0 2 FB2_16 (b) (b) -nAS_IOB 1 0 0 4 FB2_17 10 I/O O -iobs/IOReady 4 0 0 1 FB2_18 (b) (b) +iobm/RESrr 1 0 0 4 FB2_1 (b) (b) +iobm/RESrf 1 0 0 4 FB2_2 99 GSR/I/O GSR/I +iobm/IOREQr 1 0 0 4 FB2_3 (b) (b) +iobm/Er2 1 0 0 4 FB2_4 (b) (b) +iobm/ETACK 1 0 0 4 FB2_5 1 GTS/I/O (b) +iobm/DTACKrr 1 0 0 4 FB2_6 2 GTS/I/O (b) +iobm/DTACKrf 1 0 0 4 FB2_7 (b) (b) +iobm/BERRrr 1 0 0 4 FB2_8 3 GTS/I/O (b) +iobm/BERRrf 1 0 0 4 FB2_9 4 GTS/I/O (b) +iobm/ES<3> 3 0 0 2 FB2_10 (b) (b) +nLDS_IOB 4 0 0 1 FB2_11 6 I/O O +nUDS_IOB 4 0 0 1 FB2_12 7 I/O O +iobm/ES<1> 3 0 0 2 FB2_13 (b) (b) +nAS_IOB 2 0 0 3 FB2_14 8 I/O O +iobm/ES<0> 3 0 0 2 FB2_15 9 I/O I +iobm/ES<4> 4 0 0 1 FB2_16 (b) (b) +nDoutOE 2 0 0 3 FB2_17 10 I/O O +iobm/ES<2> 5 0 0 0 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: BERR_IOBS 13: cnt/RefCnt<3> 25: iobm/IOS_FSM_FFd5 - 2: IOBERR 14: cnt/RefCnt<4> 26: iobm/IOS_FSM_FFd6 - 3: IOL0 15: cnt/RefCnt<5> 27: iobm/IOS_FSM_FFd7 - 4: IOREQ 16: cnt/RefCnt<6> 28: iobs/IOACTr - 5: IORW0 17: cnt/RefCnt<7> 29: iobs/IOReady - 6: IOU0 18: cnt/RefDone 30: iobs/Once - 7: RefAck 19: cnt/TimeoutBPre 31: iobs/PS_FSM_FFd2 - 8: TimeoutA 20: fsb/ASrf 32: nADoutLE1 - 9: TimeoutB 21: fsb/BERR1r 33: nAS_FSB - 10: cnt/RefCnt<0> 22: iobm/IOS_FSM_FFd2 34: nRES - 11: cnt/RefCnt<1> 23: iobm/IOS_FSM_FFd3 35: nVPA_IOB - 12: cnt/RefCnt<2> 24: iobm/IOS_FSM_FFd4 + 1: IOL0 8: iobm/ES<3> 14: iobm/IOS_FSM_FFd3 + 2: IOREQ 9: iobm/ES<4> 15: iobm/IOS_FSM_FFd4 + 3: IORW0 10: iobm/Er 16: nBERR_IOB + 4: IOU0 11: iobm/Er2 17: nDTACK_IOB + 5: iobm/ES<0> 12: iobm/IOS_FSM_FFd1 18: nRES + 6: iobm/ES<1> 13: iobm/IOS_FSM_FFd2 19: nVMA_IOB + 7: iobm/ES<2> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/VPArr ..................................X..... 1 -iobm/VPArf ..................................X..... 1 -iobm/RESrr .................................X...... 1 -iobm/RESrf .................................X...... 1 -iobm/IOREQr ...X.................................... 1 -cnt/RefCnt<3> .........XXX............................ 3 -cnt/RefCnt<2> .........XX............................. 2 -cnt/RefCnt<1> .........X.............................. 1 -fsb/BERR1r X..................XX...........X....... 4 -cnt/RefDone ......X..XXXXXXXXX...................... 10 -nLDS_IOB ..X.X.................XXXXX............. 7 -nUDS_IOB ....XX................XXXXX............. 7 -cnt/TimeoutBPre .........XXXXXXXX.XX............X....... 11 -nDoutOE ....X................XXXXXX............. 7 -TimeoutB ........XXXXXXXXX.XX............X....... 12 -TimeoutA .......X.XXXXXXX...X............X....... 10 -nAS_IOB ......................XXXXX............. 5 -iobs/IOReady .X.................X.......XXXXXX....... 8 +iobm/RESrr .................X...................... 1 +iobm/RESrf .................X...................... 1 +iobm/IOREQr .X...................................... 1 +iobm/Er2 .........X.............................. 1 +iobm/ETACK ....XXXXX.........X..................... 6 +iobm/DTACKrr ................X....................... 1 +iobm/DTACKrf ................X....................... 1 +iobm/BERRrr ...............X........................ 1 +iobm/BERRrf ...............X........................ 1 +iobm/ES<3> ....XXXX.XX............................. 6 +nLDS_IOB X.X........XXXX......................... 6 +nUDS_IOB ..XX.......XXXX......................... 6 +iobm/ES<1> ....XX...XX............................. 4 +nAS_IOB ...........XXXX......................... 4 +iobm/ES<0> ....XXXXXXX............................. 7 +iobm/ES<4> ....XXXXXXX............................. 7 +nDoutOE ..X.........XXX......................... 4 +iobm/ES<2> ....XXXXXXX............................. 7 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 +Number of function block inputs used/remaining: 33/21 +Number of signals used by logic mapping into function block: 33 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 \/4 1 FB3_1 (b) (b) +(unused) 0 0 /\3 2 FB3_1 (b) (b) (unused) 0 0 \/5 0 FB3_2 23 GCK/I/O GCK -IORW0 18 13<- 0 0 FB3_3 (b) (b) -iobs/IOACTr 1 0 /\4 0 FB3_4 (b) (b) -nRAS 3 0 0 2 FB3_5 24 I/O O -iobs/Clear1 1 0 0 4 FB3_6 25 I/O I -fsb/ASrf 1 0 0 4 FB3_7 (b) (b) -ALE0S 1 0 \/4 0 FB3_8 27 GCK/I/O GCK/I -iobs/PS_FSM_FFd2 14 9<- 0 0 FB3_9 28 I/O (b) -(unused) 0 0 /\5 0 FB3_10 (b) (b) -nADoutLE1 2 0 \/2 1 FB3_11 29 I/O O -iobs/PS_FSM_FFd1 2 2<- \/5 0 FB3_12 30 I/O (b) -IOREQ 14 9<- 0 0 FB3_13 (b) (b) -iobm/ETACK 1 0 /\4 0 FB3_14 32 I/O (b) -nVMA_IOB 2 0 \/2 1 FB3_15 33 I/O O +(unused) 0 0 \/5 0 FB3_3 (b) (b) +ram/RASEL 20 15<- 0 0 FB3_4 (b) (b) +nRAS 3 3<- /\5 0 FB3_5 24 I/O O +(unused) 0 0 /\3 2 FB3_6 25 I/O I +(unused) 0 0 \/3 2 FB3_7 (b) (b) +ram/RAMDIS2 7 3<- \/1 0 FB3_8 27 GCK/I/O GCK/I +(unused) 0 0 \/5 0 FB3_9 28 I/O (b) +iobs/Load1 14 9<- 0 0 FB3_10 (b) (b) +RA<0> 2 0 /\3 0 FB3_11 29 I/O O +(unused) 0 0 \/5 0 FB3_12 30 I/O (b) +ram/RAMReady 16 11<- 0 0 FB3_13 (b) (b) +(unused) 0 0 /\5 0 FB3_14 32 I/O (b) +RA<11> 1 0 /\1 3 FB3_15 33 I/O O (unused) 0 0 \/5 0 FB3_16 (b) (b) -iobs/IORW1 16 11<- 0 0 FB3_17 34 I/O (b) -ram/BACTr 1 0 /\4 0 FB3_18 (b) (b) +ram/RAMDIS1 18 13<- 0 0 FB3_17 34 I/O (b) +(unused) 0 0 /\5 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 13: RefAck 25: iobs/IORW1 - 2: A_FSB<14> 14: cs/nOverlay1 26: iobs/Load1 - 3: A_FSB<16> 15: fsb/ASrf 27: iobs/Once - 4: A_FSB<17> 16: iobm/ES<0> 28: iobs/PS_FSM_FFd1 - 5: A_FSB<18> 17: iobm/ES<1> 29: iobs/PS_FSM_FFd2 - 6: A_FSB<19> 18: iobm/ES<2> 30: nADoutLE1 - 7: A_FSB<20> 19: iobm/ES<3> 31: nAS_FSB - 8: A_FSB<21> 20: iobm/ES<4> 32: nVMA_IOB - 9: A_FSB<22> 21: iobm/VPArf 33: nWE_FSB - 10: A_FSB<23> 22: iobm/VPArr 34: ram/RAMDIS1 - 11: IOACT 23: iobs/Clear1 35: ram/RAMDIS2 - 12: IORW0 24: iobs/IOACTr + 1: A_FSB<10> 12: A_FSB<23> 23: nADoutLE1 + 2: A_FSB<13> 13: RefAck 24: nAS_FSB + 3: A_FSB<14> 14: cnt/RefCnt<5> 25: nWE_FSB + 4: A_FSB<16> 15: cnt/RefCnt<6> 26: ram/BACTr + 5: A_FSB<17> 16: cnt/RefCnt<7> 27: ram/Once + 6: A_FSB<18> 17: cnt/RefDone 28: ram/RAMDIS1 + 7: A_FSB<19> 18: cs/nOverlay1 29: ram/RAMDIS2 + 8: A_FSB<1> 19: fsb/ASrf 30: ram/RASEL + 9: A_FSB<20> 20: iobs/Once 31: ram/RS_FSM_FFd1 + 10: A_FSB<21> 21: iobs/PS_FSM_FFd1 32: ram/RS_FSM_FFd2 + 11: A_FSB<22> 22: iobs/PS_FSM_FFd2 33: ram/RS_FSM_FFd3 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -IORW0 XXXXXXXXXX.X.XX.........X.XXXXX.X....... 20 -iobs/IOACTr ..........X............................. 1 -nRAS .......XXX..XX................X..XX..... 8 -iobs/Clear1 ...........................XXX.......... 3 -fsb/ASrf ..............................X......... 1 -ALE0S ...........................XX........... 2 -iobs/PS_FSM_FFd2 XXXXXXXXXX...XX........X..XXXXX.X....... 19 -nADoutLE1 ......................X..X...X.......... 3 -iobs/PS_FSM_FFd1 .......................X...XX........... 3 -IOREQ XXXXXXXXXX...XX........X..XXXXX.X....... 19 -iobm/ETACK ...............XXXXX...........X........ 6 -nVMA_IOB ..........X....XXXXXXX.........X........ 9 -iobs/IORW1 XXXXXXXXXX...XX.........X.XXXXX.X....... 19 -ram/BACTr ..............X...............X......... 2 +ram/RASEL .........XXX.XXXXXX....X.XX...XXX....... 15 +nRAS .........XXXX....X.....X...XX........... 8 +ram/RAMDIS2 .........XXX.XXXXXX....X..X.X.XXX....... 15 +iobs/Load1 .XXXXXX.XXXX.....XXXXXXXX............... 18 +RA<0> X......X.....................X.......... 3 +ram/RAMReady .........XXX.XXXXXX....X.XX...XXX....... 15 +RA<11> ......X................................. 1 +ram/RAMDIS1 .........XXX.XXXXXX....X.XX...XXX....... 15 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 36/18 -Number of signals used by logic mapping into function block: 36 +Number of function block inputs used/remaining: 29/25 +Number of signals used by logic mapping into function block: 29 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RASEL 20 15<- 0 0 FB4_1 (b) (b) -RA<0> 2 2<- /\5 0 FB4_2 87 I/O O -cs/nOverlay1 2 0 /\2 1 FB4_3 (b) (b) -(unused) 0 0 0 5 FB4_4 (b) -(unused) 0 0 \/4 1 FB4_5 89 I/O I -iobs/Load1 14 9<- 0 0 FB4_6 90 I/O I -(unused) 0 0 /\5 0 FB4_7 (b) (b) -RA<2> 2 0 \/2 1 FB4_8 91 I/O O -(unused) 0 0 \/5 0 FB4_9 92 I/O I -iobs/Once 17 12<- 0 0 FB4_10 (b) (b) -(unused) 0 0 /\5 0 FB4_11 93 I/O I -RA<4> 2 0 \/3 0 FB4_12 94 I/O O -(unused) 0 0 \/5 0 FB4_13 (b) (b) -ram/RAMDIS1 18 13<- 0 0 FB4_14 95 I/O I -(unused) 0 0 /\5 0 FB4_15 96 I/O I -fsb/BERR0r 3 0 \/2 0 FB4_16 (b) (b) -nDinOE 2 2<- \/5 0 FB4_17 97 I/O O -(unused) 0 0 \/5 0 FB4_18 (b) (b) +ram/BACTr 1 0 0 4 FB4_1 (b) (b) +RA<1> 2 0 0 3 FB4_2 87 I/O O +cnt/RefCnt<7> 1 0 0 4 FB4_3 (b) (b) +cnt/RefCnt<6> 1 0 0 4 FB4_4 (b) (b) +cnt/RefCnt<5> 1 0 0 4 FB4_5 89 I/O I +nOE 1 0 0 4 FB4_6 90 I/O O +cnt/RefCnt<4> 1 0 0 4 FB4_7 (b) (b) +cnt/RefCnt<3> 1 0 0 4 FB4_8 91 I/O I +nRAMLWE 1 0 0 4 FB4_9 92 I/O O +cnt/RefCnt<2> 1 0 0 4 FB4_10 (b) (b) +fsb/BERR1r 2 0 0 3 FB4_11 93 I/O I +nRAMUWE 1 0 0 4 FB4_12 94 I/O O +cnt/RefDone 2 0 0 3 FB4_13 (b) (b) +cnt/TimeoutBPre 3 0 0 2 FB4_14 95 I/O I +nROMWE 1 0 0 4 FB4_15 96 I/O O +TimeoutB 3 0 0 2 FB4_16 (b) (b) +TimeoutA 3 0 0 2 FB4_17 97 I/O I +IOU0 3 0 0 2 FB4_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 13: A_FSB<23> 25: iobs/Once - 2: A_FSB<12> 14: A_FSB<3> 26: iobs/PS_FSM_FFd1 - 3: A_FSB<13> 15: A_FSB<5> 27: iobs/PS_FSM_FFd2 - 4: A_FSB<14> 16: TimeoutB 28: nADoutLE1 - 5: A_FSB<16> 17: cnt/RefCnt<5> 29: nAS_FSB - 6: A_FSB<17> 18: cnt/RefCnt<6> 30: nWE_FSB - 7: A_FSB<18> 19: cnt/RefCnt<7> 31: ram/BACTr - 8: A_FSB<19> 20: cnt/RefDone 32: ram/Once - 9: A_FSB<1> 21: cs/nOverlay0 33: ram/RASEL - 10: A_FSB<20> 22: cs/nOverlay1 34: ram/RS_FSM_FFd1 - 11: A_FSB<21> 23: fsb/ASrf 35: ram/RS_FSM_FFd2 - 12: A_FSB<22> 24: fsb/BERR0r 36: ram/RS_FSM_FFd3 + 1: A_FSB<11> 11: cnt/RefCnt<4> 21: iobs/PS_FSM_FFd2 + 2: A_FSB<2> 12: cnt/RefCnt<5> 22: nADoutLE1 + 3: BERR_IOBS 13: cnt/RefCnt<6> 23: nAS_FSB + 4: RefAck 14: cnt/RefCnt<7> 24: nLDS_FSB + 5: TimeoutA 15: cnt/RefDone 25: nUDS_FSB + 6: TimeoutB 16: cnt/TimeoutBPre 26: nWE_FSB + 7: cnt/RefCnt<0> 17: fsb/ASrf 27: ram/RAMDIS1 + 8: cnt/RefCnt<1> 18: fsb/BERR1r 28: ram/RAMDIS2 + 9: cnt/RefCnt<2> 19: iobs/IOU1 29: ram/RASEL + 10: cnt/RefCnt<3> 20: iobs/PS_FSM_FFd1 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RASEL ..........XXX...XXXX.XX.....X.XX.XXX.... 15 -RA<0> X.......X.......................X....... 3 -cs/nOverlay1 ....................X.X.....X........... 3 -iobs/Load1 ..XXXXXX.XXXX........XX.XXXXXX.......... 18 -RA<2> .X...........X..................X....... 3 -iobs/Once ..XXXXXX.XXXX........XX.XXXXXX.......... 18 -RA<4> ...X..........X.................X....... 3 -ram/RAMDIS1 ..........XXX...XXXX.XX.....X.XX.XXX.... 15 -fsb/BERR0r .........XXXX..X......XX....X........... 8 -nDinOE .........XXXX...............XX.......... 6 +ram/BACTr ................X.....X................. 2 +RA<1> XX..........................X........... 3 +cnt/RefCnt<7> ......XXXXXXX........................... 7 +cnt/RefCnt<6> ......XXXXXX............................ 6 +cnt/RefCnt<5> ......XXXXX............................. 5 +nOE ......................X..X.............. 2 +cnt/RefCnt<4> ......XXXX.............................. 4 +cnt/RefCnt<3> ......XXX............................... 3 +nRAMLWE ......................XX.XXX............ 5 +cnt/RefCnt<2> ......XX................................ 2 +fsb/BERR1r ..X.............XX....X................. 4 +nRAMUWE ......................X.XXXX............ 5 +cnt/RefDone ...X..XXXXXXXXX......................... 10 +cnt/TimeoutBPre ......XXXXXXXX.XX.....X................. 11 +nROMWE ......................X..X.............. 2 +TimeoutB .....XXXXXXXXX.XX.....X................. 12 +TimeoutA ....X.XXXXXXX...X.....X................. 10 +IOU0 ..................XXXX..X............... 5 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 +Number of function block inputs used/remaining: 30/24 +Number of signals used by logic mapping into function block: 30 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -IOACT 10 5<- 0 0 FB5_1 (b) (b) -RA<1> 2 0 /\3 0 FB5_2 35 I/O O -iobm/IOS_FSM_FFd7 1 0 0 4 FB5_3 (b) (b) -iobm/IOS_FSM_FFd6 1 0 0 4 FB5_4 (b) (b) -iobm/IOS_FSM_FFd5 1 0 0 4 FB5_5 36 I/O (b) -iobm/IOS_FSM_FFd4 1 0 0 4 FB5_6 37 I/O (b) -iobm/BERRrr 1 0 0 4 FB5_7 (b) (b) -RA<3> 2 0 0 3 FB5_8 39 I/O O -iobm/BERRrf 1 0 0 4 FB5_9 40 I/O (b) -cnt/RefCnt<0> 0 0 0 5 FB5_10 (b) (b) -iobm/IOS_FSM_FFd8 2 0 0 3 FB5_11 41 I/O (b) -nROMCS 2 0 0 3 FB5_12 42 I/O O +iobs/IOACTr 1 0 /\4 0 FB5_1 (b) (b) +RA<2> 2 0 0 3 FB5_2 35 I/O O +fsb/ASrf 1 0 0 4 FB5_3 (b) (b) +cnt/RefCnt<1> 1 0 0 4 FB5_4 (b) (b) +cnt/RefCnt<0> 0 0 0 5 FB5_5 36 I/O (b) +RA<3> 2 0 0 3 FB5_6 37 I/O O +$OpTx$$OpTx$FX_DC$355_INV$439 + 1 0 0 4 FB5_7 (b) (b) +iobs/IOU1 2 0 0 3 FB5_8 39 I/O (b) +RA<4> 2 0 0 3 FB5_9 40 I/O O +iobm/IOS_FSM_FFd2 2 0 0 3 FB5_10 (b) (b) +iobm/IOS_FSM_FFd1 2 0 0 3 FB5_11 41 I/O (b) +RA<9> 2 0 0 3 FB5_12 42 I/O O ALE0M 2 0 0 3 FB5_13 (b) (b) -iobm/IOS_FSM_FFd2 4 0 0 1 FB5_14 43 I/O (b) -BERR_IOBS 4 0 0 1 FB5_15 46 I/O (b) -iobm/IOS_FSM_FFd3 5 0 0 0 FB5_16 (b) (b) -(unused) 0 0 \/5 0 FB5_17 49 I/O I -IOBERR 8 5<- \/2 0 FB5_18 (b) (b) +iobm/IOS_FSM_FFd4 4 0 0 1 FB5_14 43 I/O (b) +nCAS 1 0 \/1 3 FB5_15 46 I/O O +iobm/IOS_FSM_FFd3 5 1<- \/1 0 FB5_16 (b) (b) +IOACT 6 1<- 0 0 FB5_17 49 I/O (b) +IOBERR 9 4<- 0 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<11> 13: fsb/ASrf 25: iobm/IOS_FSM_FFd7 - 2: A_FSB<13> 14: iobm/BERRrf 26: iobm/IOS_FSM_FFd8 - 3: A_FSB<20> 15: iobm/BERRrr 27: iobm/RESrf - 4: A_FSB<21> 16: iobm/DTACKrf 28: iobm/RESrr - 5: A_FSB<22> 17: iobm/DTACKrr 29: iobs/IOACTr - 6: A_FSB<23> 18: iobm/ETACK 30: iobs/Once - 7: A_FSB<2> 19: iobm/IOREQr 31: iobs/PS_FSM_FFd2 - 8: A_FSB<4> 20: iobm/IOS_FSM_FFd1 32: nADoutLE1 - 9: BERR_IOBS 21: iobm/IOS_FSM_FFd3 33: nAS_FSB - 10: CLK_IOB 22: iobm/IOS_FSM_FFd4 34: nBERR_IOB - 11: IOBERR 23: iobm/IOS_FSM_FFd5 35: ram/RASEL - 12: cs/nOverlay1 24: iobm/IOS_FSM_FFd6 + 1: A_FSB<12> 11: IOBERR 21: iobm/IOS_FSM_FFd2 + 2: A_FSB<13> 12: cnt/RefCnt<0> 22: iobm/IOS_FSM_FFd3 + 3: A_FSB<14> 13: fsb/ASrf 23: iobm/IOS_FSM_FFd4 + 4: A_FSB<19> 14: iobm/BERRrf 24: iobm/RESrf + 5: A_FSB<20> 15: iobm/BERRrr 25: iobm/RESrr + 6: A_FSB<3> 16: iobm/DTACKrf 26: iobs/Load1 + 7: A_FSB<4> 17: iobm/DTACKrr 27: nAS_FSB + 8: A_FSB<5> 18: iobm/ETACK 28: nBERR_IOB + 9: CLK_IOB 19: iobm/IOREQr 29: nUDS_FSB + 10: IOACT 20: iobm/IOS_FSM_FFd1 30: ram/RASEL Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -IOACT .........X...XXXXXX.XXXXXXXX............ 15 -RA<1> X.....X...........................X..... 3 -iobm/IOS_FSM_FFd7 .........X........X......X.............. 3 -iobm/IOS_FSM_FFd6 ........................X............... 1 -iobm/IOS_FSM_FFd5 .......................X................ 1 -iobm/IOS_FSM_FFd4 ......................X................. 1 -iobm/BERRrr .................................X...... 1 -RA<3> .X.....X..........................X..... 3 -iobm/BERRrf .................................X...... 1 +iobs/IOACTr .........X.............................. 1 +RA<2> X....X.......................X.......... 3 +fsb/ASrf ..........................X............. 1 +cnt/RefCnt<1> ...........X............................ 1 cnt/RefCnt<0> ........................................ 0 -iobm/IOS_FSM_FFd8 .........X........XX.....X.............. 4 -nROMCS ..XXXX.....X............................ 5 -ALE0M ..................X.XXXXXX.............. 7 -iobm/IOS_FSM_FFd2 .........X...XXXXX..X.....XX............ 9 -BERR_IOBS ........X.X.X...............XXXXX....... 8 -iobm/IOS_FSM_FFd3 .........X...XXXXX..XX....XX............ 10 -IOBERR .........XX..XXXXX..X.....XX.....X...... 11 +RA<3> .X....X......................X.......... 3 +$OpTx$$OpTx$FX_DC$355_INV$439 + ............X.............X............. 2 +iobs/IOU1 .........................X..X........... 2 +RA<4> ..X....X.....................X.......... 3 +iobm/IOS_FSM_FFd2 ...................XXXX................. 4 +iobm/IOS_FSM_FFd1 ...................XXXX................. 4 +RA<9> ...XX........................X.......... 3 +ALE0M ..................XXXXX................. 5 +iobm/IOS_FSM_FFd4 ........X.........XXXXX................. 6 +nCAS .............................X.......... 1 +iobm/IOS_FSM_FFd3 ........X....XXXXX...XXXX............... 10 +IOACT ........X....XXXXXXXXXXXX............... 13 +IOBERR ........X.X..XXXXX.XXXXXX..X............ 14 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB6 *********************************** -Number of function block inputs used/remaining: 24/30 -Number of signals used by logic mapping into function block: 24 +Number of function block inputs used/remaining: 38/16 +Number of signals used by logic mapping into function block: 38 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobm/Er2 1 0 0 4 FB6_1 (b) (b) -nCAS 1 0 0 4 FB6_2 74 I/O O -iobm/DTACKrr 1 0 0 4 FB6_3 (b) (b) -iobm/DTACKrf 1 0 0 4 FB6_4 (b) (b) -RefAck 1 0 0 4 FB6_5 76 I/O I -nRAMLWE 1 0 0 4 FB6_6 77 I/O O -iobs/IOU1 2 0 0 3 FB6_7 (b) (b) -iobs/IOL1 2 0 0 3 FB6_8 78 I/O I -nRAMUWE 1 0 0 4 FB6_9 79 I/O O -iobm/ES<3> 3 0 0 2 FB6_10 (b) (b) -iobm/ES<1> 3 0 0 2 FB6_11 80 I/O I -nROMWE 1 0 0 4 FB6_12 81 I/O O -iobm/ES<0> 3 0 0 2 FB6_13 (b) (b) -IOU0 3 0 0 2 FB6_14 82 I/O I -nVPA_FSB 1 0 0 4 FB6_15 85 I/O O -IOL0 3 0 0 2 FB6_16 (b) (b) -iobm/ES<4> 4 0 0 1 FB6_17 86 I/O I -iobm/ES<2> 5 0 0 0 FB6_18 (b) (b) +ram/Once 5 0 0 0 FB6_1 (b) (b) +RA<5> 2 0 0 3 FB6_2 74 I/O O +ALE0S 1 0 \/4 0 FB6_3 (b) (b) +ram/RS_FSM_FFd3 11 6<- 0 0 FB6_4 (b) (b) +iobs/PS_FSM_FFd1 2 0 /\2 1 FB6_5 76 I/O I +RA<6> 2 0 0 3 FB6_6 77 I/O O +iobs/IOL1 2 0 0 3 FB6_7 (b) (b) +cs/nOverlay1 2 0 0 3 FB6_8 78 I/O I +RA<7> 2 0 0 3 FB6_9 79 I/O O +fsb/Ready0r 3 0 0 2 FB6_10 (b) (b) +IOL0 3 0 0 2 FB6_11 80 I/O I +RA<8> 2 0 0 3 FB6_12 81 I/O O +iobs/IOReady 4 0 0 1 FB6_13 (b) (b) +ram/RS_FSM_FFd1 5 0 0 0 FB6_14 82 I/O I +nADoutLE1 2 0 0 3 FB6_15 85 I/O O +iobs/Clear1 1 0 \/4 0 FB6_16 (b) (b) +ram/RS_FSM_FFd2 13 8<- 0 0 FB6_17 86 I/O I +RefAck 1 0 /\4 0 FB6_18 (b) (b) Signals Used by Logic in Function Block - 1: fsb/VPA 9: iobs/IOL1 17: nLDS_FSB - 2: iobm/ES<0> 10: iobs/IOU1 18: nUDS_FSB - 3: iobm/ES<1> 11: iobs/Load1 19: nWE_FSB - 4: iobm/ES<2> 12: iobs/PS_FSM_FFd1 20: ram/RAMDIS1 - 5: iobm/ES<3> 13: iobs/PS_FSM_FFd2 21: ram/RAMDIS2 - 6: iobm/ES<4> 14: nADoutLE1 22: ram/RASEL - 7: iobm/Er 15: nAS_FSB 23: ram/RS_FSM_FFd1 - 8: iobm/Er2 16: nDTACK_IOB 24: ram/RS_FSM_FFd2 + 1: A_FSB<15> 14: cnt/RefCnt<6> 27: iobs/PS_FSM_FFd1 + 2: A_FSB<16> 15: cnt/RefCnt<7> 28: iobs/PS_FSM_FFd2 + 3: A_FSB<17> 16: cnt/RefDone 29: nADoutLE1 + 4: A_FSB<18> 17: cs/nOverlay0 30: nAS_FSB + 5: A_FSB<21> 18: cs/nOverlay1 31: nLDS_FSB + 6: A_FSB<22> 19: fsb/ASrf 32: ram/BACTr + 7: A_FSB<23> 20: fsb/Ready0r 33: ram/Once + 8: A_FSB<6> 21: iobs/Clear1 34: ram/RAMReady + 9: A_FSB<7> 22: iobs/IOACTr 35: ram/RASEL + 10: A_FSB<8> 23: iobs/IOL1 36: ram/RS_FSM_FFd1 + 11: A_FSB<9> 24: iobs/IOReady 37: ram/RS_FSM_FFd2 + 12: IOBERR 25: iobs/Load1 38: ram/RS_FSM_FFd3 + 13: cnt/RefCnt<5> 26: iobs/Once Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/Er2 ......X................................. 1 -nCAS .....................X.................. 1 -iobm/DTACKrr ...............X........................ 1 -iobm/DTACKrf ...............X........................ 1 -RefAck ......................XX................ 2 -nRAMLWE ..............X.X.XXX................... 5 -iobs/IOU1 ..........X......X...................... 2 -iobs/IOL1 ..........X.....X....................... 2 -nRAMUWE ..............X..XXXX................... 5 -iobm/ES<3> .XXXX.XX................................ 6 -iobm/ES<1> .XX...XX................................ 4 -nROMWE ..............X...X..................... 2 -iobm/ES<0> .XXXXXXX................................ 7 -IOU0 .........X.XXX...X...................... 5 -nVPA_FSB X.............X......................... 2 -IOL0 ........X..XXX..X....................... 5 -iobm/ES<4> .XXXXXXX................................ 7 -iobm/ES<2> .XXXXXXX................................ 7 +ram/Once ....XXX..........XX..........X..X..XXX.. 10 +RA<5> X......X..........................X..... 3 +ALE0S ..........................XX............ 2 +ram/RS_FSM_FFd3 ....XXX.....XXXX.XX..........X..X..XXX.. 14 +iobs/PS_FSM_FFd1 .....................X....XX............ 3 +RA<6> .X......X.........................X..... 3 +iobs/IOL1 ........................X.....X......... 2 +cs/nOverlay1 ................X.X..........X.......... 3 +RA<7> ..X......X........................X..... 3 +fsb/Ready0r ....XXX..........XXX.........X...X...... 8 +IOL0 ......................X...XXX.X......... 5 +RA<8> ...X......X.......................X..... 3 +iobs/IOReady ...........X......X..X.X.X.XXX.......... 8 +ram/RS_FSM_FFd1 ....XXX..........XX..........X..X..XXX.. 10 +nADoutLE1 ....................X...X...X........... 3 +iobs/Clear1 ..........................XXX........... 3 +ram/RS_FSM_FFd2 ....XXX.....XXXX.XX..........X.X...XXX.. 14 +RefAck ...................................XX... 2 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 +Number of function block inputs used/remaining: 11/43 +Number of signals used by logic mapping into function block: 11 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/RefCnt<5> 1 0 /\1 3 FB7_1 (b) (b) -RA<5> 2 0 0 3 FB7_2 50 I/O O -cnt/RefCnt<4> 1 0 0 4 FB7_3 (b) (b) -cs/nOverlay0 2 0 \/3 0 FB7_4 (b) (b) -ram/RS_FSM_FFd3 11 6<- 0 0 FB7_5 52 I/O I -RA<6> 2 0 /\3 0 FB7_6 53 I/O O -fsb/Ready0r 3 0 0 2 FB7_7 (b) (b) -ram/RS_FSM_FFd1 5 0 0 0 FB7_8 54 I/O I -RA<7> 2 0 \/3 0 FB7_9 55 I/O O -ram/Once 5 3<- \/3 0 FB7_10 (b) (b) -ram/RAMDIS2 7 3<- \/1 0 FB7_11 56 I/O I -RA<8> 2 1<- \/4 0 FB7_12 58 I/O O -ram/RS_FSM_FFd2 13 8<- 0 0 FB7_13 (b) (b) -cnt/RefCnt<7> 1 0 /\4 0 FB7_14 59 I/O I -RA<9> 2 0 \/1 2 FB7_15 60 I/O O -cnt/RefCnt<6> 1 1<- \/5 0 FB7_16 (b) (b) -ram/RAMReady 16 11<- 0 0 FB7_17 61 I/O I -(unused) 0 0 /\5 0 FB7_18 (b) (b) +(unused) 0 0 0 5 FB7_1 (b) +nDinLE 2 0 0 3 FB7_2 50 I/O O +(unused) 0 0 0 5 FB7_3 (b) +(unused) 0 0 0 5 FB7_4 (b) +(unused) 0 0 0 5 FB7_5 52 I/O +(unused) 0 0 0 5 FB7_6 53 I/O I +(unused) 0 0 0 5 FB7_7 (b) +nADoutLE0 1 0 0 4 FB7_8 54 I/O O +(unused) 0 0 0 5 FB7_9 55 I/O I +(unused) 0 0 0 5 FB7_10 (b) +(unused) 0 0 0 5 FB7_11 56 I/O I +nVPA_FSB 1 0 0 4 FB7_12 58 I/O O +(unused) 0 0 0 5 FB7_13 (b) +(unused) 0 0 0 5 FB7_14 59 I/O I +iobm/VPArr 1 0 0 4 FB7_15 60 I/O I +iobm/VPArf 1 0 0 4 FB7_16 (b) (b) +iobm/Er 1 0 0 4 FB7_17 61 I/O I +$OpTx$FX_DC$360 2 0 0 3 FB7_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<15> 13: A_FSB<9> 25: fsb/ASrf - 2: A_FSB<16> 14: cnt/RefCnt<0> 26: fsb/Ready0r - 3: A_FSB<17> 15: cnt/RefCnt<1> 27: nAS_FSB - 4: A_FSB<18> 16: cnt/RefCnt<2> 28: ram/BACTr - 5: A_FSB<19> 17: cnt/RefCnt<3> 29: ram/Once - 6: A_FSB<20> 18: cnt/RefCnt<4> 30: ram/RAMDIS2 - 7: A_FSB<21> 19: cnt/RefCnt<5> 31: ram/RAMReady - 8: A_FSB<22> 20: cnt/RefCnt<6> 32: ram/RASEL - 9: A_FSB<23> 21: cnt/RefCnt<7> 33: ram/RS_FSM_FFd1 - 10: A_FSB<6> 22: cnt/RefDone 34: ram/RS_FSM_FFd2 - 11: A_FSB<7> 23: cs/nOverlay0 35: ram/RS_FSM_FFd3 - 12: A_FSB<8> 24: cs/nOverlay1 + 1: ALE0M 5: cs/nOverlay1 9: iobm/IOS_FSM_FFd4 + 2: ALE0S 6: fsb/VPA 10: nAS_FSB + 3: A_FSB<22> 7: iobm/IOS_FSM_FFd1 11: nVPA_IOB + 4: E_IOB 8: iobm/IOS_FSM_FFd3 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/RefCnt<5> .............XXXXX...................... 5 -RA<5> X........X.....................X........ 3 -cnt/RefCnt<4> .............XXXX....................... 4 -cs/nOverlay0 .....XXXX.............X.X.X............. 7 -ram/RS_FSM_FFd3 ......XXX.........XXXX.XX.X.X...XXX..... 14 -RA<6> .X........X....................X........ 3 -fsb/Ready0r ......XXX..............XXXX...X......... 8 -ram/RS_FSM_FFd1 ......XXX..............XX.X.X...XXX..... 10 -RA<7> ..X........X...................X........ 3 -ram/Once ......XXX..............XX.X.X...XXX..... 10 -ram/RAMDIS2 ......XXX.........XXXX.XX.X.XX..XXX..... 15 -RA<8> ...X........X..................X........ 3 -ram/RS_FSM_FFd2 ......XXX.........XXXX.XX.XX....XXX..... 14 -cnt/RefCnt<7> .............XXXXXXX.................... 7 -RA<9> ....XX.........................X........ 3 -cnt/RefCnt<6> .............XXXXXX..................... 6 -ram/RAMReady ......XXX.........XXXX.XX.XXX...XXX..... 15 +nDinLE ......XXX............................... 3 +nADoutLE0 XX...................................... 2 +nVPA_FSB .....X...X.............................. 2 +iobm/VPArr ..........X............................. 1 +iobm/VPArf ..........X............................. 1 +iobm/Er ...X.................................... 1 +$OpTx$FX_DC$360 ..X.X................................... 2 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 8/46 -Number of signals used by logic mapping into function block: 8 +Number of function block inputs used/remaining: 38/16 +Number of signals used by logic mapping into function block: 38 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 0 5 FB8_1 (b) -RA<11> 1 0 0 4 FB8_2 63 I/O O -(unused) 0 0 0 5 FB8_3 (b) -(unused) 0 0 0 5 FB8_4 (b) -(unused) 0 0 0 5 FB8_5 64 I/O I -RA<10> 1 0 0 4 FB8_6 65 I/O O -(unused) 0 0 0 5 FB8_7 (b) -(unused) 0 0 0 5 FB8_8 66 I/O I -nADoutLE0 1 0 0 4 FB8_9 67 I/O O -(unused) 0 0 0 5 FB8_10 (b) -(unused) 0 0 0 5 FB8_11 68 I/O I -nAoutOE 0 0 0 5 FB8_12 70 I/O O -(unused) 0 0 0 5 FB8_13 (b) -(unused) 0 0 0 5 FB8_14 71 I/O I -nDinLE 1 0 0 4 FB8_15 72 I/O O -(unused) 0 0 0 5 FB8_16 (b) -iobm/IOS_FSM_FFd1 1 0 0 4 FB8_17 73 I/O I -iobm/Er 1 0 0 4 FB8_18 (b) (b) +(unused) 0 0 /\5 0 FB8_1 (b) (b) +(unused) 0 0 /\5 0 FB8_2 63 I/O I +(unused) 0 0 \/2 3 FB8_3 (b) (b) +(unused) 0 0 \/5 0 FB8_4 (b) (b) +nDTACK_FSB 22 17<- 0 0 FB8_5 64 I/O O +(unused) 0 0 /\5 0 FB8_6 65 I/O I +(unused) 0 0 /\5 0 FB8_7 (b) (b) +fsb/Ready2r 9 4<- 0 0 FB8_8 66 I/O I +fsb/Ready1r 7 6<- /\4 0 FB8_9 67 I/O I +(unused) 0 0 /\5 0 FB8_10 (b) (b) +nAoutOE 0 0 /\1 4 FB8_11 68 I/O O +(unused) 0 0 \/5 0 FB8_12 70 I/O I +iobs/Once 17 12<- 0 0 FB8_13 (b) (b) +(unused) 0 0 /\5 0 FB8_14 71 I/O I +(unused) 0 0 /\2 3 FB8_15 72 I/O I +(unused) 0 0 \/5 0 FB8_16 (b) (b) +(unused) 0 0 \/5 0 FB8_17 73 I/O I +fsb/VPA 25 20<- 0 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: ALE0M 4: A_FSB<21> 7: iobm/IOS_FSM_FFd3 - 2: ALE0S 5: E_IOB 8: iobm/IOS_FSM_FFd4 - 3: A_FSB<19> 6: iobm/IOS_FSM_FFd2 + 1: $OpTx$$OpTx$FX_DC$355_INV$439 14: A_FSB<21> 27: fsb/Ready1r + 2: $OpTx$FX_DC$360 15: A_FSB<22> 28: fsb/Ready2r + 3: A_FSB<10> 16: A_FSB<23> 29: fsb/VPA + 4: A_FSB<11> 17: A_FSB<8> 30: iobs/IOReady + 5: A_FSB<12> 18: A_FSB<9> 31: iobs/Once + 6: A_FSB<13> 19: BERR_IOBS 32: iobs/PS_FSM_FFd1 + 7: A_FSB<14> 20: TimeoutA 33: iobs/PS_FSM_FFd2 + 8: A_FSB<15> 21: TimeoutB 34: nADoutLE1 + 9: A_FSB<16> 22: cs/nOverlay1 35: nAS_FSB + 10: A_FSB<17> 23: fsb/ASrf 36: nDTACK_FSB + 11: A_FSB<18> 24: fsb/BERR0r 37: nWE_FSB + 12: A_FSB<19> 25: fsb/BERR1r 38: ram/RAMReady + 13: A_FSB<20> 26: fsb/Ready0r Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -RA<11> ..X..................................... 1 -RA<10> ...X.................................... 1 -nADoutLE0 XX...................................... 2 +nDTACK_FSB .XXXXXXXXXXXXXXXXXXXXXXXXXXX.X...XXXXX.. 33 +fsb/Ready2r ..XXXXXXXXXXXXXXXX.X.XX....X......X.X... 22 +fsb/Ready1r .....XX.XXXXXXXX.....XX...X..X...XX.X... 17 nAoutOE ........................................ 0 -nDinLE ......XX................................ 2 -iobm/IOS_FSM_FFd1 .....X.................................. 1 -iobm/Er ....X................................... 1 +iobs/Once .....XX.XXXXXXXX.....XX.......XXXXX.X... 18 +fsb/VPA X.XXXXXXXXXXXXXXXXXXXX.XXXXXXX...X..XX.. 31 0----+----1----+----2----+----3----+----4 0 0 0 0 ******************************* Equations ******************************** @@ -680,41 +667,44 @@ iobm/Er ....X................................... 1 ********** Mapped Logic ********** -$OpTx$FX_DC$602 <= ((NOT TimeoutB) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20))); +$OpTx$$OpTx$FX_DC$355_INV$439 <= (nAS_FSB AND NOT fsb/ASrf); -$OpTx$FX_DC$606 <= ((A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB) - OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20)) - OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB)); +$OpTx$FX_DC$360 <= NOT (A_FSB(22) + XOR +$OpTx$FX_DC$360 <= NOT (cs/nOverlay1); FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); -ALE0M_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND - NOT iobm/IOREQr) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND - NOT iobm/IOS_FSM_FFd8)); +ALE0M_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0'); ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); -BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) - OR (iobs/Once AND BERR_IOBS AND NOT IOBERR AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) - OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) - OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); +BERR_IOBS_T <= ((iobs/Once AND NOT BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1) + OR (BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/Once AND BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT BERR_IOBS AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1)); + + + + + + + + + + + + + + @@ -759,54 +749,42 @@ BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); -IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND - iobm/BERRrf AND iobm/BERRrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND - iobm/DTACKrf AND iobm/DTACKrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND - iobm/RESrf AND iobm/RESrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND - iobm/DTACKrf AND iobm/DTACKrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND - iobm/RESrf AND iobm/RESrr) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND - NOT iobm/IOREQr) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND - NOT iobm/IOS_FSM_FFd8) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND - NOT iobm/IOREQr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND - NOT iobm/IOS_FSM_FFd8) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND - iobm/BERRrf AND iobm/BERRrr)); +IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/RESrf AND iobm/RESrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr) + OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/ETACK) + OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); -IOBERR_T <= ((nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND +IOBERR_T <= ((nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND + CLK_IOB AND iobm/ETACK) + OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) - OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/RESrf AND iobm/RESrr) - OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND + OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) - OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) - OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND + OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND CLK_IOB AND iobm/RESrf AND iobm/RESrr) - OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/ETACK) - OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/ETACK) - OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); + OR (iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOS_FSM_FFd1 AND IOBERR) + OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/ETACK) + OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) + OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/RESrf AND iobm/RESrr)); FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) @@ -841,8 +819,7 @@ IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND nADoutLE1)); FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); -IORW0_T <= ((EXP22_.EXP) - OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND +IORW0_T <= ((A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND @@ -856,6 +833,7 @@ IORW0_T <= ((EXP22_.EXP) OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (EXP15_.EXP) OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND @@ -872,6 +850,10 @@ IORW0_T <= ((EXP22_.EXP) A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND @@ -1025,15 +1007,7 @@ fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); -fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - NOT nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - NOT nADoutLE1) - OR (nAS_FSB AND NOT fsb/ASrf) +fsb/Ready1r_D <= ((nAoutOE_OBUF.EXP) OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND NOT iobs/IOReady) @@ -1042,7 +1016,12 @@ fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND NOT iobs/IOReady) OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady)); + NOT fsb/Ready1r AND NOT iobs/IOReady) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + NOT nADoutLE1) + OR (nAS_FSB AND NOT fsb/ASrf)); FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) @@ -1080,64 +1059,48 @@ fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); -fsb/VPA_D <= ((EXP18_.EXP) - OR (BERR_IOBS AND fsb/VPA AND fsb/ASrf) - OR (fsb/BERR0r AND fsb/VPA AND fsb/ASrf) - OR (fsb/BERR1r AND fsb/VPA AND fsb/ASrf) - OR (fsb/VPA AND fsb/ASrf AND - fsb/VPA__or00001/fsb/VPA__or00001_D2) - OR (fsb/VPA AND fsb/ASrf AND NOT $OpTx$FX_DC$602) - OR ($OpTx$FX_DC$602.EXP) - OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT nAS_FSB AND - $OpTx$FX_DC$606) - OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND fsb/ASrf AND - $OpTx$FX_DC$606) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady) +fsb/VPA_D <= ((EXP27_.EXP) OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) - OR (BERR_IOBS AND fsb/VPA AND NOT nAS_FSB) - OR (fsb/BERR0r AND fsb/VPA AND NOT nAS_FSB) - OR (fsb/BERR1r AND fsb/VPA AND NOT nAS_FSB) - OR (fsb/VPA AND NOT nAS_FSB AND - fsb/VPA__or00001/fsb/VPA__or00001_D2) - OR (fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$FX_DC$602)); - - -fsb/VPA__or00001/fsb/VPA__or00001_D2 <= ((A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND + NOT nADoutLE1 AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND + NOT nADoutLE1 AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (EXP36_.EXP) + OR (NOT A_FSB(22) AND TimeoutB AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(21) AND TimeoutB AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND + NOT iobs/IOReady AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND + fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (BERR_IOBS AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (fsb/BERR0r AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (fsb/BERR1r AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(23) AND TimeoutB AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (NOT A_FSB(20) AND TimeoutB AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439)); FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); @@ -1190,39 +1153,37 @@ FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0'); FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0'); -FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd2,CLK2X_IOB,'0','0'); +FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND + NOT iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2)); FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd2_D <= ((iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/ETACK) - OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/BERRrf AND - iobm/BERRrr) - OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/DTACKrf AND - iobm/DTACKrr) - OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/RESrf AND - iobm/RESrr)); +iobm/IOS_FSM_FFd2_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND + NOT iobm/IOS_FSM_FFd1)); FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4) +iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND + iobm/RESrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3) OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK) - OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND - iobm/BERRrr) OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) - OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND - iobm/RESrr)); + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND + iobm/BERRrr)); -FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd5,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd5: FDCPE port map (iobm/IOS_FSM_FFd5,iobm/IOS_FSM_FFd6,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd6: FDCPE port map (iobm/IOS_FSM_FFd6,iobm/IOS_FSM_FFd7,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd7: FDCPE port map (iobm/IOS_FSM_FFd7,iobm/IOS_FSM_FFd7_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd7_D <= (NOT CLK_IOB AND iobm/IOREQr AND iobm/IOS_FSM_FFd8); - -FDCPE_iobm/IOS_FSM_FFd8: FDCPE port map (iobm/IOS_FSM_FFd8,iobm/IOS_FSM_FFd8_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd8_D <= ((NOT iobm/IOS_FSM_FFd8 AND NOT iobm/IOS_FSM_FFd1) - OR (NOT CLK_IOB AND iobm/IOREQr AND NOT iobm/IOS_FSM_FFd1)); +FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd4_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd4_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND CLK_IOB) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0'); @@ -1242,14 +1203,13 @@ FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Loa FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); iobs/IORW1_T <= ((iobs/Once) OR (NOT nADoutLE1) - OR (nVMA_IOB_OBUF.EXP) + OR (nBERR_FSB_OBUF.EXP) OR (NOT nWE_FSB AND NOT iobs/IORW1) OR (nAS_FSB AND NOT fsb/ASrf) OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) OR (NOT A_FSB(23) AND NOT A_FSB(20)) @@ -1258,26 +1218,26 @@ iobs/IORW1_T <= ((iobs/Once) FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) - OR (iobs/Once AND IOBERR AND iobs/IOReady AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) - OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) - OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); + OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1); FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0'); iobs/Load1_D <= ((iobs/Once) OR (NOT nADoutLE1) + OR (ram/RAMDIS2.EXP) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21)) OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND cs/nOverlay1) OR (NOT A_FSB(23) AND NOT A_FSB(20)) @@ -1285,13 +1245,13 @@ iobs/Load1_D <= ((iobs/Once) OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); -iobs/Once_D <= ((RA_2_OBUF.EXP) - OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) +iobs/Once_D <= ((A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1) OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once) + OR (EXP35_.EXP) OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once) OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) @@ -1308,7 +1268,10 @@ iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); -iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +iobs/PS_FSM_FFd2_D <= ((nVMA_IOB_OBUF.EXP) + OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + NOT fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) @@ -1316,16 +1279,6 @@ iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND iobs/IOACTr) OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND @@ -1333,9 +1286,7 @@ iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - NOT fsb/ASrf AND nADoutLE1)); + NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); @@ -1345,34 +1296,39 @@ nADoutLE1_D <= ((iobs/Load1) OR (NOT iobs/Clear1 AND NOT nADoutLE1)); FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); -nAS_IOB_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7); +nAS_IOB_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1)); nAoutOE <= '0'; nBERR_FSB <= ((nAS_FSB) - OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r) OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND - NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); + NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r) + OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); -nDTACK_FSB_D <= ((EXP21_.EXP) +nDTACK_FSB_D <= ((EXP28_.EXP) + OR (A_FSB(23) AND TimeoutB AND nDTACK_FSB) + OR (NOT A_FSB(22) AND TimeoutB AND nDTACK_FSB) + OR (A_FSB(21) AND TimeoutB AND nDTACK_FSB) OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) + OR (EXP31_.EXP) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) - OR ($OpTx$FX_DC$606.EXP) OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) @@ -1380,28 +1336,15 @@ nDTACK_FSB_D <= ((EXP21_.EXP) A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - nDTACK_FSB AND NOT nADoutLE1) - OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND - nDTACK_FSB) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND - nDTACK_FSB) OR (BERR_IOBS AND nDTACK_FSB) OR (fsb/BERR0r AND nDTACK_FSB) OR (fsb/BERR1r AND nDTACK_FSB) OR (nAS_FSB AND NOT fsb/ASrf) - OR (nDTACK_FSB AND NOT $OpTx$FX_DC$602)); + OR (NOT A_FSB(20) AND TimeoutB AND nDTACK_FSB)); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0'); -nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); +nDinLE_D <= ((iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd3)); nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) @@ -1410,16 +1353,17 @@ nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0'); nDoutOE_D <= ((NOT IORW0) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2)); FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB,nLDS_IOB_D,NOT CLK2X_IOB,'0','0'); nLDS_IOB_D <= ((NOT IOL0) - OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (IORW0 AND iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND + NOT iobm/IOS_FSM_FFd1)); nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); @@ -1440,19 +1384,21 @@ nRAS <= NOT (((RefAck) NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); -nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay1))); +nROMCS <= NOT (((NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay1) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)))); nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB,nUDS_IOB_D,NOT CLK2X_IOB,'0','0'); nUDS_IOB_D <= ((NOT IOU0) - OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (IORW0 AND iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND + NOT iobm/IOS_FSM_FFd1)); FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB,nVMA_IOB_T,CLK2X_IOB,'0','0'); nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND @@ -1467,22 +1413,21 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0'); ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); -ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND fsb/ASrf) - OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3)); + NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); -ram/RAMDIS1_D <= ((RA_4_OBUF.EXP) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND +ram/RAMDIS1_D <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) @@ -1492,6 +1437,7 @@ ram/RAMDIS1_D <= ((RA_4_OBUF.EXP) NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (EXP16_.EXP) OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND @@ -1547,7 +1493,7 @@ ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) - OR (cnt/RefCnt(5).EXP) + OR (A_FSB_19_IBUF$BUF0.EXP) OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1) OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) @@ -1567,7 +1513,18 @@ ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); -ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +ram/RASEL_D <= ((EXP17_.EXP) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) @@ -1579,17 +1536,6 @@ ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf) - OR (nDinOE_OBUF.EXP) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) @@ -1646,10 +1592,10 @@ ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) @@ -1713,55 +1659,55 @@ Device : XC95144XL-10-TQ100 Pin Signal Pin Signal No. Name No. Name 1 KPR 51 VCC - 2 KPR 52 nUDS_FSB - 3 KPR 53 RA<6> - 4 KPR 54 nDTACK_IOB - 5 VCC 55 RA<7> - 6 nLDS_IOB 56 A_FSB<4> + 2 KPR 52 KPR + 3 KPR 53 E_IOB + 4 KPR 54 nADoutLE0 + 5 VCC 55 A_FSB<2> + 6 nLDS_IOB 56 nBERR_IOB 7 nUDS_IOB 57 VCC - 8 nDoutOE 58 RA<8> - 9 A_FSB<22> 59 nVPA_IOB - 10 nAS_IOB 60 RA<9> - 11 nDTACK_FSB 61 nWE_FSB - 12 A_FSB<9> 62 GND - 13 nAS_FSB 63 RA<11> - 14 nBERR_FSB 64 A_FSB<8> - 15 A_FSB<5> 65 RA<10> - 16 A_FSB<2> 66 A_FSB<23> - 17 nOE 67 nADoutLE0 - 18 nBERR_IOB 68 A_FSB<21> - 19 A_FSB<6> 69 GND - 20 A_FSB<7> 70 nAoutOE - 21 GND 71 A_FSB<20> - 22 CLK2X_IOB 72 nDinLE - 23 CLK_FSB 73 A_FSB<19> - 24 nRAS 74 nCAS - 25 nLDS_FSB 75 GND - 26 VCC 76 A_FSB<18> - 27 CLK_IOB 77 nRAMLWE - 28 KPR 78 A_FSB<3> - 29 nADoutLE1 79 nRAMUWE - 30 KPR 80 A_FSB<15> - 31 GND 81 nROMWE - 32 KPR 82 A_FSB<13> - 33 nVMA_IOB 83 TDO + 8 nAS_IOB 58 nVPA_FSB + 9 nLDS_FSB 59 A_FSB<4> + 10 nDoutOE 60 nWE_FSB + 11 nBERR_FSB 61 A_FSB<19> + 12 A_FSB<13> 62 GND + 13 nDinOE 63 A_FSB<14> + 14 A_FSB<20> 64 nDTACK_FSB + 15 nROMCS 65 A_FSB<12> + 16 A_FSB<5> 66 A_FSB<11> + 17 nVMA_IOB 67 A_FSB<3> + 18 nUDS_FSB 68 nAoutOE + 19 RA<10> 69 GND + 20 nDTACK_IOB 70 nAS_FSB + 21 GND 71 A_FSB<9> + 22 CLK2X_IOB 72 A_FSB<1> + 23 CLK_FSB 73 A_FSB<8> + 24 nRAS 74 RA<5> + 25 nVPA_IOB 75 GND + 26 VCC 76 A_FSB<7> + 27 CLK_IOB 77 RA<6> + 28 KPR 78 A_FSB<23> + 29 RA<0> 79 RA<7> + 30 KPR 80 A_FSB<21> + 31 GND 81 RA<8> + 32 KPR 82 A_FSB<17> + 33 RA<11> 83 TDO 34 KPR 84 GND - 35 RA<1> 85 nVPA_FSB - 36 KPR 86 A_FSB<11> - 37 KPR 87 RA<0> + 35 RA<2> 85 nADoutLE1 + 36 KPR 86 A_FSB<15> + 37 RA<3> 87 RA<1> 38 VCC 88 VCC - 39 RA<3> 89 A_FSB<10> - 40 KPR 90 A_FSB<1> - 41 KPR 91 RA<2> - 42 nROMCS 92 A_FSB<12> - 43 KPR 93 A_FSB<14> - 44 GND 94 RA<4> - 45 TDI 95 A_FSB<16> - 46 KPR 96 A_FSB<17> - 47 TMS 97 nDinOE + 39 KPR 89 A_FSB<10> + 40 RA<4> 90 nOE + 41 KPR 91 A_FSB<16> + 42 RA<9> 92 nRAMLWE + 43 KPR 93 A_FSB<18> + 44 GND 94 nRAMUWE + 45 TDI 95 A_FSB<22> + 46 nCAS 96 nROMWE + 47 TMS 97 A_FSB<6> 48 TCK 98 VCC - 49 E_IOB 99 nRES - 50 RA<5> 100 GND + 49 KPR 99 nRES + 50 nDinLE 100 GND Legend : NC = Not Connected, unbonded pin diff --git a/cpld/XC95144XL/MXSE_html/fit/asciidoc.htm b/cpld/XC95144/MXSE_html/fit/asciidoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/asciidoc.htm rename to cpld/XC95144/MXSE_html/fit/asciidoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/backtop.jpg b/cpld/XC95144/MXSE_html/fit/backtop.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/backtop.jpg rename to cpld/XC95144/MXSE_html/fit/backtop.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/beginstraight.gif b/cpld/XC95144/MXSE_html/fit/beginstraight.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/beginstraight.gif rename to cpld/XC95144/MXSE_html/fit/beginstraight.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/blank.gif b/cpld/XC95144/MXSE_html/fit/blank.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/blank.gif rename to cpld/XC95144/MXSE_html/fit/blank.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/blank.htm b/cpld/XC95144/MXSE_html/fit/blank.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/blank.htm rename to cpld/XC95144/MXSE_html/fit/blank.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/briefview.jpg b/cpld/XC95144/MXSE_html/fit/briefview.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/briefview.jpg rename to cpld/XC95144/MXSE_html/fit/briefview.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/check.htm b/cpld/XC95144/MXSE_html/fit/check.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/check.htm rename to cpld/XC95144/MXSE_html/fit/check.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/checkNS4.htm b/cpld/XC95144/MXSE_html/fit/checkNS4.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/checkNS4.htm rename to cpld/XC95144/MXSE_html/fit/checkNS4.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/contact.gif b/cpld/XC95144/MXSE_html/fit/contact.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/contact.gif rename to cpld/XC95144/MXSE_html/fit/contact.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/coolrunnerII_logo.jpg b/cpld/XC95144/MXSE_html/fit/coolrunnerII_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/coolrunnerII_logo.jpg rename to cpld/XC95144/MXSE_html/fit/coolrunnerII_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/coolrunner_logo.jpg b/cpld/XC95144/MXSE_html/fit/coolrunner_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/coolrunner_logo.jpg rename to cpld/XC95144/MXSE_html/fit/coolrunner_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/defeqns.htm b/cpld/XC95144/MXSE_html/fit/defeqns.htm similarity index 77% rename from cpld/XC95144XL/MXSE_html/fit/defeqns.htm rename to cpld/XC95144/MXSE_html/fit/defeqns.htm index 6cc386b..e54cdd9 100644 --- a/cpld/XC95144XL/MXSE_html/fit/defeqns.htm +++ b/cpld/XC95144/MXSE_html/fit/defeqns.htm @@ -6,41 +6,44 @@ ********** Mapped Logic ********** -$OpTx$FX_DC$602 <= ((NOT TimeoutB) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20))); +$OpTx$$OpTx$FX_DC$355_INV$439 <= (nAS_FSB AND NOT fsb/ASrf); -$OpTx$FX_DC$606 <= ((A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB) -
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) -
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20)) -
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB)); +$OpTx$FX_DC$360 <= NOT (A_FSB(22) +
      XOR +
     $OpTx$FX_DC$360 <= NOT (cs/nOverlay1); FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); -
     ALE0M_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND -
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND -
      NOT iobm/IOREQr) -
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND -
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND -
      NOT iobm/IOS_FSM_FFd8)); +
     ALE0M_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0');
     ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); -
     BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) -
      OR (iobs/Once AND BERR_IOBS AND NOT IOBERR AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) -
      OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) -
      OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); +
     BERR_IOBS_T <= ((iobs/Once AND NOT BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1) +
      OR (BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) +
      OR (iobs/Once AND BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) +
      OR (iobs/Once AND NOT BERR_IOBS AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1)); + + + + + + + + + + + + + + @@ -85,54 +88,42 @@ FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); -
     IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND -
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND -
      iobm/BERRrf AND iobm/BERRrr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND -
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND -
      iobm/DTACKrf AND iobm/DTACKrr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND -
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND -
      iobm/RESrf AND iobm/RESrr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND -
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND -
      iobm/DTACKrf AND iobm/DTACKrr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND -
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND -
      iobm/RESrf AND iobm/RESrr) -
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND -
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND -
      NOT iobm/IOREQr) -
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND -
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND -
      NOT iobm/IOS_FSM_FFd8) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND -
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND -
      NOT iobm/IOREQr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND -
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND -
      NOT iobm/IOS_FSM_FFd8) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND -
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND -
      iobm/BERRrf AND iobm/BERRrr)); +
     IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND +
      iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/RESrf AND iobm/RESrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND +
      iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/ETACK) +
      OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND +
      iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND +
      iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); -
     IOBERR_T <= ((nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND +
     IOBERR_T <= ((nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND +
      CLK_IOB AND iobm/ETACK) +
      OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND
      CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) -
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND -
      CLK_IOB AND iobm/RESrf AND iobm/RESrr) -
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND +
      OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND
      CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) -
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND -
      CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) -
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND +
      OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND
      CLK_IOB AND iobm/RESrf AND iobm/RESrr) -
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND -
      CLK_IOB AND iobm/ETACK) -
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND -
      CLK_IOB AND iobm/ETACK) -
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND -
      CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); +
      OR (iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOS_FSM_FFd1 AND IOBERR) +
      OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/ETACK) +
      OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) +
      OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) +
      OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/RESrf AND iobm/RESrr)); FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE);
     IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) @@ -167,8 +158,7 @@ FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0');
      nADoutLE1)); FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); -
     IORW0_T <= ((EXP22_.EXP) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND +
     IORW0_T <= ((A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND
      IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND @@ -182,6 +172,7 @@ FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0');
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND
      NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND
      fsb/ASrf AND nADoutLE1) +
      OR (EXP15_.EXP)
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND @@ -198,6 +189,10 @@ FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0');
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
      OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND
      NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
      OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND @@ -351,15 +346,7 @@ FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0');
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); -
     fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND -
      NOT nADoutLE1) -
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND -
      NOT nADoutLE1) -
      OR (nAS_FSB AND NOT fsb/ASrf) +
     fsb/Ready1r_D <= ((nAoutOE_OBUF.EXP)
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady)
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND
      NOT iobs/IOReady) @@ -368,7 +355,12 @@ FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0');
      NOT fsb/Ready1r AND NOT iobs/IOReady)
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND NOT iobs/IOReady)); +
      NOT fsb/Ready1r AND NOT iobs/IOReady) +
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      NOT nADoutLE1) +
      OR (nAS_FSB AND NOT fsb/ASrf)); FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0');
     fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) @@ -406,64 +398,48 @@ FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0');
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); -
     fsb/VPA_D <= ((EXP18_.EXP) -
      OR (BERR_IOBS AND fsb/VPA AND fsb/ASrf) -
      OR (fsb/BERR0r AND fsb/VPA AND fsb/ASrf) -
      OR (fsb/BERR1r AND fsb/VPA AND fsb/ASrf) -
      OR (fsb/VPA AND fsb/ASrf AND -
      fsb/VPA__or00001/fsb/VPA__or00001_D2) -
      OR (fsb/VPA AND fsb/ASrf AND NOT $OpTx$FX_DC$602) -
      OR ($OpTx$FX_DC$602.EXP) -
      OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT nAS_FSB AND -
      $OpTx$FX_DC$606) -
      OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND fsb/ASrf AND -
      $OpTx$FX_DC$606) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND -
      NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND -
      NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady) +
     fsb/VPA_D <= ((EXP27_.EXP)
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) -
      OR (BERR_IOBS AND fsb/VPA AND NOT nAS_FSB) -
      OR (fsb/BERR0r AND fsb/VPA AND NOT nAS_FSB) -
      OR (fsb/BERR1r AND fsb/VPA AND NOT nAS_FSB) -
      OR (fsb/VPA AND NOT nAS_FSB AND -
      fsb/VPA__or00001/fsb/VPA__or00001_D2) -
      OR (fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$FX_DC$602)); - - -fsb/VPA__or00001/fsb/VPA__or00001_D2 <= ((A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND -
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND -
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND -
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND -
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); +
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND +
      NOT nADoutLE1 AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND +
      NOT nADoutLE1 AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (EXP36_.EXP) +
      OR (NOT A_FSB(22) AND TimeoutB AND fsb/VPA AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (A_FSB(21) AND TimeoutB AND fsb/VPA AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND +
      NOT iobs/IOReady AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND +
      fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (BERR_IOBS AND fsb/VPA AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (fsb/BERR0r AND fsb/VPA AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (fsb/BERR1r AND fsb/VPA AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (A_FSB(23) AND TimeoutB AND fsb/VPA AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (NOT A_FSB(20) AND TimeoutB AND fsb/VPA AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439)); FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); @@ -516,39 +492,37 @@ FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0'); FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0'); -FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd2,CLK2X_IOB,'0','0'); +FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,'0','0'); +
     iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND +
      NOT iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2)); FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0'); -
     iobm/IOS_FSM_FFd2_D <= ((iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/ETACK) -
      OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/BERRrf AND -
      iobm/BERRrr) -
      OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/DTACKrf AND -
      iobm/DTACKrr) -
      OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/RESrf AND -
      iobm/RESrr)); +
     iobm/IOS_FSM_FFd2_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND +
      NOT iobm/IOS_FSM_FFd1)); FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0'); -
     iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4) +
     iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND +
      iobm/RESrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3)
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK) -
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND -
      iobm/BERRrr)
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND
      iobm/DTACKrr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND -
      iobm/RESrr)); +
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND +
      iobm/BERRrr)); -FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd5,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd5: FDCPE port map (iobm/IOS_FSM_FFd5,iobm/IOS_FSM_FFd6,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd6: FDCPE port map (iobm/IOS_FSM_FFd6,iobm/IOS_FSM_FFd7,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd7: FDCPE port map (iobm/IOS_FSM_FFd7,iobm/IOS_FSM_FFd7_D,CLK2X_IOB,'0','0'); -
     iobm/IOS_FSM_FFd7_D <= (NOT CLK_IOB AND iobm/IOREQr AND iobm/IOS_FSM_FFd8); - -FDCPE_iobm/IOS_FSM_FFd8: FDCPE port map (iobm/IOS_FSM_FFd8,iobm/IOS_FSM_FFd8_D,CLK2X_IOB,'0','0'); -
     iobm/IOS_FSM_FFd8_D <= ((NOT iobm/IOS_FSM_FFd8 AND NOT iobm/IOS_FSM_FFd1) -
      OR (NOT CLK_IOB AND iobm/IOREQr AND NOT iobm/IOS_FSM_FFd1)); +FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd4_D,CLK2X_IOB,'0','0'); +
     iobm/IOS_FSM_FFd4_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1) +
      OR (iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND +
      iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2 AND CLK_IOB) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0'); @@ -568,14 +542,13 @@ FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Loa FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0');
     iobs/IORW1_T <= ((iobs/Once)
      OR (NOT nADoutLE1) -
      OR (nVMA_IOB_OBUF.EXP) +
      OR (nBERR_FSB_OBUF.EXP)
      OR (NOT nWE_FSB AND NOT iobs/IORW1)
      OR (nAS_FSB AND NOT fsb/ASrf)
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1)
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18))
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17))
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16))
      OR (NOT A_FSB(23) AND NOT A_FSB(20)) @@ -584,26 +557,26 @@ FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0');
     iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) -
      OR (iobs/Once AND IOBERR AND iobs/IOReady AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) -
      OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) -
      OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); +
      OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/IOACTr AND IOBERR AND nADoutLE1) +
      OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) +
      OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1); FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0');
     iobs/Load1_D <= ((iobs/Once)
      OR (NOT nADoutLE1) +
      OR (ram/RAMDIS2.EXP)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1)
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16))
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB)
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18))
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) -
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21))
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
      cs/nOverlay1)
      OR (NOT A_FSB(23) AND NOT A_FSB(20)) @@ -611,13 +584,13 @@ FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0');
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); -
     iobs/Once_D <= ((RA_2_OBUF.EXP) -
      OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) +
     iobs/Once_D <= ((A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)
      OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1)
      OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND
      NOT iobs/Once) +
      OR (EXP35_.EXP)
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once)
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once)
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) @@ -634,7 +607,10 @@ FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_
      OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); -
     iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +
     iobs/PS_FSM_FFd2_D <= ((nVMA_IOB_OBUF.EXP) +
      OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND +
      NOT fsb/ASrf AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) @@ -642,16 +618,6 @@ FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
      OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND
      iobs/IOACTr)
      OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND @@ -659,9 +625,7 @@ FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_
      OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND -
      NOT fsb/ASrf AND nADoutLE1)); +
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); @@ -671,34 +635,39 @@ FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0');
      OR (NOT iobs/Clear1 AND NOT nADoutLE1)); FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); -
     nAS_IOB_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND -
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7); +
     nAS_IOB_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1)); nAoutOE <= '0'; nBERR_FSB <= ((nAS_FSB) -
      OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r)
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND -
      NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); +
      NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r) +
      OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); -
     nDTACK_FSB_D <= ((EXP21_.EXP) +
     nDTACK_FSB_D <= ((EXP28_.EXP) +
      OR (A_FSB(23) AND TimeoutB AND nDTACK_FSB) +
      OR (NOT A_FSB(22) AND TimeoutB AND nDTACK_FSB) +
      OR (A_FSB(21) AND TimeoutB AND nDTACK_FSB)
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND
      nDTACK_FSB) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND -
      NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady)
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND
      NOT iobs/IOReady AND nDTACK_FSB) +
      OR (EXP31_.EXP) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady)
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady)
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) -
      OR ($OpTx$FX_DC$606.EXP)
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) @@ -706,28 +675,15 @@ FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0');
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND
      nDTACK_FSB AND NOT nADoutLE1) -
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND -
      nDTACK_FSB AND NOT nADoutLE1) -
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND -
      nDTACK_FSB) -
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND -
      nDTACK_FSB)
      OR (BERR_IOBS AND nDTACK_FSB)
      OR (fsb/BERR0r AND nDTACK_FSB)
      OR (fsb/BERR1r AND nDTACK_FSB)
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (nDTACK_FSB AND NOT $OpTx$FX_DC$602)); +
      OR (NOT A_FSB(20) AND TimeoutB AND nDTACK_FSB)); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0'); -
     nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); +
     nDinLE_D <= ((iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd3)); nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) @@ -736,16 +692,17 @@ nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0');
     nDoutOE_D <= ((NOT IORW0) -
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND -
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND
      NOT iobm/IOS_FSM_FFd2)); FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB,nLDS_IOB_D,NOT CLK2X_IOB,'0','0');
     nLDS_IOB_D <= ((NOT IOL0) -
      OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND -
      NOT iobm/IOS_FSM_FFd5) -
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND -
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1) +
      OR (IORW0 AND iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND +
      NOT iobm/IOS_FSM_FFd1)); nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); @@ -766,19 +723,21 @@ nRAS <= NOT (((RefAck)
      NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); -nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND -
      NOT cs/nOverlay1))); +nROMCS <= NOT (((NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      NOT cs/nOverlay1) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)))); nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB,nUDS_IOB_D,NOT CLK2X_IOB,'0','0');
     nUDS_IOB_D <= ((NOT IOU0) -
      OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND -
      NOT iobm/IOS_FSM_FFd5) -
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND -
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1) +
      OR (IORW0 AND iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND +
      NOT iobm/IOS_FSM_FFd1)); FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB,nVMA_IOB_T,CLK2X_IOB,'0','0');
     nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND @@ -793,22 +752,21 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0');
     ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); -
     ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
     ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND fsb/ASrf) -
      OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3)); +
      NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); -
     ram/RAMDIS1_D <= ((RA_4_OBUF.EXP) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
     ram/RAMDIS1_D <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) @@ -818,6 +776,7 @@ FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0');
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (EXP16_.EXP)
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND @@ -873,7 +832,7 @@ FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0')
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) -
      OR (cnt/RefCnt(5).EXP) +
      OR (A_FSB_19_IBUF$BUF0.EXP)
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1)
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) @@ -893,7 +852,18 @@ FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0')
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); -
     ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
     ram/RASEL_D <= ((EXP17_.EXP) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) @@ -905,17 +875,6 @@ FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0');
      OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND
      ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND
      NOT fsb/ASrf) -
      OR (nDinOE_OBUF.EXP) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
      NOT ram/RS_FSM_FFd3) @@ -972,10 +931,10 @@ FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf)
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf)
      OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND
      ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND
      cnt/RefCnt(7)) diff --git a/cpld/XC95144XL/MXSE_html/fit/education.gif b/cpld/XC95144/MXSE_html/fit/education.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/education.gif rename to cpld/XC95144/MXSE_html/fit/education.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/endmkt.gif b/cpld/XC95144/MXSE_html/fit/endmkt.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/endmkt.gif rename to cpld/XC95144/MXSE_html/fit/endmkt.gif diff --git a/cpld/XC95144/MXSE_html/fit/eqns.htm b/cpld/XC95144/MXSE_html/fit/eqns.htm new file mode 100644 index 0000000..fc52be8 --- /dev/null +++ b/cpld/XC95144/MXSE_html/fit/eqns.htm @@ -0,0 +1,1733 @@ + + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/eqns.js b/cpld/XC95144/MXSE_html/fit/eqns.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/eqns.js rename to cpld/XC95144/MXSE_html/fit/eqns.js diff --git a/cpld/XC95144XL/MXSE_html/fit/equations.gif b/cpld/XC95144/MXSE_html/fit/equations.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/equations.gif rename to cpld/XC95144/MXSE_html/fit/equations.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/equations.htm b/cpld/XC95144/MXSE_html/fit/equations.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/equations.htm rename to cpld/XC95144/MXSE_html/fit/equations.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/equationsdoc.htm b/cpld/XC95144/MXSE_html/fit/equationsdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/equationsdoc.htm rename to cpld/XC95144/MXSE_html/fit/equationsdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/errors.js b/cpld/XC95144/MXSE_html/fit/errors.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/errors.js rename to cpld/XC95144/MXSE_html/fit/errors.js diff --git a/cpld/XC95144XL/MXSE_html/fit/errors1.gif b/cpld/XC95144/MXSE_html/fit/errors1.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/errors1.gif rename to cpld/XC95144/MXSE_html/fit/errors1.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/errors2.gif b/cpld/XC95144/MXSE_html/fit/errors2.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/errors2.gif rename to cpld/XC95144/MXSE_html/fit/errors2.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/errorsdoc.htm b/cpld/XC95144/MXSE_html/fit/errorsdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/errorsdoc.htm rename to cpld/XC95144/MXSE_html/fit/errorsdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/errs.htm b/cpld/XC95144/MXSE_html/fit/errs.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/errs.htm rename to cpld/XC95144/MXSE_html/fit/errs.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/failtable.htm b/cpld/XC95144/MXSE_html/fit/failtable.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/failtable.htm rename to cpld/XC95144/MXSE_html/fit/failtable.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/failtable.js b/cpld/XC95144/MXSE_html/fit/failtable.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/failtable.js rename to cpld/XC95144/MXSE_html/fit/failtable.js diff --git a/cpld/XC95144XL/MXSE_html/fit/failtabledoc.htm b/cpld/XC95144/MXSE_html/fit/failtabledoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/failtabledoc.htm rename to cpld/XC95144/MXSE_html/fit/failtabledoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/fb.gif b/cpld/XC95144/MXSE_html/fit/fb.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/fb.gif rename to cpld/XC95144/MXSE_html/fit/fb.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/fb1.gif b/cpld/XC95144/MXSE_html/fit/fb1.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/fb1.gif rename to cpld/XC95144/MXSE_html/fit/fb1.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs.htm b/cpld/XC95144/MXSE_html/fit/fbs.htm similarity index 81% rename from cpld/XC95144XL/MXSE_html/fit/fbs.htm rename to cpld/XC95144/MXSE_html/fit/fbs.htm index 26211fb..3c761f4 100644 --- a/cpld/XC95144XL/MXSE_html/fit/fbs.htm +++ b/cpld/XC95144/MXSE_html/fit/fbs.htm @@ -15,58 +15,58 @@
FB1 -9 / 18 +12 / 18 36 / 54 -80 / 90 +81 / 90 10 / 11 FB2 18 / 18 -35 / 54 -34 / 90 +19 / 54 +39 / 90 6 / 10 FB3 -14 / 18 -35 / 54 -77 / 90 +8 / 18 +33 / 54 +81 / 90 5 / 10 FB4 -10 / 18 -36 / 54 -82 / 90 +18 / 18 +29 / 54 +29 / 90 10 / 10 FB5 -17 / 18 -35 / 54 -47 / 90 -4 / 10 +18 / 18 +30 / 54 +45 / 90 +5 / 10 FB6 18 / 18 -24 / 54 -37 / 90 +38 / 54 +63 / 90 10 / 10 FB7 -17 / 18 -35 / 54 -76 / 90 -10 / 10 +7 / 18 +11 / 54 +9 / 90 +9 / 10 FB8 -7 / 18 -8 / 54 -6 / 90 +6 / 18 +38 / 54 +80 / 90 10 / 10
diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs.js b/cpld/XC95144/MXSE_html/fit/fbs.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/fbs.js rename to cpld/XC95144/MXSE_html/fit/fbs.js diff --git a/cpld/XC95144/MXSE_html/fit/fbs_FB1.htm b/cpld/XC95144/MXSE_html/fit/fbs_FB1.htm new file mode 100644 index 0000000..8f41dec --- /dev/null +++ b/cpld/XC95144/MXSE_html/fit/fbs_FB1.htm @@ -0,0 +1,268 @@ + + + + + + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
(unused)0 MC1  (b)(b)
nBERR_FSB3 1_1 2_1 2_2 +MC2STD11I/OO
(unused)0 MC3 12I/OI
iobs/IORW116 2_3 2_4 2_5 3_1 3_2 3_3 3_4 3_5 4_1 4_2 4_3 4_4 4_5 5_3 5_4 5_5 +MC4STD (b)(b)
nDinOE2 5_1 5_2 +MC5STD13I/OO
(unused)0 MC6 14I/OI
IOREQ14 6_1 6_2 6_3 6_4 6_5 7_1 7_2 7_3 7_4 7_5 8_2 8_3 8_4 8_5 +MC7STD (b)(b)
nROMCS2 8_1 9_5 +MC8STD15I/OO
iobs/PS_FSM_FFd214 10_1 10_2 10_3 10_4 10_5 11_1 11_2 11_3 11_4 11_5 9_1 9_2 9_3 9_4 +MC9STD16I/OI
(unused)0 MC10  (b)(b)
nVMA_IOB2 12_4 12_5 +MC11STD17I/OO
BERR_IOBS4 12_1 12_2 12_3 13_4 +MC12STD18I/OI
fsb/BERR0r3 13_1 13_2 13_3 +MC13STD (b)(b)
RA<10>1 14_1 +MC14STD19I/OO
cs/nOverlay02 14_2 14_3 +MC15STD20I/OI
IORW018 15_1 15_2 15_3 15_4 15_5 16_1 16_2 16_3 16_4 16_5 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 +MC16STD (b)(b)
(unused)0 MC17 22I/O/GCK1GCK
(unused)0 MC18  (b)(b)
+
+
Signals Used By Logic in Function Block
    +
  1. A_FSB<13>
  2. +
  3. A_FSB<14>
  4. +
  5. A_FSB<16>
  6. +
  7. A_FSB<17>
  8. +
  9. A_FSB<18>
  10. +
  11. A_FSB<19>
  12. +
  13. A_FSB<20>
  14. +
  15. A_FSB<21>
  16. +
  17. A_FSB<22>
  18. +
  19. A_FSB<23>
  20. +
  21. BERR_IOBS
  22. +
  23. IOACT
  24. +
  25. IOBERR
  26. +
  27. IORW0
  28. +
  29. TimeoutB
  30. +
  31. cs/nOverlay0
  32. +
  33. cs/nOverlay1
  34. +
  35. fsb/ASrf
  36. +
  37. fsb/BERR0r
  38. +
  39. fsb/BERR1r
  40. +
  41. iobm/ES<0>
  42. +
  43. iobm/ES<1>
  44. +
  45. iobm/ES<2>
  46. +
  47. iobm/ES<3>
  48. +
  49. iobm/ES<4>
  50. +
  51. iobm/VPArf
  52. +
  53. iobm/VPArr
  54. +
  55. iobs/IOACTr
  56. +
  57. iobs/IORW1
  58. +
  59. iobs/Once
  60. +
  61. iobs/PS_FSM_FFd1
  62. +
  63. iobs/PS_FSM_FFd2
  64. +
  65. nADoutLE1
  66. +
  67. nAS_FSB
  68. +
  69. nVMA_IOB
  70. +
  71. nWE_FSB
  72. +
+ + + +
+ +
+ + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB2.htm b/cpld/XC95144/MXSE_html/fit/fbs_FB2.htm similarity index 68% rename from cpld/XC95144XL/MXSE_html/fit/fbs_FB2.htm rename to cpld/XC95144/MXSE_html/fit/fbs_FB2.htm index c327863..2f44402 100644 --- a/cpld/XC95144XL/MXSE_html/fit/fbs_FB2.htm +++ b/cpld/XC95144/MXSE_html/fit/fbs_FB2.htm @@ -27,7 +27,7 @@ Pin Use -iobm/VPArr +iobm/RESrr 1  1_1 @@ -38,7 +38,7 @@ (b) -iobm/VPArf +iobm/RESrf 1  2_1 @@ -49,7 +49,7 @@ GSR/I -iobm/RESrr +iobm/IOREQr 1  3_1 @@ -60,7 +60,7 @@ (b) -iobm/RESrf +iobm/Er2 1  4_1 @@ -71,7 +71,7 @@ (b) -iobm/IOREQr +iobm/ETACK 1  5_1 @@ -82,7 +82,7 @@ (b) -cnt/RefCnt<3> +iobm/DTACKrr 1  6_1 @@ -93,7 +93,7 @@ (b) -cnt/RefCnt<2> +iobm/DTACKrf 1  7_1 @@ -104,7 +104,7 @@ (b) -cnt/RefCnt<1> +iobm/BERRrr 1  8_1 @@ -115,9 +115,9 @@ (b) -fsb/BERR1r -2 - 9_1 9_2 +iobm/BERRrf +1 + 9_1 MC9 STD @@ -126,9 +126,9 @@ (b) -cnt/RefDone -2 - 10_1 10_2 +iobm/ES<3> +3 + 10_1 10_2 10_3 MC10 STD @@ -138,8 +138,8 @@ nLDS_IOB -3 - 11_1 11_2 11_3 +4 + 11_1 11_2 11_3 11_4 MC11 STD @@ -149,8 +149,8 @@ nUDS_IOB -3 - 12_1 12_2 12_3 +4 + 12_1 12_2 12_3 12_4 MC12 STD @@ -159,7 +159,7 @@ O -cnt/TimeoutBPre +iobm/ES<1> 3  13_1 13_2 13_3 @@ -170,7 +170,7 @@ (b) -nDoutOE +nAS_IOB 2  14_1 14_2 @@ -181,7 +181,7 @@ O -TimeoutB +iobm/ES<0> 3  15_1 15_2 15_3 @@ -189,12 +189,12 @@ STD 9 I/O -I +I -TimeoutA -3 - 16_1 16_2 16_3 +iobm/ES<4> +4 + 16_1 16_2 16_3 16_4 MC16 STD @@ -203,9 +203,9 @@ (b) -nAS_IOB -1 - 17_1 +nDoutOE +2 + 17_1 17_2 MC17 STD @@ -214,9 +214,9 @@ O -iobs/IOReady -4 - 18_1 18_2 18_3 18_4 +iobm/ES<2> +5 + 18_1 18_2 18_3 18_4 18_5 MC18 STD @@ -227,41 +227,25 @@

Signals Used By Logic in Function Block
    -
  1. BERR_IOBS
  2. -
  3. IOBERR
  4. IOL0
  5. IOREQ
  6. IORW0
  7. IOU0
  8. -
  9. RefAck
  10. -
  11. TimeoutA
  12. -
  13. TimeoutB
  14. -
  15. cnt/RefCnt<0>
  16. -
  17. cnt/RefCnt<1>
  18. -
  19. cnt/RefCnt<2>
  20. -
  21. cnt/RefCnt<3>
  22. -
  23. cnt/RefCnt<4>
  24. -
  25. cnt/RefCnt<5>
  26. -
  27. cnt/RefCnt<6>
  28. -
  29. cnt/RefCnt<7>
  30. -
  31. cnt/RefDone
  32. -
  33. cnt/TimeoutBPre
  34. -
  35. fsb/ASrf
  36. -
  37. fsb/BERR1r
  38. +
  39. iobm/ES<0>
  40. +
  41. iobm/ES<1>
  42. +
  43. iobm/ES<2>
  44. +
  45. iobm/ES<3>
  46. +
  47. iobm/ES<4>
  48. +
  49. iobm/Er
  50. +
  51. iobm/Er2
  52. +
  53. iobm/IOS_FSM_FFd1
  54. iobm/IOS_FSM_FFd2
  55. iobm/IOS_FSM_FFd3
  56. iobm/IOS_FSM_FFd4
  57. -
  58. iobm/IOS_FSM_FFd5
  59. -
  60. iobm/IOS_FSM_FFd6
  61. -
  62. iobm/IOS_FSM_FFd7
  63. -
  64. iobs/IOACTr
  65. -
  66. iobs/IOReady
  67. -
  68. iobs/Once
  69. -
  70. iobs/PS_FSM_FFd2
  71. -
  72. nADoutLE1
  73. -
  74. nAS_FSB
  75. +
  76. nBERR_IOB
  77. +
  78. nDTACK_IOB
  79. nRES
  80. -
  81. nVPA_IOB
  82. +
  83. nVMA_IOB
- + - + + + + + + + + + @@ -589,6 +956,10 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + @@ -597,10 +968,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - @@ -609,14 +976,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - - - - @@ -679,34 +1038,14 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - - - - - - - - - - - - - - - - - + - - + + @@ -726,6 +1065,21 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + + + + + + + + + + + @@ -794,6 +1148,16 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + + + + + + @@ -806,7 +1170,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + @@ -824,11 +1188,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -855,8 +1214,8 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - + + @@ -869,6 +1228,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -879,6 +1243,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -904,16 +1273,41 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + + + + + + + + + + + + + + + + + + + + + @@ -924,11 +1318,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -944,41 +1333,16 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - @@ -1039,16 +1403,16 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + - - - - - @@ -1059,16 +1423,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - - - - - - @@ -1089,6 +1443,16 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + + + + + + @@ -1101,7 +1465,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + @@ -1131,12 +1495,12 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + - - + + @@ -1146,7 +1510,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + @@ -1155,8 +1519,8 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - + + @@ -1170,13 +1534,8 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - - - + + @@ -1195,13 +1554,8 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - - - + + @@ -1211,7 +1565,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + @@ -1219,16 +1573,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - - - - - - @@ -1244,11 +1588,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -1271,12 +1610,12 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + - - + + @@ -1299,11 +1638,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -1329,11 +1663,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -1364,11 +1693,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -1429,6 +1753,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -1474,6 +1803,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -1514,6 +1848,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -1549,6 +1888,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -1579,6 +1923,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -1604,6 +1953,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -1674,11 +2028,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -1691,12 +2040,12 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + - - + + @@ -1719,11 +2068,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -1764,11 +2108,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -1779,6 +2118,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -1804,11 +2148,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -1819,6 +2158,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -1859,11 +2203,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -1920,13 +2259,13 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - + + - + @@ -1955,8 +2294,8 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - + + @@ -1999,6 +2338,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -2024,6 +2368,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -2034,11 +2383,21 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + + + + + + @@ -2052,56 +2411,86 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -2113,23 +2502,13 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - + + - - - - - - - - - - - - + + @@ -2142,6 +2521,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -2153,13 +2537,28 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + + + + + + - + + + + + + + + + + + - + @@ -2169,7 +2568,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + @@ -2179,7 +2578,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + @@ -2189,7 +2588,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + @@ -2227,11 +2626,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -2262,11 +2656,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -2292,11 +2681,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -2322,11 +2706,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -2347,26 +2726,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - - - - - - - - - - - @@ -2404,17 +2768,57 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + - + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -2422,11 +2826,36 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + + + + + + + + + + + + + + + + + + + + + @@ -2437,6 +2866,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -2449,197 +2883,77 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - + + + + + + - + - + - + - - + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - - + + - - + + - - + + - - + + - - + + + + + + + @@ -2647,11 +2961,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - -
- - - + + + - + - - - + + @@ -71,7 +70,7 @@ - @@ -80,31 +79,29 @@ - - - + + + - + - + - - - + + + - + - - - + + @@ -113,28 +110,28 @@ - - - + + + - + - - - + + + - + - + @@ -145,20 +142,19 @@ - - - + + + - + - - - + + @@ -167,20 +163,19 @@ - - - + + + - + - - - + + @@ -199,9 +194,9 @@ - - - + + @@ -210,12 +205,11 @@ - - - + + + - + @@ -223,41 +217,39 @@
diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB3.htm b/cpld/XC95144/MXSE_html/fit/fbs_FB3.htm similarity index 52% rename from cpld/XC95144XL/MXSE_html/fit/fbs_FB3.htm rename to cpld/XC95144/MXSE_html/fit/fbs_FB3.htm index 2428c8b..1136837 100644 --- a/cpld/XC95144XL/MXSE_html/fit/fbs_FB3.htm +++ b/cpld/XC95144/MXSE_html/fit/fbs_FB3.htm @@ -47,20 +47,19 @@ GCK
IORW018 1_1 1_2 1_3 1_4 2_1 2_2 2_3 2_4 2_5 3_1 3_2 3_3 3_4 3_5 4_2 4_3 4_4 4_5 -(unused)0  MC3STD    (b) (b)
iobs/IOACTr1 4_1 +ram/RASEL20 2_1 2_2 2_3 2_4 2_5 3_1 3_2 3_3 3_4 3_5 4_1 4_2 4_3 4_4 4_5 5_1 5_2 5_3 5_4 5_5 MC4 STD
nRAS 3 5_1 5_2 5_3 + 6_1 6_2 6_3 MC5 STDO
iobs/Clear11 6_1 -(unused)0  MC6STD  25 I/OII
fsb/ASrf1 7_1 -(unused)0  MC7STD    (b) (b)
ALE0S1 8_1 +ram/RAMDIS27 7_1 7_2 7_3 8_1 8_2 8_3 8_4 MC8 STDGCK/I
iobs/PS_FSM_FFd214 10_1 10_2 10_3 10_4 10_5 8_2 8_3 8_4 8_5 9_1 9_2 9_3 9_4 9_5 -(unused)0  MC9STD  28 I/O (b)
(unused)0 iobs/Load114 10_1 10_2 10_3 10_4 10_5 11_3 11_4 11_5 8_5 9_1 9_2 9_3 9_4 9_5 + MC10 STD   (b) (b)
nADoutLE1RA<0> 2  11_1 11_2 O
iobs/PS_FSM_FFd12 11_3 11_4 -(unused)0  MC12STD  30 I/O (b)
IOREQ14 12_1 12_2 12_3 12_4 12_5 13_1 13_2 13_3 13_4 13_5 14_2 14_3 14_4 14_5 +ram/RAMReady16 12_1 12_2 12_3 12_4 12_5 13_1 13_2 13_3 13_4 13_5 14_1 14_2 14_3 14_4 14_5 15_2 MC13 STD(b)
iobm/ETACK1 14_1 -(unused)0  MC14STD  32 I/O (b)
nVMA_IOB2 15_1 15_2 +RA<11>1 15_1 MC15 STD(b)
iobs/IORW116 15_3 15_4 16_1 16_2 16_3 16_4 16_5 17_1 17_2 17_3 17_4 17_5 18_2 18_3 18_4 18_5 +ram/RAMDIS118 16_1 16_2 16_3 16_4 16_5 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 18_4 18_5 1_1 1_2 1_3 MC17 STD(b)
ram/BACTr1 18_1 -(unused)0  MC18STD    (b) (b)

Signals Used By Logic in Function Block
    +
  1. A_FSB<10>
  2. A_FSB<13>
  3. A_FSB<14>
  4. A_FSB<16>
  5. A_FSB<17>
  6. A_FSB<18>
  7. A_FSB<19>
  8. +
  9. A_FSB<1>
  10. A_FSB<20>
  11. A_FSB<21>
  12. A_FSB<22>
  13. A_FSB<23>
  14. -
  15. IOACT
  16. -
  17. IORW0
  18. RefAck
  19. +
  20. cnt/RefCnt<5>
  21. +
  22. cnt/RefCnt<6>
  23. +
  24. cnt/RefCnt<7>
  25. +
  26. cnt/RefDone
  27. cs/nOverlay1
  28. fsb/ASrf
  29. -
  30. iobm/ES<0>
  31. -
  32. iobm/ES<1>
  33. -
  34. iobm/ES<2>
  35. -
  36. iobm/ES<3>
  37. -
  38. iobm/ES<4>
  39. -
  40. iobm/VPArf
  41. -
  42. iobm/VPArr
  43. -
  44. iobs/Clear1
  45. -
  46. iobs/IOACTr
  47. -
  48. iobs/IORW1
  49. -
  50. iobs/Load1
  51. iobs/Once
  52. iobs/PS_FSM_FFd1
  53. iobs/PS_FSM_FFd2
  54. nADoutLE1
  55. nAS_FSB
  56. -
  57. nVMA_IOB
  58. nWE_FSB
  59. +
  60. ram/BACTr
  61. +
  62. ram/Once
  63. ram/RAMDIS1
  64. ram/RAMDIS2
  65. +
  66. ram/RASEL
  67. +
  68. ram/RS_FSM_FFd1
  69. +
  70. ram/RS_FSM_FFd2
  71. +
  72. ram/RS_FSM_FFd3
- + @@ -412,87 +771,87 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + @@ -507,7 +866,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - +
@@ -27,9 +27,9 @@ - + - @@ -38,20 +38,20 @@ - - - + + - + - + - @@ -60,9 +60,9 @@ - + - @@ -71,31 +71,31 @@ - + - - + - + - + - - + - - - + + @@ -104,31 +104,31 @@ - - - + + - + - + - + - - + - - - + + @@ -137,31 +137,31 @@ - - - + + - + - + - + - - + - - - + + @@ -170,31 +170,31 @@ - + - - + - + - + - - + - + - @@ -203,20 +203,20 @@ - - - + + - + - + - - - + + @@ -227,36 +227,41 @@
diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB6.htm b/cpld/XC95144/MXSE_html/fit/fbs_FB4.htm similarity index 56% rename from cpld/XC95144XL/MXSE_html/fit/fbs_FB6.htm rename to cpld/XC95144/MXSE_html/fit/fbs_FB4.htm index 18b0e47..bc38404 100644 --- a/cpld/XC95144XL/MXSE_html/fit/fbs_FB6.htm +++ b/cpld/XC95144/MXSE_html/fit/fbs_FB4.htm @@ -9,9 +9,9 @@ Pin Use
iobm/Er2ram/BACTr 1 1_1 + 1_1 MC1 STD(b)
nCAS1 2_1 +RA<1>2 2_1 2_2 MC2 STD7487 I/O O
iobm/DTACKrrcnt/RefCnt<7> 1 3_1 + 3_1 MC3 STD(b)
iobm/DTACKrfcnt/RefCnt<6> 1 4_1 + 4_1 MC4 STD(b)
RefAckcnt/RefCnt<5> 1 5_1 + 5_1 MC5 STD7689 I/OII
nRAMLWEnOE 1 6_1 + 6_1 MC6 STD7790 I/O O
iobs/IOU12 7_1 7_2 +cnt/RefCnt<4>1 7_1 MC7 STD(b)
iobs/IOL12 8_1 8_2 +cnt/RefCnt<3>1 8_1 MC8 STD7891 I/OII
nRAMUWEnRAMLWE 1 9_1 + 9_1 MC9 STD7992 I/O O
iobm/ES<3>3 10_1 10_2 10_3 +cnt/RefCnt<2>1 10_1 MC10 STD(b)
iobm/ES<1>3 11_1 11_2 11_3 +fsb/BERR1r2 11_1 11_2 MC11 STD8093 I/OII
nROMWEnRAMUWE 1 12_1 + 12_1 MC12 STD8194 I/O O
iobm/ES<0>3 13_1 13_2 13_3 +cnt/RefDone2 13_1 13_2 MC13 STD(b)
IOU0cnt/TimeoutBPre 3 14_1 14_2 14_3 + 14_1 14_2 14_3 MC14 STD8295 I/OII
nVPA_FSBnROMWE 1 15_1 + 15_1 MC15 STD8596 I/O O
IOL0TimeoutB 3 16_1 16_2 16_3 + 16_1 16_2 16_3 MC16 STD(b)
iobm/ES<4>4 17_1 17_2 17_3 17_4 +TimeoutA3 17_1 17_2 17_3 MC17 STD8697 I/OII
iobm/ES<2>5 18_1 18_2 18_3 18_4 18_5 +IOU03 18_1 18_2 18_3 MC18 STD

Signals Used By Logic in Function Block
    -
  1. fsb/VPA
  2. -
  3. iobm/ES<0>
  4. -
  5. iobm/ES<1>
  6. -
  7. iobm/ES<2>
  8. -
  9. iobm/ES<3>
  10. -
  11. iobm/ES<4>
  12. -
  13. iobm/Er
  14. -
  15. iobm/Er2
  16. -
  17. iobs/IOL1
  18. +
  19. A_FSB<11>
  20. +
  21. A_FSB<2>
  22. +
  23. BERR_IOBS
  24. +
  25. RefAck
  26. +
  27. TimeoutA
  28. +
  29. TimeoutB
  30. +
  31. cnt/RefCnt<0>
  32. +
  33. cnt/RefCnt<1>
  34. +
  35. cnt/RefCnt<2>
  36. +
  37. cnt/RefCnt<3>
  38. +
  39. cnt/RefCnt<4>
  40. +
  41. cnt/RefCnt<5>
  42. +
  43. cnt/RefCnt<6>
  44. +
  45. cnt/RefCnt<7>
  46. +
  47. cnt/RefDone
  48. +
  49. cnt/TimeoutBPre
  50. +
  51. fsb/ASrf
  52. +
  53. fsb/BERR1r
  54. iobs/IOU1
  55. -
  56. iobs/Load1
  57. iobs/PS_FSM_FFd1
  58. iobs/PS_FSM_FFd2
  59. nADoutLE1
  60. nAS_FSB
  61. -
  62. nDTACK_IOB
  63. nLDS_FSB
  64. nUDS_FSB
  65. nWE_FSB
  66. ram/RAMDIS1
  67. ram/RAMDIS2
  68. ram/RASEL
  69. -
  70. ram/RS_FSM_FFd1
  71. -
  72. ram/RS_FSM_FFd2
- - - + + @@ -38,7 +38,7 @@ - + @@ -49,7 +49,7 @@ - + @@ -60,7 +60,7 @@ - + @@ -71,9 +71,9 @@ - - - + + @@ -82,18 +82,18 @@ - - - + + - + - + @@ -104,7 +104,7 @@ - + @@ -112,23 +112,23 @@ - + - - - + + - + - - - + + @@ -137,7 +137,7 @@ - + @@ -148,7 +148,7 @@ - + @@ -170,7 +170,7 @@ - + @@ -181,20 +181,20 @@ - - - + + - + - @@ -203,19 +203,20 @@ - - - + + + - + - + - - + @@ -226,18 +227,18 @@
- +    - +
diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB5.htm b/cpld/XC95144/MXSE_html/fit/fbs_FB5.htm similarity index 67% rename from cpld/XC95144XL/MXSE_html/fit/fbs_FB5.htm rename to cpld/XC95144/MXSE_html/fit/fbs_FB5.htm index a926fd6..1ab1cca 100644 --- a/cpld/XC95144XL/MXSE_html/fit/fbs_FB5.htm +++ b/cpld/XC95144/MXSE_html/fit/fbs_FB5.htm @@ -27,9 +27,9 @@ Pin Use
IOACT10 18_4 18_5 1_1 1_2 1_3 1_4 1_5 2_3 2_4 2_5 +iobs/IOACTr1 1_1 MC1 STD(b)
RA<1>RA<2> 2  2_1 2_2 O
iobm/IOS_FSM_FFd7fsb/ASrf 1  3_1 (b)
iobm/IOS_FSM_FFd6cnt/RefCnt<1> 1  4_1 (b)
iobm/IOS_FSM_FFd51 5_1 +cnt/RefCnt<0>0  MC5 STD(b)
iobm/IOS_FSM_FFd41 6_1 +RA<3>2 6_1 6_2 MC6 STD 37 I/O(b)O
iobm/BERRrr$OpTx$$OpTx$FX_DC$355_INV$439 1  7_1 (b)
RA<3>iobs/IOU1 2  8_1 8_2 STD 39 I/OO(b)
iobm/BERRrf1 9_1 +RA<4>2 9_1 9_2 MC9 STD 40 I/O(b)O
cnt/RefCnt<0>0  +iobm/IOS_FSM_FFd22 10_1 10_2 MC10 STD(b)
iobm/IOS_FSM_FFd8iobm/IOS_FSM_FFd1 2  11_1 11_2 (b)
nROMCSRA<9> 2  12_1 12_2 (b)
iobm/IOS_FSM_FFd2iobm/IOS_FSM_FFd4 4  14_1 14_2 14_3 14_4 (b)
BERR_IOBS4 15_1 15_2 15_3 15_4 +nCAS1 15_1 MC15 STD 46 I/O(b)O
iobm/IOS_FSM_FFd3 5 16_1 16_2 16_3 16_4 16_5 + 15_2 16_1 16_2 16_3 16_4 MC16 STD(b)
(unused)0 IOACT6 16_5 17_1 17_2 17_3 17_4 17_5 + MC17 STD 49 I/OI(b)
IOBERR8 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 +9 18_1 18_2 18_3 18_4 18_5 1_2 1_3 1_4 1_5 MC18 STD

Signals Used By Logic in Function Block
    -
  1. A_FSB<11>
  2. +
  3. A_FSB<12>
  4. A_FSB<13>
  5. +
  6. A_FSB<14>
  7. +
  8. A_FSB<19>
  9. A_FSB<20>
  10. -
  11. A_FSB<21>
  12. -
  13. A_FSB<22>
  14. -
  15. A_FSB<23>
  16. -
  17. A_FSB<2>
  18. +
  19. A_FSB<3>
  20. A_FSB<4>
  21. -
  22. BERR_IOBS
  23. +
  24. A_FSB<5>
  25. CLK_IOB
  26. +
  27. IOACT
  28. IOBERR
  29. -
  30. cs/nOverlay1
  31. +
  32. cnt/RefCnt<0>
  33. fsb/ASrf
  34. iobm/BERRrf
  35. iobm/BERRrr
  36. @@ -246,20 +247,15 @@
  37. iobm/ETACK
  38. iobm/IOREQr
  39. iobm/IOS_FSM_FFd1
  40. +
  41. iobm/IOS_FSM_FFd2
  42. iobm/IOS_FSM_FFd3
  43. iobm/IOS_FSM_FFd4
  44. -
  45. iobm/IOS_FSM_FFd5
  46. -
  47. iobm/IOS_FSM_FFd6
  48. -
  49. iobm/IOS_FSM_FFd7
  50. -
  51. iobm/IOS_FSM_FFd8
  52. iobm/RESrf
  53. iobm/RESrr
  54. -
  55. iobs/IOACTr
  56. -
  57. iobs/Once
  58. -
  59. iobs/PS_FSM_FFd2
  60. -
  61. nADoutLE1
  62. +
  63. iobs/Load1
  64. nAS_FSB
  65. nBERR_IOB
  66. +
  67. nUDS_FSB
  68. ram/RASEL
diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB7.htm b/cpld/XC95144/MXSE_html/fit/fbs_FB6.htm similarity index 51% rename from cpld/XC95144XL/MXSE_html/fit/fbs_FB7.htm rename to cpld/XC95144/MXSE_html/fit/fbs_FB6.htm index 57f9db0..33ea4aa 100644 --- a/cpld/XC95144XL/MXSE_html/fit/fbs_FB7.htm +++ b/cpld/XC95144/MXSE_html/fit/fbs_FB6.htm @@ -11,8 +11,8 @@ - - + +
@@ -27,9 +27,9 @@ - - - + + @@ -40,18 +40,18 @@ - - + - + - @@ -60,9 +60,9 @@ - - - + + @@ -71,31 +71,31 @@ - - - + + - + - + - - + - - - + + @@ -104,31 +104,31 @@ - - - + + - + - + - - + - - - + + @@ -137,31 +137,31 @@ - - - + + - + - + - - + - - - + + @@ -170,31 +170,31 @@ - - - + + - + - + - + - - + - + - @@ -203,22 +203,23 @@ - - - + + - + - + - - - + + + - + @@ -230,8 +231,6 @@
  • A_FSB<16>
  • A_FSB<17>
  • A_FSB<18>
  • -
  • A_FSB<19>
  • -
  • A_FSB<20>
  • A_FSB<21>
  • A_FSB<22>
  • A_FSB<23>
  • @@ -239,11 +238,7 @@
  • A_FSB<7>
  • A_FSB<8>
  • A_FSB<9>
  • -
  • cnt/RefCnt<0>
  • -
  • cnt/RefCnt<1>
  • -
  • cnt/RefCnt<2>
  • -
  • cnt/RefCnt<3>
  • -
  • cnt/RefCnt<4>
  • +
  • IOBERR
  • cnt/RefCnt<5>
  • cnt/RefCnt<6>
  • cnt/RefCnt<7>
  • @@ -252,10 +247,19 @@
  • cs/nOverlay1
  • fsb/ASrf
  • fsb/Ready0r
  • +
  • iobs/Clear1
  • +
  • iobs/IOACTr
  • +
  • iobs/IOL1
  • +
  • iobs/IOReady
  • +
  • iobs/Load1
  • +
  • iobs/Once
  • +
  • iobs/PS_FSM_FFd1
  • +
  • iobs/PS_FSM_FFd2
  • +
  • nADoutLE1
  • nAS_FSB
  • +
  • nLDS_FSB
  • ram/BACTr
  • ram/Once
  • -
  • ram/RAMDIS2
  • ram/RAMReady
  • ram/RASEL
  • ram/RS_FSM_FFd1
  • @@ -264,9 +268,9 @@
    Pin Use
    cnt/RefCnt<5>1 1_1 +ram/Once5 1_1 1_2 1_3 1_4 1_5 MC1 STD
    RA<5> 2 2_1 2_2 + 2_1 2_2 MC2 STD5074 I/O O
    cnt/RefCnt<4>ALE0S 1 3_1 + 3_1 MC3 STD(b)
    cs/nOverlay02 4_1 4_2 +ram/RS_FSM_FFd311 3_2 3_3 3_4 3_5 4_1 4_2 4_3 4_4 4_5 5_3 5_4 MC4 STD(b)
    ram/RS_FSM_FFd311 4_3 4_4 4_5 5_1 5_2 5_3 5_4 5_5 6_3 6_4 6_5 +iobs/PS_FSM_FFd12 5_1 5_2 MC5 STD5276 I/OII
    RA<6> 2 6_1 6_2 + 6_1 6_2 MC6 STD5377 I/O O
    fsb/Ready0r3 7_1 7_2 7_3 +iobs/IOL12 7_1 7_2 MC7 STD(b)
    ram/RS_FSM_FFd15 8_1 8_2 8_3 8_4 8_5 +cs/nOverlay12 8_1 8_2 MC8 STD5478 I/OII
    RA<7> 2 9_1 9_2 + 9_1 9_2 MC9 STD5579 I/O O
    ram/Once5 10_1 10_2 9_3 9_4 9_5 +fsb/Ready0r3 10_1 10_2 10_3 MC10 STD(b)
    ram/RAMDIS27 10_3 10_4 10_5 11_1 11_2 11_3 11_4 +IOL03 11_1 11_2 11_3 MC11 STD5680 I/OII
    RA<8> 2 11_5 12_1 + 12_1 12_2 MC12 STD5881 I/O O
    ram/RS_FSM_FFd213 12_2 12_3 12_4 12_5 13_1 13_2 13_3 13_4 13_5 14_2 14_3 14_4 14_5 +iobs/IOReady4 13_1 13_2 13_3 13_4 MC13 STD(b)
    cnt/RefCnt<7>1 14_1 +ram/RS_FSM_FFd15 14_1 14_2 14_3 14_4 14_5 MC14 STD5982 I/OII
    RA<9>nADoutLE1 2 15_1 15_2 + 15_1 15_2 MC15 STD6085 I/O O
    cnt/RefCnt<6>iobs/Clear1 1 15_3 + 16_1 MC16 STD(b)
    ram/RAMReady16 16_1 16_2 16_3 16_4 16_5 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 18_4 18_5 1_2 +ram/RS_FSM_FFd213 16_2 16_3 16_4 16_5 17_1 17_2 17_3 17_4 17_5 18_2 18_3 18_4 18_5 MC17 STD6186 I/OII
    (unused)0 RefAck1 18_1 + MC18 STD   (b) (b)
    + +
    - +    - +
    diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB8.htm b/cpld/XC95144/MXSE_html/fit/fbs_FB7.htm similarity index 69% rename from cpld/XC95144XL/MXSE_html/fit/fbs_FB8.htm rename to cpld/XC95144/MXSE_html/fit/fbs_FB7.htm index 4250038..0d96b05 100644 --- a/cpld/XC95144XL/MXSE_html/fit/fbs_FB8.htm +++ b/cpld/XC95144/MXSE_html/fit/fbs_FB7.htm @@ -12,8 +12,8 @@ - -
    @@ -37,13 +37,13 @@ - - - + + - + @@ -73,20 +73,19 @@ - + - + - - - + + + - - + + - + @@ -99,25 +98,25 @@ + + + + + + + + + + - - - - - - - - - - - - + + - + @@ -135,18 +134,18 @@ - + - + - - - + + - + @@ -166,46 +165,47 @@ - + - + - + - - + - + - - - + + + - + - - - - - - - - - - - + - + + + + + + + + + + @@ -218,15 +218,22 @@
    Signals Used By Logic in Function Block
    1. ALE0M
    2. ALE0S
    3. -
    4. A_FSB<19>
    5. -
    6. A_FSB<21>
    7. +
    8. A_FSB<22>
    9. E_IOB
    10. -
    11. iobm/IOS_FSM_FFd2
    12. +
    13. cs/nOverlay1
    14. +
    15. fsb/VPA
    16. +
    17. iobm/IOS_FSM_FFd1
    18. iobm/IOS_FSM_FFd3
    19. iobm/IOS_FSM_FFd4
    20. +
    21. nAS_FSB
    22. +
    23. nVPA_IOB
     
    RA<11>1 2_1 +nDinLE2 2_1 2_2 MC2 STD6350 I/O O
      MC5  6452 I/OI 
    RA<10>1 6_1 -(unused)0  MC6STD65 53 I/OOI
    (unused) 
    nADoutLE01 8_1 +MC8STD54I/OO
    (unused) 0  MC8 66I/OI
    nADoutLE01 9_1 - MC9STD67 55 I/OOI
    (unused)  MC11  6856 I/OII
    nAoutOE0  +nVPA_FSB1 12_1 MC12 STD7058 I/O O
      MC14  7159 I/OII
    nDinLEiobm/VPArr 1 15_1 + 15_1 MC15 STD7260 I/OOI
    (unused)0 iobm/VPArf1 16_1 + MC16 STD   (b) 
    iobm/IOS_FSM_FFd11 17_1 -MC17STD73I/OI(b)
    iobm/Er 1 18_1 + 17_1 +MC17STD61I/OI
    $OpTx$FX_DC$3602 18_1 18_2 MC18 STD
    - + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB1.htm b/cpld/XC95144/MXSE_html/fit/fbs_FB8.htm similarity index 50% rename from cpld/XC95144XL/MXSE_html/fit/fbs_FB1.htm rename to cpld/XC95144/MXSE_html/fit/fbs_FB8.htm index 19067b9..9472417 100644 --- a/cpld/XC95144XL/MXSE_html/fit/fbs_FB1.htm +++ b/cpld/XC95144/MXSE_html/fit/fbs_FB8.htm @@ -6,14 +6,14 @@
    + +    + +
    - +
    @@ -37,15 +37,14 @@ - - - + + + - - + + - + @@ -53,136 +52,134 @@ - - - + + + - - - + + + - + - - - + + + - - + + - + - - - + + + - - + + - + - - - + + + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - + - + + + + + + + + + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - + - - - + + + - - + + - + @@ -195,22 +192,22 @@ - - - - - - - - - - - + + + + + + + + + + + @@ -218,8 +215,8 @@
    (b)
    nDTACK_FSB23 18_1 18_2 18_3 18_4 18_5 1_1 1_2 1_3 1_4 1_5 2_1 2_2 2_3 2_4 2_5 3_1 3_2 3_3 3_4 3_5 4_3 4_4 4_5 -(unused)0  MC2STD11 63 I/OOI
    (unused)  MC3  12I/OI (b)(b)
    $OpTx$FX_DC$6065 4_1 4_2 5_1 5_2 5_3 -(unused)0  MC4STD    (b) (b)
    (unused)0 nDTACK_FSB22 3_1 3_2 4_1 4_2 4_3 4_4 4_5 5_1 5_2 5_3 5_4 5_5 6_1 6_2 6_3 6_4 6_5 7_1 7_2 7_3 7_4 7_5 + MC5 13STD64 I/OIO
    nBERR_FSB3 6_1 6_2 6_3 -(unused)0  MC6STD14 65 I/OOI
    fsb/Ready1r7 6_4 6_5 7_1 7_2 7_3 7_4 7_5 -(unused)0  MC7STD    (b) (b)
    (unused)0 MC8 15I/OI
    (unused)0 MC9 16I/OI
    fsb/VPA__or00001/fsb/VPA__or00001_D28 10_1 10_2 10_3 10_4 10_5 9_1 9_2 9_3 -MC10STD (b)(b)
    nOE1 11_1 -MC11STD17I/OO
    fsb/Ready2r 9 11_2 11_3 11_4 11_5 12_1 12_2 12_3 12_4 12_5 + 8_1 8_2 8_3 8_4 8_5 9_1 9_3 9_4 9_5 MC12MC8 STD1866 I/OII
    fsb/Ready1r7 10_1 10_2 10_3 10_4 10_5 11_1 9_1 +MC9STD67I/OI
    (unused) 0  MC13MC10     (b) (b)
    nAoutOE0  +MC11STD68I/OO
    (unused)0 MC12 70I/OI
    iobs/Once17 12_1 12_2 12_3 12_4 12_5 13_1 13_2 13_3 13_4 13_5 14_1 14_2 14_3 14_4 14_5 15_1 15_2 +MC13STD (b)(b)
    (unused) 0   MC14  1971 I/OII
    fsb/VPA22 13_1 13_2 13_3 13_4 13_5 14_1 14_2 14_3 14_4 14_5 15_1 15_2 15_3 15_4 15_5 16_1 16_2 16_3 16_4 16_5 17_3 17_4 -(unused)0  MC15STD20 72 I/OII
    (unused)(b)
    $OpTx$FX_DC$6022 17_1 17_2 -MC17STD22I/O/GCK1GCK
    (unused) 0  MC18MC17  73I/OI
    fsb/VPA25 16_1 16_2 16_3 16_4 16_5 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 18_4 18_5 1_1 1_2 1_3 1_4 1_5 2_1 2_2 2_3 2_4 2_5 +MC18STD   (b) (b)

    Signals Used By Logic in Function Block
      -
    1. $OpTx$FX_DC$602
    2. -
    3. $OpTx$FX_DC$606
    4. +
    5. $OpTx$$OpTx$FX_DC$355_INV$439
    6. +
    7. $OpTx$FX_DC$360
    8. A_FSB<10>
    9. A_FSB<11>
    10. A_FSB<12>
    11. @@ -247,8 +244,10 @@
    12. fsb/Ready1r
    13. fsb/Ready2r
    14. fsb/VPA
    15. -
    16. fsb/VPA__or00001/fsb/VPA__or00001_D2
    17. iobs/IOReady
    18. +
    19. iobs/Once
    20. +
    21. iobs/PS_FSM_FFd1
    22. +
    23. iobs/PS_FSM_FFd2
    24. nADoutLE1
    25. nAS_FSB
    26. nDTACK_FSB
    27. @@ -256,7 +255,7 @@
    28. ram/RAMReady
    - + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FBdoc.htm b/cpld/XC95144/MXSE_html/fit/fbs_FBdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/fbs_FBdoc.htm rename to cpld/XC95144/MXSE_html/fit/fbs_FBdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/fbsdoc.htm b/cpld/XC95144/MXSE_html/fit/fbsdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/fbsdoc.htm rename to cpld/XC95144/MXSE_html/fit/fbsdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/fbview.jpg b/cpld/XC95144/MXSE_html/fit/fbview.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/fbview.jpg rename to cpld/XC95144/MXSE_html/fit/fbview.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/functionblock.gif b/cpld/XC95144/MXSE_html/fit/functionblock.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/functionblock.gif rename to cpld/XC95144/MXSE_html/fit/functionblock.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/genmsg.htm b/cpld/XC95144/MXSE_html/fit/genmsg.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/genmsg.htm rename to cpld/XC95144/MXSE_html/fit/genmsg.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/header.gif b/cpld/XC95144/MXSE_html/fit/header.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/header.gif rename to cpld/XC95144/MXSE_html/fit/header.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/home.gif b/cpld/XC95144/MXSE_html/fit/home.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/home.gif rename to cpld/XC95144/MXSE_html/fit/home.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/index.htm b/cpld/XC95144/MXSE_html/fit/index.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/index.htm rename to cpld/XC95144/MXSE_html/fit/index.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/inputleft.htm b/cpld/XC95144/MXSE_html/fit/inputleft.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/inputleft.htm rename to cpld/XC95144/MXSE_html/fit/inputleft.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/inputleft.js b/cpld/XC95144/MXSE_html/fit/inputleft.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/inputleft.js rename to cpld/XC95144/MXSE_html/fit/inputleft.js diff --git a/cpld/XC95144XL/MXSE_html/fit/inputleftdoc.htm b/cpld/XC95144/MXSE_html/fit/inputleftdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/inputleftdoc.htm rename to cpld/XC95144/MXSE_html/fit/inputleftdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/leftnav.htm b/cpld/XC95144/MXSE_html/fit/leftnav.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/leftnav.htm rename to cpld/XC95144/MXSE_html/fit/leftnav.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/leftnav.js b/cpld/XC95144/MXSE_html/fit/leftnav.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/leftnav.js rename to cpld/XC95144/MXSE_html/fit/leftnav.js diff --git a/cpld/XC95144XL/MXSE_html/fit/legend.gif b/cpld/XC95144/MXSE_html/fit/legend.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/legend.gif rename to cpld/XC95144/MXSE_html/fit/legend.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/legend.jpg b/cpld/XC95144/MXSE_html/fit/legend.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/legend.jpg rename to cpld/XC95144/MXSE_html/fit/legend.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/logic_legXC95.htm b/cpld/XC95144/MXSE_html/fit/logic_legXC95.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/logic_legXC95.htm rename to cpld/XC95144/MXSE_html/fit/logic_legXC95.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/logic_legXbr.htm b/cpld/XC95144/MXSE_html/fit/logic_legXbr.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/logic_legXbr.htm rename to cpld/XC95144/MXSE_html/fit/logic_legXbr.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/logic_legXpla3.htm b/cpld/XC95144/MXSE_html/fit/logic_legXpla3.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/logic_legXpla3.htm rename to cpld/XC95144/MXSE_html/fit/logic_legXpla3.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/logicleft.htm b/cpld/XC95144/MXSE_html/fit/logicleft.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/logicleft.htm rename to cpld/XC95144/MXSE_html/fit/logicleft.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/logicleft.js b/cpld/XC95144/MXSE_html/fit/logicleft.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/logicleft.js rename to cpld/XC95144/MXSE_html/fit/logicleft.js diff --git a/cpld/XC95144XL/MXSE_html/fit/logicleftdoc.htm b/cpld/XC95144/MXSE_html/fit/logicleftdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/logicleftdoc.htm rename to cpld/XC95144/MXSE_html/fit/logicleftdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/logiclegend.htm b/cpld/XC95144/MXSE_html/fit/logiclegend.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/logiclegend.htm rename to cpld/XC95144/MXSE_html/fit/logiclegend.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/logiclegendV.htm b/cpld/XC95144/MXSE_html/fit/logiclegendV.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/logiclegendV.htm rename to cpld/XC95144/MXSE_html/fit/logiclegendV.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/macrocell.gif b/cpld/XC95144/MXSE_html/fit/macrocell.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/macrocell.gif rename to cpld/XC95144/MXSE_html/fit/macrocell.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/mapinput_00.htm b/cpld/XC95144/MXSE_html/fit/mapinput_00.htm similarity index 93% rename from cpld/XC95144XL/MXSE_html/fit/mapinput_00.htm rename to cpld/XC95144/MXSE_html/fit/mapinput_00.htm index a1fa8f4..ffdb152 100644 --- a/cpld/XC95144XL/MXSE_html/fit/mapinput_00.htm +++ b/cpld/XC95144/MXSE_html/fit/mapinput_00.htm @@ -24,110 +24,6 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - @@ -135,15 +31,103 @@ - - - - + + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -151,50 +135,66 @@ + + + + + + + + + + + + + + + + - - + + - - + + - - - + + + - - - + + + - - + + - - - + + + @@ -224,41 +224,41 @@ - - - + + + - - - + + + - - - + + + - - - + + + - - - + + + @@ -272,25 +272,25 @@ - - - + + + - - - + + + - - + + diff --git a/cpld/XC95144XL/MXSE_html/fit/mapinput_01.htm b/cpld/XC95144/MXSE_html/fit/mapinput_01.htm similarity index 93% rename from cpld/XC95144XL/MXSE_html/fit/mapinput_01.htm rename to cpld/XC95144/MXSE_html/fit/mapinput_01.htm index 01c4e3a..f6716f1 100644 --- a/cpld/XC95144XL/MXSE_html/fit/mapinput_01.htm +++ b/cpld/XC95144/MXSE_html/fit/mapinput_01.htm @@ -15,7 +15,7 @@ - + @@ -23,31 +23,23 @@ - + - - + + - - - - - - - - - + @@ -55,15 +47,7 @@ - - - - - - - - - + @@ -87,7 +71,7 @@ - + @@ -103,7 +87,7 @@ - + @@ -127,23 +111,15 @@ - + - - + + - - - - - - - - - + @@ -151,7 +127,7 @@ - + @@ -159,23 +135,15 @@ - + - - - - - - - - - + - + @@ -183,7 +151,7 @@ - + @@ -191,7 +159,7 @@ - + @@ -199,7 +167,7 @@ - + @@ -207,7 +175,7 @@ - + @@ -215,23 +183,23 @@ - + - - + + - + - - + + - + @@ -239,7 +207,7 @@ - + @@ -249,21 +217,37 @@ + + + + + + + + - + - - + + - + + + + + + + + + @@ -271,15 +255,23 @@ - + - - + + - + + + + + + + + + @@ -287,7 +279,15 @@ - + + + + + + + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/mapinput_02.htm b/cpld/XC95144/MXSE_html/fit/mapinput_02.htm similarity index 93% rename from cpld/XC95144XL/MXSE_html/fit/mapinput_02.htm rename to cpld/XC95144/MXSE_html/fit/mapinput_02.htm index ddd0d2f..0397166 100644 --- a/cpld/XC95144XL/MXSE_html/fit/mapinput_02.htm +++ b/cpld/XC95144/MXSE_html/fit/mapinput_02.htm @@ -15,7 +15,7 @@ - + @@ -23,7 +23,7 @@ - + @@ -31,31 +31,23 @@ - + - - + + - - - - - - - - - + @@ -63,15 +55,7 @@ - - - - - - - - - + @@ -95,7 +79,7 @@ - + @@ -112,30 +96,22 @@ - - - - - - - - - - + + - + - - + + - + @@ -143,7 +119,7 @@ - + @@ -153,21 +129,37 @@ + + + + + + + + - + - - + + - + + + + + + + + + @@ -175,15 +167,23 @@ - + - - + + - + + + + + + + + + @@ -191,7 +191,15 @@ - + + + + + + + + + @@ -199,7 +207,7 @@ - + @@ -207,7 +215,7 @@ - + @@ -215,7 +223,7 @@ - + @@ -223,7 +231,7 @@ - + @@ -231,7 +239,7 @@ - + @@ -247,23 +255,15 @@ - + - - + + - - - - - - - - - + @@ -271,7 +271,7 @@ - + @@ -279,10 +279,10 @@ - + - - + + diff --git a/cpld/XC95144XL/MXSE_html/fit/mapinputdoc.htm b/cpld/XC95144/MXSE_html/fit/mapinputdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/mapinputdoc.htm rename to cpld/XC95144/MXSE_html/fit/mapinputdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogic.js b/cpld/XC95144/MXSE_html/fit/maplogic.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/maplogic.js rename to cpld/XC95144/MXSE_html/fit/maplogic.js diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogic_00.htm b/cpld/XC95144/MXSE_html/fit/maplogic_00.htm similarity index 91% rename from cpld/XC95144XL/MXSE_html/fit/maplogic_00.htm rename to cpld/XC95144/MXSE_html/fit/maplogic_00.htm index 539a58a..457a03a 100644 --- a/cpld/XC95144XL/MXSE_html/fit/maplogic_00.htm +++ b/cpld/XC95144/MXSE_html/fit/maplogic_00.htm @@ -22,7 +22,7 @@ - + @@ -36,45 +36,45 @@ - - + + - - - + + + - - + + - + + + + + + + + + + + + + + - - - - - - - - - - - - - - - + + @@ -89,20 +89,20 @@ - + - - - + + + - - + + @@ -114,8 +114,8 @@ - - + + @@ -127,34 +127,34 @@ - - + + - - - + + + - + - - - + + + - - - + + + - - - - - + + + + + @@ -166,6 +166,45 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -176,33 +215,7 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - + @@ -215,27 +228,14 @@ - - - - - - - - - - - - - - + - + @@ -244,11 +244,11 @@ - - + + - + @@ -257,11 +257,11 @@ - + - + @@ -270,11 +270,11 @@ - + - + @@ -283,11 +283,11 @@ - + - + @@ -296,11 +296,11 @@ - + - + @@ -309,11 +309,11 @@ - - + + - + @@ -323,19 +323,32 @@ - + - - - + + + - + + + + + + + + + + + + + + @@ -345,23 +358,36 @@ - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -371,7 +397,228 @@ - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -384,271 +631,11 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + @@ -660,12 +647,12 @@ - - + + - - + + @@ -673,7 +660,20 @@ - + + + + + + + + + + + + + + @@ -683,23 +683,10 @@ - - - - - - - - - - - - - - + @@ -712,7 +699,7 @@ - + @@ -725,25 +712,25 @@ - - + + - - - + + + - - + + - - + + @@ -751,8 +738,8 @@ - - + + @@ -764,13 +751,13 @@ - - + + - - - + + + @@ -778,37 +765,37 @@ - + - - + + - - - - + + + + - + - + + - - + - - + + @@ -827,100 +814,22 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - + - + - + - - - - - - - - - - - - - - - - - - - - - - - - - - @@ -930,7 +839,7 @@ - + @@ -943,24 +852,50 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + - - + + - - - + + + - - + + @@ -973,24 +908,24 @@ - + - - - + + + - - + + - - + + @@ -998,8 +933,8 @@ - - + + @@ -1011,12 +946,12 @@ - - + + - - + + @@ -1024,21 +959,21 @@ - - + + - - - + + + - - + + @@ -1050,37 +985,37 @@ - - + + - + - + - + - + - + - - + + - + @@ -1089,110 +1024,19 @@ - - + + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + @@ -1203,9 +1047,100 @@ - + + + + + + + + + + + + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -1219,11 +1154,11 @@ - - + + - + @@ -1232,11 +1167,11 @@ - - + + - + @@ -1245,11 +1180,11 @@ - - + + - + @@ -1271,11 +1206,11 @@ - - + + - + @@ -1284,19 +1219,19 @@ - - + + - + - - + + @@ -1310,11 +1245,11 @@ - - + + - + @@ -1323,11 +1258,11 @@ - - + + - + @@ -1336,8 +1271,8 @@ - - + + @@ -1349,8 +1284,8 @@ - - + + @@ -1362,47 +1297,47 @@ - - + + - + - + - - + + - - - + + + - - + + - - - + + + - - + + @@ -1414,11 +1349,11 @@ - - + + - + @@ -1427,26 +1362,26 @@ - - + + - - - + + + - - + + - - - + + +
    A_FSB<11>FB6MC1786I/OI
    A_FSB<12>FB4MC992I/OI
    A_FSB<13>FB6MC1482I/OI
    A_FSB<14>FB4MC1193I/OI
    A_FSB<15>FB6MC1180I/OI
    A_FSB<16>FB4MC1495I/OI
    A_FSB<17>FB4MC1596I/OI
    A_FSB<18>FB6MC576I/OI
    A_FSB<19>FB8MC1773I/OI
    A_FSB<1>FB4MC690I/OI
    A_FSB<20>FB8MC1471I/OI
    A_FSB<21>FB8MC1168I/OI
    A_FSB<22>FB2MC159I/OI
    A_FSB<23> FB8 MC8 66I
    A_FSB<2>FB1MC916A_FSB<12>FB8MC665 I/O I
    A_FSB<3>A_FSB<13>FB1MC312I/OI
    A_FSB<14>FB8MC263I/OI
    A_FSB<15>FB6MC1786I/OI
    A_FSB<16>FB4MC891I/OI
    A_FSB<17>FB6MC1482I/OI
    A_FSB<18>FB4MC1193I/OI
    A_FSB<19>FB7MC1761I/OI
    A_FSB<1>FB8MC1572I/OI
    A_FSB<20>FB1MC614I/OI
    A_FSB<21>FB6MC1180I/OI
    A_FSB<22>FB4MC1495I/OI
    A_FSB<23> FB6 MC8 78I
    A_FSB<2>FB7MC955I/OI
    A_FSB<3>FB8MC967I/OI
    A_FSB<4> FB7MC1156MC1459 I/O I
    A_FSB<5> FB1MC815MC916 I/O I
    A_FSB<6>FB1MC1419FB4MC1797 I/O I
    A_FSB<7>FB1MC1520FB6MC576 I/O I
    A_FSB<8> FB8MC564MC1773 I/O I
    A_FSB<9>FB1MC312FB8MC1471 I/O I
    E_IOBFB5MC1749FB7MC653 I/O I
    nAS_FSBFB1MC513FB8MC1270 I/O I
    nBERR_IOBFB1MC1218FB7MC1156 I/O I
    nDTACK_IOBFB7MC854FB1MC1520 I/O I
    nLDS_FSBFB3MC625FB2MC159 I/O I
    nUDS_FSBFB7MC552FB1MC1218 I/O I
    nVPA_IOBFB7MC1459FB3MC625 I/O I
    nWE_FSB FB7MC1761MC1560 I/O I
    Pin Use
    A_FSB<9>A_FSB<13> FB1 MC3 12I
    nAS_FSBA_FSB<20> FB1MC513MC614 I/O I
    A_FSB<5> FB1MC815I/OI
    A_FSB<2>FB1 MC9 16 I/O I
    nBERR_IOBnUDS_FSB FB1 MC12 18I
    A_FSB<6>FB1MC1419I/OI
    A_FSB<7>nDTACK_IOB FB1 MC15 20GSR/I
    A_FSB<22>nLDS_FSB FB2 MC15 9GCK
    nLDS_FSBnVPA_IOB FB3 MC6 25I
    A_FSB<1>A_FSB<16> FB4MC690MC891 I/O I
    A_FSB<12>FB4MC992I/OI
    A_FSB<14>A_FSB<18> FB4 MC11 93I
    A_FSB<16>A_FSB<22> FB4 MC14 95I
    A_FSB<17>A_FSB<6> FB4MC1596I/OI
    E_IOBFB5 MC174997 I/O I
    A_FSB<18>A_FSB<7> FB6 MC5 76I
    A_FSB<3>A_FSB<23> FB6 MC8 78I
    A_FSB<15>A_FSB<21> FB6 MC11 80I
    A_FSB<13>A_FSB<17> FB6 MC14 82I
    A_FSB<11>A_FSB<15> FB6 MC17 86I
    nUDS_FSBE_IOB FB7MC552MC653 I/O I
    nDTACK_IOBA_FSB<2> FB7MC854MC955 I/O I
    A_FSB<4>nBERR_IOB FB7 MC11 56I
    nVPA_IOBA_FSB<4> FB7 MC14 59
    nWE_FSB FB7MC1560I/OI
    A_FSB<19>FB7 MC17 61 I/O I
    A_FSB<8>A_FSB<14> FB8MC564MC263 I/O I
    A_FSB<23>A_FSB<12>FB8MC665I/OI
    A_FSB<11> FB8 MC8 66I
    A_FSB<21>A_FSB<3> FB8MC1168MC967 I/O I
    A_FSB<20>nAS_FSBFB8MC1270I/OI
    A_FSB<9> FB8 MC14 71I
    A_FSB<19>A_FSB<1>FB8MC1572I/OI
    A_FSB<8> FB8 MC17 73Pin Use
    A_FSB<22>nLDS_FSB FB2 MC15 9I
    A_FSB<9>A_FSB<13> FB1 MC3 12I
    nAS_FSBA_FSB<20> FB1MC513MC614 I/O I
    A_FSB<5> FB1MC815I/OI
    A_FSB<2>FB1 MC9 16 I/O I
    nBERR_IOBnUDS_FSB FB1 MC12 18I
    A_FSB<6>FB1MC1419I/OI
    A_FSB<7>nDTACK_IOB FB1 MC15 20GCK
    nLDS_FSBnVPA_IOB FB3 MC6 25
    E_IOBFB5MC1749I/OI
    nUDS_FSB FB7MC552MC653 I/O I
    nDTACK_IOBA_FSB<2> FB7MC854MC955 I/O I
    A_FSB<4>nBERR_IOB FB7 MC11 56I
    nVPA_IOBA_FSB<4> FB7 MC14 59
    nWE_FSB FB7MC1560I/OI
    A_FSB<19>FB7 MC17 61 I/O I
    A_FSB<8>A_FSB<14> FB8MC564MC263 I/O I
    A_FSB<23>A_FSB<12>FB8MC665I/OI
    A_FSB<11> FB8 MC8 66I
    A_FSB<21>A_FSB<3> FB8MC1168MC967 I/O I
    A_FSB<20>nAS_FSBFB8MC1270I/OI
    A_FSB<9> FB8 MC14 71I
    A_FSB<19>A_FSB<1>FB8MC1572I/OI
    A_FSB<8> FB8 MC17 73I
    A_FSB<18>A_FSB<7> FB6 MC5 76I
    A_FSB<3>A_FSB<23> FB6 MC8 78I
    A_FSB<15>A_FSB<21> FB6 MC11 80I
    A_FSB<13>A_FSB<17> FB6 MC14 82I
    A_FSB<11>A_FSB<15> FB6 MC17 86I
    A_FSB<1>A_FSB<16> FB4MC690MC891 I/O I
    A_FSB<12>FB4MC992I/OI
    A_FSB<14>A_FSB<18> FB4 MC11 93I
    A_FSB<16>A_FSB<22> FB4 MC14 95I
    A_FSB<17>A_FSB<6> FB4MC1596MC1797 I/O I
    ALE0M 275 FB5 MC13 STDALE0S 1 2FB3MC8FB6MC3 STD  27I/O/GCK3GCK/I (b)(b) RESET
    BERR_IOBS 4 8FB5MC15FB1MC12 STD  4618I/OIRESET
    IOACT613FB5MC17STD 49 I/O (b) RESET
    IOACT1015FB5MC1STD  (b)(b)RESET
    IOBERR811914 FB5 MC18 STD3 5 FB6MC16MC11 STD   (b)(b)80I/OI RESET
    IOREQ 14 19FB3MC13FB1MC7 STD    IORW0 18 20FB3MC3FB1MC16 STD    IOU0 3 5FB6MC14FB4MC18 STD  82I/OI (b)(b) RESET
    $OpTx$FX_DC$602$OpTx$FX_DC$360 25FB1MC172FB7MC18 STD  22I/O/GCK1GCK (b)(b)  
    $OpTx$FX_DC$606512FB1MC4$OpTx$$OpTx$FX_DC$355_INV$43912FB5MC7 STD    RA<0> 2 3FB3MC11STDFAST29I/OO 
    RA<10>11FB1MC14STDFAST19I/OO 
    RA<11>11FB3MC15STDFAST33I/OO 
    RA<1>23 FB4 MC2 STD 
    RA<10>11FB8MC6STDFAST65I/OO 
    RA<11>11FB8MC2STDFAST63I/OO 
    RA<1>RA<2> 2 3 FB5 
    RA<2>23FB4MC8STDFAST91I/OO 
    RA<3> 2 3 FB5MC8MC6 STD FAST3937 I/O O  RA<4> 2 3FB4MC12FB5MC9 STD FAST9440 I/O O  RA<5> 2 3FB7FB6 MC2 STD FAST5074 I/O O  RA<6> 2 3FB7FB6 MC6 STD FAST5377 I/O O  RA<7> 2 3FB7FB6 MC9 STD FAST5579 I/O O  RA<8> 2 3FB7FB6 MC12 STD FAST5881 I/O O  RA<9> 2 3FB7MC15FB5MC12 STD FAST6042 I/O O  1 2 FB6MC5MC18 STD  76I/OI (b)(b) RESET
    TimeoutA 3 10FB2FB4MC17STD 97I/OIRESET
    TimeoutB312FB4 MC16 STD  RESET
    TimeoutB312FB2MC15STD 9I/OIRESET
    cnt/RefCnt<0> 0 0 FB5MC5STD 36I/O(b)RESET
    cnt/RefCnt<1>11FB5MC4STD  (b)(b)RESET
    cnt/RefCnt<2>12FB4 MC10 STD  RESET
    cnt/RefCnt<1>cnt/RefCnt<3>13FB4MC8STD 91I/OIRESET
    cnt/RefCnt<4>14FB4MC7STD  (b)(b)RESET
    cnt/RefCnt<5>15FB4MC5STD 89I/OIRESET
    cnt/RefCnt<6>16FB4MC4STD  (b)(b)RESET
    cnt/RefCnt<7>17FB4MC3STD  (b)(b)RESET
    cnt/RefDone210FB4MC13STD  (b)(b)RESET
    cnt/TimeoutBPre311FB4MC14STD 95I/OIRESET
    cs/nOverlay027FB1MC15STD 20I/OIRESET
    cs/nOverlay123FB6MC8STD 78I/OIRESET
    fsb/ASrf11FB5MC3STD  (b)(b)RESET
    fsb/BERR0r38FB1MC13STD  (b)(b)RESET
    fsb/BERR1r24FB4MC11STD 93I/OIRESET
    fsb/Ready0r38FB6MC10STD  (b)(b)RESET
    fsb/Ready1r717FB8MC9STD 67I/OIRESET
    fsb/Ready2r922FB8MC8STD 66I/OIRESET
    fsb/VPA2531FB8MC18STD  (b)(b)RESET
    iobm/BERRrf11FB2MC9STD 4I/O/GTS2(b)RESET
    iobm/BERRrr 1 1 FB2RESET
    cnt/RefCnt<2>12FB2MC7STD  (b)(b)RESET
    cnt/RefCnt<3>13FB2MC6STD 2I/O/GTS4(b)RESET
    cnt/RefCnt<4>14FB7MC3STD  (b)(b)RESET
    cnt/RefCnt<5>15FB7MC1STD  (b)(b)RESET
    cnt/RefCnt<6>16FB7MC16STD  (b)(b)RESET
    cnt/RefCnt<7>17FB7MC14STD 59I/OIRESET
    cnt/RefDone210FB2MC10STD  (b)(b)RESET
    cnt/TimeoutBPre311FB2MC13STD  (b)(b)RESET
    cs/nOverlay027FB7MC4STD  (b)(b)RESET
    cs/nOverlay123FB4MC3STD  (b)(b)RESET
    fsb/ASrf11FB3MC7STD  (b)(b)RESET
    fsb/BERR0r38FB4MC16STD  (b)(b)RESET
    fsb/BERR1r24FB2MC9STD 4I/O/GTS2(b)RESET
    fsb/Ready0r38FB7MC7STD  (b)(b)RESET
    fsb/Ready1r717FB1MC7STD  (b)(b)RESET
    fsb/Ready2r922FB1MC12STD 18I/OIRESET
    fsb/VPA2230FB1MC15STD 20I/OIRESET
    fsb/VPA__or00001/fsb/VPA__or00001_D2820FB1MC10STD  (b)(b) 
    iobm/BERRrf11FB5MC9STD 40I/O(b)RESET
    iobm/BERRrr11FB5MC7STD  (b)(b)RESET
    iobm/DTACKrf 1 1FB6MC4FB2MC7 STD    iobm/DTACKrr 1 1FB6MC3FB2MC6 STD   (b)2I/O/GTS4 (b) RESET
    iobm/ES<0> 3 7FB6FB2MC15STD 9I/OIRESET
    iobm/ES<1>34FB2 MC13 STD  RESET
    iobm/ES<1>34FB6MC11STD 80I/OIRESET
    iobm/ES<2> 5 7FB6FB2 MC18 STD  iobm/ES<3> 3 6FB6FB2 MC10 STD  iobm/ES<4> 4 7FB6MC17FB2MC16 STD  86I/OI (b)(b) RESET
    iobm/ETACK 1 6FB3MC14FB2MC5 STD  32I/O1I/O/GTS3 (b) RESET
    iobm/Er2 1 1FB6MC1FB2MC4 STD    iobm/Er 1 1FB8MC18FB7MC17 STD   (b)(b)61I/OI RESET
    1 1 FB2MC5MC3 STD  1I/O/GTS3 (b) (b) RESET
    iobm/IOS_FSM_FFd111FB8MC1724FB5MC11 STD  7341 I/OI(b) RESET
    iobm/IOS_FSM_FFd22 49 FB5MC14MC10 STD  43I/O (b) (b) RESET
    iobm/IOS_FSM_FFd411FB5MC6STD 37I/O(b)RESET
    iobm/IOS_FSM_FFd511FB5MC5STD 36I/O(b)RESET
    iobm/IOS_FSM_FFd611FB5MC4STD  (b)(b)RESET
    iobm/IOS_FSM_FFd713FB5MC3STD  (b)(b)RESET
    iobm/IOS_FSM_FFd82 46 FB5MC11MC14 STD  4143 I/O (b)SETRESET
    iobm/RESrf 1 1 FB2MC4STD  (b)(b)RESET
    iobm/RESrr11FB2MC3STD  (b)(b)RESET
    iobm/VPArf11FB2 MC2 STD  RESET
    iobm/VPArriobm/RESrr 1 1 FB2RESET
    iobm/VPArf11FB7MC16STD  (b)(b)RESET
    iobm/VPArr11FB7MC15STD 60I/OIRESET
    iobs/Clear1 1 3FB3MC6FB6MC16 STD  25I/OI (b)(b) RESET
    iobs/IOACTr 1 1FB3MC4FB5MC1 STD    2 2 FB6MC8MC7 STD  78I/OI (b)(b) RESET
    iobs/IORW1 16 19FB3MC17FB1MC4 STD  34I/O (b) (b) RESET
    iobs/IOReady 4 8FB2MC18FB6MC13 STD    iobs/IOU1 2 2FB6MC7FB5MC8 STD   (b)39I/O (b) RESET
    iobs/Load1 14 18FB4MC6FB3MC10 STD  90I/OI (b)(b) RESET
    iobs/Once 17 18FB4MC10FB8MC13 STD    iobs/PS_FSM_FFd1 2 3FB3MC12FB6MC5 STD  3076 I/O(b)I RESET
    iobs/PS_FSM_FFd2 14 19FB3FB1 MC9 STD  2816 I/O(b)I RESET
    nADoutLE0 1 2FB8MC9FB7MC8 STD FAST6754 I/O O  nADoutLE1 2 3FB3MC11FB6MC15 STD FAST2985 I/O O SET
    nAS_IOB15FB2MC17STDFAST10I/OORESET
    nAoutOE00FB8MC12STDFAST70I/OO 
    nBERR_FSB39FB1MC6STDFAST14I/OO 
    nCAS11FB6MC2STDFAST74I/OORESET
    nDTACK_FSB2332FB1MC2STDFAST11I/OORESET
    nDinLE1 2FB8MC15STDFAST72I/OORESET
    nDinOE26FB4MC17STDFAST97I/OO 
    nDoutOE274 FB2 MC14 STDRESET
    nLDS_IOBnAoutOE00FB8MC11STDFAST68I/OO 
    nBERR_FSB 379FB1MC2STDFAST11I/OO 
    nCAS11FB5MC15STDFAST46I/OORESET
    nDTACK_FSB2233FB8MC5STDFAST64I/OORESET
    nDinLE23FB7MC2STDFAST50I/OORESET
    nDinOE26FB1MC5STDFAST13I/OO 
    nDoutOE24FB2MC17STDFAST10I/OORESET
    nLDS_IOB46 FB2 MC11 STDnOE 1 2FB1MC11FB4MC6 STD FAST1790 I/O O  nRAMLWE 1 5FB6MC6FB4MC9 STD FAST7792 I/O O  nRAMUWE 1 5FB6MC9FB4MC12 STD FAST7994 I/O O  nROMCS 2 5FB5MC12FB1MC8 STD FAST4215 I/O O  nROMWE 1 2FB6MC12FB4MC15 STD FAST8196 I/O O  
    nUDS_IOB3746 FB2 MC12 STDnVMA_IOB 2 9FB3MC15FB1MC11 STD FAST3317 I/O O RESETnVPA_FSB 1 2FB6MC15FB7MC12 STD FAST8558 I/O O  ram/BACTr 1 2FB3MC18FB4MC1 STD    ram/Once 5 10FB7MC10FB6MC1 STD    ram/RAMDIS1 18 15FB4MC14FB3MC17 STD  9534 I/OI(b) RESET
    ram/RAMDIS2 7 15FB7MC11FB3MC8 STD  56I/OI27I/O/GCK3GCK/I RESET
    ram/RAMReady 16 15FB7MC17FB3MC13 STD  61I/OI (b)(b) RESET
    ram/RASEL 20 15FB4MC1FB3MC4 STD    ram/RS_FSM_FFd1 5 10FB7MC8FB6MC14 STD  5482 I/O I RESETram/RS_FSM_FFd2 13 14FB7MC13FB6MC17 STD   (b)(b)86I/OI RESET
    ram/RS_FSM_FFd3 11 14FB7MC5FB6MC4 STD  52I/OI (b)(b) RESET
    diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogic_01.htm b/cpld/XC95144/MXSE_html/fit/maplogic_01.htm similarity index 91% rename from cpld/XC95144XL/MXSE_html/fit/maplogic_01.htm rename to cpld/XC95144/MXSE_html/fit/maplogic_01.htm index 0db044c..110a81b 100644 --- a/cpld/XC95144XL/MXSE_html/fit/maplogic_01.htm +++ b/cpld/XC95144/MXSE_html/fit/maplogic_01.htm @@ -20,9 +20,9 @@ - - - + + + @@ -30,12 +30,12 @@ - + - - - + + + @@ -43,25 +43,25 @@ - + - - - + + + - + - + - - - + + + @@ -72,22 +72,35 @@ - - - + + + - + - - - - + + + + - - + + + + + + + + + + + + + + + @@ -95,12 +108,12 @@ - + - - - + + + @@ -111,9 +124,35 @@ - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -124,20 +163,20 @@ - - - + + + - + - - - + + + - + @@ -150,7 +189,7 @@ - + @@ -163,7 +202,7 @@ - + @@ -176,7 +215,7 @@ - + @@ -189,9 +228,9 @@ - - + + @@ -202,9 +241,9 @@ - + + - @@ -215,9 +254,9 @@ - + + - @@ -228,7 +267,7 @@ - + @@ -241,9 +280,9 @@ - - - + + + @@ -254,9 +293,9 @@ - - - + + + @@ -268,8 +307,8 @@ - - + + @@ -281,8 +320,8 @@ - - + + @@ -293,9 +332,9 @@ - + - + @@ -306,9 +345,9 @@ - + - + @@ -319,9 +358,9 @@ - + - + @@ -332,9 +371,9 @@ - - - + + + @@ -345,9 +384,9 @@ - - - + + + @@ -358,9 +397,9 @@ - - - + + + @@ -371,22 +410,9 @@ - - + - - - - - - - - - - - - - + @@ -410,35 +436,9 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + @@ -449,20 +449,20 @@ - + - + - + - - + + - + @@ -472,25 +472,12 @@ - - - - - - - - - - - - - - - - + + + @@ -501,22 +488,9 @@ - + + - - - - - - - - - - - - - - @@ -524,12 +498,12 @@ - + - - - + + + @@ -543,19 +517,6 @@ - - - - - - - - - - - - - @@ -566,139 +527,243 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -709,9 +774,9 @@ - + + - @@ -722,7 +787,7 @@ - + @@ -735,9 +800,9 @@ - - - + + + @@ -748,22 +813,22 @@ - - - + + + - + - - + + - - + + @@ -771,38 +836,38 @@ - + - + + - - + - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + @@ -813,7 +878,7 @@ - + @@ -823,12 +888,12 @@ - + - + - + @@ -841,7 +906,7 @@ - + @@ -852,9 +917,9 @@ - + - + @@ -865,16 +930,16 @@ - - - + + + - + - + @@ -891,9 +956,22 @@ + + + + + + + + + + + + + - - + + @@ -904,244 +982,10 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - @@ -1154,34 +998,21 @@ - + - + - + - - - - - - - - - - - - - - - + + @@ -1193,11 +1024,24 @@ - + + + + + + + + + + + + + + - + @@ -1206,11 +1050,50 @@ - + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -1219,8 +1102,47 @@ - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -1232,58 +1154,97 @@ - - + + - + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - @@ -1294,48 +1255,22 @@ - - - - - - - - - - - - - - + + - - + - + - - - - - - - - - - - - - - + + - @@ -1346,9 +1281,9 @@ - - - + + + @@ -1359,87 +1294,87 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - - + + - + - + - - - + + + - - - - - - - - - - - - - - + - - - + + + - - - + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogic_02.htm b/cpld/XC95144/MXSE_html/fit/maplogic_02.htm similarity index 91% rename from cpld/XC95144XL/MXSE_html/fit/maplogic_02.htm rename to cpld/XC95144/MXSE_html/fit/maplogic_02.htm index 533b41d..dbe71a6 100644 --- a/cpld/XC95144XL/MXSE_html/fit/maplogic_02.htm +++ b/cpld/XC95144/MXSE_html/fit/maplogic_02.htm @@ -20,9 +20,9 @@ - - + + @@ -33,9 +33,9 @@ - + + - @@ -46,7 +46,7 @@ - + @@ -59,9 +59,9 @@ - - - + + + @@ -73,8 +73,8 @@ - - + + @@ -86,8 +86,8 @@ - - + + @@ -98,9 +98,9 @@ - + - + @@ -111,9 +111,9 @@ - + - + @@ -124,9 +124,9 @@ - - - + + + @@ -137,9 +137,9 @@ - - - + + + @@ -147,25 +147,51 @@ - + - - - + + + - + - + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -173,12 +199,12 @@ - + - - - + + + @@ -189,9 +215,22 @@ - - - + + + + + + + + + + + + + + + + @@ -202,19 +241,6 @@ - - - - - - - - - - - - - @@ -228,22 +254,9 @@ - - - - - - - - - - - - - - - - + + + @@ -254,20 +267,7 @@ - - - - - - - - - - - - - - + @@ -277,38 +277,12 @@ - - - - - - - - - - - - - - + + - - - - - - - - - - - - - - @@ -316,12 +290,12 @@ - + - - - + + + @@ -332,7 +306,7 @@ - + @@ -345,9 +319,9 @@ - - - + + + @@ -358,46 +332,46 @@ - - - - - - - - - - - - - - + - + - - - + + + - + - + - + + + + + + + + + + + + + + @@ -407,12 +381,12 @@ - + - + - + @@ -423,9 +397,9 @@ - + - + @@ -436,20 +410,33 @@ - - - + + + - + + + + + + + + + + + + + + - + @@ -459,77 +446,25 @@ - - - - - - - - - - - - - - + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - - - - - - - - - - - - - - + + - @@ -540,35 +475,22 @@ - + + - - + - + - - - - - - - - - - - - - - - - + + + @@ -579,87 +501,61 @@ - - - + + + - + - + - + - - - + + + - + - - - - + + + + - - - + + + - + - - + + - + - + - - + - - - - - - - - - - - - - - - - - - - - - - - - - - + @@ -667,12 +563,12 @@ - + - - + + @@ -683,9 +579,9 @@ - - - + + + @@ -696,9 +592,9 @@ - - + + @@ -709,9 +605,9 @@ - - - + + + @@ -722,9 +618,9 @@ - + - + @@ -735,9 +631,9 @@ - - + + @@ -748,9 +644,9 @@ - - + + @@ -761,9 +657,9 @@ - - + + @@ -771,12 +667,12 @@ - + - - - + + + @@ -787,7 +683,7 @@ - + @@ -800,36 +696,75 @@ - - - + + + - + - + - + + - - + - + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -839,9 +774,9 @@ - - - + + + @@ -852,20 +787,33 @@ - + + - - + - + - + + + + + + + + + + + + + + @@ -878,9 +826,9 @@ - - - + + + @@ -888,15 +836,15 @@ + - - + - - - + + + @@ -910,23 +858,39 @@ - + + - - + + - - + - + + + + + + + + + + + + + + + + + @@ -942,7 +906,7 @@ - + @@ -958,7 +922,7 @@ - + @@ -974,9 +938,9 @@ - + + - @@ -984,33 +948,17 @@ - - - - - - - - - - - - - - - - - + - + - + @@ -1022,9 +970,25 @@ - + - + + + + + + + + + + + + + + + + + @@ -1038,9 +1002,9 @@ - - - + + + @@ -1048,31 +1012,15 @@ - + - - + - - - - - - - - - - - - - - - - + @@ -1086,11 +1034,11 @@ - - - + + + - + @@ -1102,9 +1050,9 @@ - - - + + + @@ -1121,22 +1069,6 @@ - - - - - - - - - - - - - - - - @@ -1150,9 +1082,9 @@ - - - + + + @@ -1160,112 +1092,48 @@ - + - - - + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - + - - + + - + - - - - + + + + @@ -1278,9 +1146,137 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + @@ -1311,8 +1307,8 @@ - - + + @@ -1326,9 +1322,9 @@ - - - + + + @@ -1336,15 +1332,15 @@ - + - - + + @@ -1358,9 +1354,9 @@ - - - + + + @@ -1368,13 +1364,13 @@ - + - + @@ -1390,9 +1386,9 @@ - + - + @@ -1400,15 +1396,15 @@ - + - - - + + + @@ -1422,9 +1418,9 @@ - + + - @@ -1438,9 +1434,9 @@ - - - + + + @@ -1454,59 +1450,11 @@ - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + @@ -1518,57 +1466,41 @@ - - - + + + - + - - + + - - - - + + + + - + - - - - - - - - - - - - - - - - - - - + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogicdoc.htm b/cpld/XC95144/MXSE_html/fit/maplogicdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/maplogicdoc.htm rename to cpld/XC95144/MXSE_html/fit/maplogicdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/newappletref.htm b/cpld/XC95144/MXSE_html/fit/newappletref.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/newappletref.htm rename to cpld/XC95144/MXSE_html/fit/newappletref.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/next.jpg b/cpld/XC95144/MXSE_html/fit/next.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/next.jpg rename to cpld/XC95144/MXSE_html/fit/next.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/ns4plugin.js b/cpld/XC95144/MXSE_html/fit/ns4plugin.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/ns4plugin.js rename to cpld/XC95144/MXSE_html/fit/ns4plugin.js diff --git a/cpld/XC95144XL/MXSE_html/fit/options.htm b/cpld/XC95144/MXSE_html/fit/options.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/options.htm rename to cpld/XC95144/MXSE_html/fit/options.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/optionsdoc.htm b/cpld/XC95144/MXSE_html/fit/optionsdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/optionsdoc.htm rename to cpld/XC95144/MXSE_html/fit/optionsdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/paths.js b/cpld/XC95144/MXSE_html/fit/paths.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/paths.js rename to cpld/XC95144/MXSE_html/fit/paths.js diff --git a/cpld/XC95144XL/MXSE_html/fit/pin.gif b/cpld/XC95144/MXSE_html/fit/pin.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pin.gif rename to cpld/XC95144/MXSE_html/fit/pin.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/pin_legXC95.htm b/cpld/XC95144/MXSE_html/fit/pin_legXC95.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pin_legXC95.htm rename to cpld/XC95144/MXSE_html/fit/pin_legXC95.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/pin_legXbr.htm b/cpld/XC95144/MXSE_html/fit/pin_legXbr.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pin_legXbr.htm rename to cpld/XC95144/MXSE_html/fit/pin_legXbr.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/pin_legXpla3.htm b/cpld/XC95144/MXSE_html/fit/pin_legXpla3.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pin_legXpla3.htm rename to cpld/XC95144/MXSE_html/fit/pin_legXpla3.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/pindiagram.gif b/cpld/XC95144/MXSE_html/fit/pindiagram.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pindiagram.gif rename to cpld/XC95144/MXSE_html/fit/pindiagram.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/pinlegend.htm b/cpld/XC95144/MXSE_html/fit/pinlegend.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pinlegend.htm rename to cpld/XC95144/MXSE_html/fit/pinlegend.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/pinlegendV.htm b/cpld/XC95144/MXSE_html/fit/pinlegendV.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pinlegendV.htm rename to cpld/XC95144/MXSE_html/fit/pinlegendV.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/pins.htm b/cpld/XC95144/MXSE_html/fit/pins.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pins.htm rename to cpld/XC95144/MXSE_html/fit/pins.htm index 2c7fd7c..6df490a 100644 --- a/cpld/XC95144XL/MXSE_html/fit/pins.htm +++ b/cpld/XC95144/MXSE_html/fit/pins.htm @@ -49,67 +49,67 @@ - + - + - + - + - + - + - + - + - + - + - + - + - + @@ -134,7 +134,7 @@ - + @@ -154,7 +154,7 @@ - + @@ -174,7 +174,7 @@ - + @@ -184,7 +184,7 @@ - + @@ -194,7 +194,7 @@ - + @@ -204,12 +204,12 @@ - + - + @@ -219,7 +219,7 @@ - + @@ -239,7 +239,7 @@ - + @@ -254,12 +254,12 @@ - + - + @@ -269,27 +269,27 @@ - + - + - + - + - + @@ -299,22 +299,22 @@ - + - + - + - + @@ -324,32 +324,32 @@ - + - + - + - + - + - + @@ -359,27 +359,27 @@ - + - + - + - + - + @@ -389,37 +389,37 @@ - + - + - + - + - + - + - + @@ -434,17 +434,17 @@ - + - + - + @@ -459,42 +459,42 @@ - + - + - + - + - + - + - + - + diff --git a/cpld/XC95144XL/MXSE_html/fit/pins.js b/cpld/XC95144/MXSE_html/fit/pins.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pins.js rename to cpld/XC95144/MXSE_html/fit/pins.js diff --git a/cpld/XC95144XL/MXSE_html/fit/pinsdoc.htm b/cpld/XC95144/MXSE_html/fit/pinsdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pinsdoc.htm rename to cpld/XC95144/MXSE_html/fit/pinsdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/pinview.jpg b/cpld/XC95144/MXSE_html/fit/pinview.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pinview.jpg rename to cpld/XC95144/MXSE_html/fit/pinview.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/plugin.js b/cpld/XC95144/MXSE_html/fit/plugin.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/plugin.js rename to cpld/XC95144/MXSE_html/fit/plugin.js diff --git a/cpld/XC95144XL/MXSE_html/fit/prev.jpg b/cpld/XC95144/MXSE_html/fit/prev.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/prev.jpg rename to cpld/XC95144/MXSE_html/fit/prev.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/print.jpg b/cpld/XC95144/MXSE_html/fit/print.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/print.jpg rename to cpld/XC95144/MXSE_html/fit/print.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/products.gif b/cpld/XC95144/MXSE_html/fit/products.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/products.gif rename to cpld/XC95144/MXSE_html/fit/products.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/purchase.gif b/cpld/XC95144/MXSE_html/fit/purchase.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/purchase.gif rename to cpld/XC95144/MXSE_html/fit/purchase.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/report.htm b/cpld/XC95144/MXSE_html/fit/report.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/report.htm rename to cpld/XC95144/MXSE_html/fit/report.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/result.htm b/cpld/XC95144/MXSE_html/fit/result.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/result.htm rename to cpld/XC95144/MXSE_html/fit/result.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/search.gif b/cpld/XC95144/MXSE_html/fit/search.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/search.gif rename to cpld/XC95144/MXSE_html/fit/search.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/spacer.gif b/cpld/XC95144/MXSE_html/fit/spacer.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/spacer.gif rename to cpld/XC95144/MXSE_html/fit/spacer.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/style.css b/cpld/XC95144/MXSE_html/fit/style.css similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/style.css rename to cpld/XC95144/MXSE_html/fit/style.css diff --git a/cpld/XC95144XL/MXSE_html/fit/summary.htm b/cpld/XC95144/MXSE_html/fit/summary.htm similarity index 93% rename from cpld/XC95144XL/MXSE_html/fit/summary.htm rename to cpld/XC95144/MXSE_html/fit/summary.htm index be94ff0..97ed597 100644 --- a/cpld/XC95144XL/MXSE_html/fit/summary.htm +++ b/cpld/XC95144/MXSE_html/fit/summary.htm @@ -30,7 +30,7 @@ - +
    Reg Init State
    nDTACK_FSB2332nBERR_FSB39 FB1 MC2 STD11 I/O ORESET 
    $OpTx$FX_DC$606512iobs/IORW11619 FB1 MC4 STD  (b) (b) RESET
    nBERR_FSB39nDinOE26 FB1MC6MC5 STD FAST1413 I/O O  
    fsb/Ready1r717IOREQ1419 FB1 MC7 STDRESET
    fsb/VPA__or00001/fsb/VPA__or00001_D2820nROMCS25 FB1MC10MC8 STD  (b)(b)FAST15I/OO  
    nOE1iobs/PS_FSM_FFd21419FB1MC9STD 16I/OIRESET
    nVMA_IOB 29 FB1 MC11 STD17 I/O O RESET
    fsb/Ready2r922BERR_IOBS48 FB1 MC12 STDRESET
    fsb/VPA2230fsb/BERR0r38FB1MC13STD  (b)(b)RESET
    RA<10>11FB1MC14STDFAST19I/OO 
    cs/nOverlay027 FB1 MC15 STDRESET
    $OpTx$FX_DC$60225IORW01820 FB1MC17MC16 STD  22I/O/GCK1GCK  (b)(b)RESET
    iobm/VPArriobm/RESrr 1 1 FB2RESET
    iobm/VPArfiobm/RESrf 1 1 FB2RESET
    iobm/RESrriobm/IOREQr 1 1 FB2RESET
    iobm/RESrfiobm/Er2 1 1 FB2RESET
    iobm/IOREQr1iobm/ETACK 16 FB2 MC5 STDRESET
    cnt/RefCnt<3>iobm/DTACKrr1 13 FB2 MC6 STDRESET
    cnt/RefCnt<2>iobm/DTACKrf1 12 FB2 MC7 STDRESET
    cnt/RefCnt<1>iobm/BERRrr 1 1 FB2RESET
    fsb/BERR1r24iobm/BERRrf11 FB2 MC9 STDRESET
    cnt/RefDone210iobm/ES<3>36 FB2 MC10 STD
    nLDS_IOB3746 FB2 MC11 STD
    nUDS_IOB3746 FB2 MC12 STDRESET
    cnt/TimeoutBPreiobm/ES<1> 3114 FB2 MC13 STDRESET
    nDoutOEnAS_IOB 274 FB2 MC14 STDRESET
    TimeoutBiobm/ES<0> 3127 FB2 MC15 STDRESET
    TimeoutA310iobm/ES<4>47 FB2 MC16 STDRESET
    nAS_IOB15nDoutOE24 FB2 MC17 STDRESET
    iobs/IOReady48iobm/ES<2>57 FB2 MC18 STDRESET
    IORW018ram/RASEL 20FB3MC3STD  (b)(b)RESET
    iobs/IOACTr1115 FB3 MC4 STD 
    iobs/Clear113FB3MC6STD 25I/OIRESET
    fsb/ASrf11FB3MC7STD  (b)(b)RESET
    ALE0S12ram/RAMDIS2715 FB3 MC8 STDRESET
    iobs/PS_FSM_FFd2iobs/Load1 141918 FB3MC9MC10 STD  28I/O (b) (b) RESET
    nADoutLE1RA<0> 2 3 FB329 I/O OSET
    iobs/PS_FSM_FFd123FB3MC12STD  30I/O(b)RESET
    IOREQ1419ram/RAMReady1615 FB3 MC13 STDRESET
    iobm/ETACKRA<11>1 16FB3MC14STD 32I/O(b)RESET
    nVMA_IOB29 FB3 MC15 STD33 I/O ORESET 
    iobs/IORW11619ram/RAMDIS11815 FB3 MC17 STDram/BACTr 1 2FB3MC18STD  (b)(b)RESET
    ram/RASEL2015 FB4 MC1 STDRESET
    RA<0>23FB4MC2STDFAST87I/OO 
    cs/nOverlay123FB4MC3STD  (b)(b)RESET
    iobs/Load11418FB4MC6STD 90I/OIRESET
    RA<2>23FB4MC8STDFAST91I/OO 
    iobs/Once1718FB4MC10STD  (b)(b)RESET
    RA<4>23FB4MC12STDFAST94I/OO 
    ram/RAMDIS11815FB4MC14STD 95I/OIRESET
    fsb/BERR0r38FB4MC16STD  (b)(b)RESET
    nDinOE26FB4MC17STDFAST97I/OO 
    IOACT1015FB5MC1STD  (b)(b)RESET
    RA<1> 2 3FB4MC2STDFAST87I/OO 
    cnt/RefCnt<7>17FB4MC3STD  (b)(b)RESET
    cnt/RefCnt<6>16FB4MC4STD  (b)(b)RESET
    cnt/RefCnt<5>15FB4MC5STD 89I/OIRESET
    nOE12FB4MC6STDFAST90I/OO 
    cnt/RefCnt<4>14FB4MC7STD  (b)(b)RESET
    cnt/RefCnt<3>13FB4MC8STD 91I/OIRESET
    nRAMLWE15FB4MC9STDFAST92I/OO 
    cnt/RefCnt<2>12FB4MC10STD  (b)(b)RESET
    fsb/BERR1r24FB4MC11STD 93I/OIRESET
    nRAMUWE15FB4MC12STDFAST94I/OO 
    cnt/RefDone210FB4MC13STD  (b)(b)RESET
    cnt/TimeoutBPre311FB4MC14STD 95I/OIRESET
    nROMWE12FB4MC15STDFAST96I/OO 
    TimeoutB312FB4MC16STD  (b)(b)RESET
    TimeoutA310FB4MC17STD 97I/OIRESET
    IOU035FB4MC18STD  (b)(b)RESET
    iobs/IOACTr11FB5MC1STD  (b)(b)RESET
    RA<2>23 FB5 MC2 STD 
    iobm/IOS_FSM_FFd7fsb/ASrf1 13 FB5 MC3 STDRESET
    iobm/IOS_FSM_FFd6cnt/RefCnt<1> 1 1 FB5RESET
    iobm/IOS_FSM_FFd511cnt/RefCnt<0>00 FB5 MC5 STDRESET
    iobm/IOS_FSM_FFd411RA<3>23 FB5 MC6 STD FAST 37 I/O(b)RESETO 
    iobm/BERRrr1$OpTx$$OpTx$FX_DC$355_INV$439 12 FB5 MC7 STD  (b) (b)RESET 
    RA<3>iobs/IOU12 23 FB5 MC8 STDFAST  39 I/OO 
    iobm/BERRrf11FB5MC9STD 40I/O (b) RESET
    cnt/RefCnt<0>00RA<4>23FB5MC9STDFAST40I/OO 
    iobm/IOS_FSM_FFd224 FB5 MC10 STDRESET
    iobm/IOS_FSM_FFd8iobm/IOS_FSM_FFd1 2 4 FB541 I/O (b)SETRESET
    nROMCSRA<9> 253 FB5 MC12 STD
    ALE0M 275 FB5 MC13 STDRESET
    iobm/IOS_FSM_FFd2iobm/IOS_FSM_FFd4 496 FB5 MC14 STDRESET
    BERR_IOBS48nCAS11 FB5 MC15 STD FAST 46 I/O(b)O RESET
    RESET
    IOACT613FB5MC17STD 49I/O(b)RESET
    IOBERR811914 FB5 MC18 STDRESET
    iobm/Er211FB6MC1STD  (b)(b)RESET
    nCAS11FB6MC2STDFAST74I/OORESET
    iobm/DTACKrr11FB6MC3STD  (b)(b)RESET
    iobm/DTACKrf11FB6MC4STD  (b)(b)RESET
    RefAck12FB6MC5STD 76I/OIRESET
    nRAMLWE1ram/Once 510 FB6MC6STDFAST77I/OO 
    iobs/IOU122FB6MC7STD  (b)(b)RESET
    iobs/IOL122FB6MC8STD 78I/OIRESET
    nRAMUWE15FB6MC9STDFAST79I/OO 
    iobm/ES<3>36FB6MC10STD  (b)(b)RESET
    iobm/ES<1>34FB6MC11STD 80I/OIRESET
    nROMWE12FB6MC12STDFAST81I/OO 
    iobm/ES<0>37FB6MC13STD  (b)(b)RESET
    IOU035FB6MC14STD 82I/OIRESET
    nVPA_FSB12FB6MC15STDFAST85I/OO 
    IOL035FB6MC16STD  (b)(b)RESET
    iobm/ES<4>47FB6MC17STD 86I/OIRESET
    iobm/ES<2>57FB6MC18STD  (b)(b)RESET
    cnt/RefCnt<5>15FB7 MC1 STD  RA<5> 2 3FB7FB6 MC2 STD FAST5074 I/O O  
    cnt/RefCnt<4>ALE0S 14FB7MC3STD  (b)(b)RESET
    cs/nOverlay0 27FB7MC4FB6MC3 STD    ram/RS_FSM_FFd3 11 14FB7FB6MC4STD  (b)(b)RESET
    iobs/PS_FSM_FFd123FB6 MC5 STD  5276 I/O I RESETRA<6> 2 3FB7FB6 MC6 STD FAST5377I/OO 
    iobs/IOL122FB6MC7STD  (b)(b)RESET
    cs/nOverlay123FB6MC8STD 78I/OIRESET
    RA<7>23FB6MC9STDFAST79 I/O O  fsb/Ready0r 3 8FB7MC7FB6MC10STD  (b)(b)RESET
    IOL035FB6MC11STD 80I/OIRESET
    RA<8>23FB6MC12STDFAST81I/OO 
    iobs/IOReady48FB6MC13 STD    ram/RS_FSM_FFd1 5 10FB7MC8FB6MC14 STD  5482 I/O I RESET
    RA<7>nADoutLE123FB6MC15STDFAST85I/OOSET
    iobs/Clear113FB6MC16STD  (b)(b)RESET
    ram/RS_FSM_FFd21314FB6MC17STD 86I/OIRESET
    RefAck12FB6MC18STD  (b)(b)RESET
    nDinLE 2 3 FB7MC9MC2 STD FAST5550I/OORESET
    nADoutLE012FB7MC8STDFAST54 I/O O  
    ram/Once510FB7MC10STD  (b)(b)RESET
    ram/RAMDIS2715FB7MC11STD 56I/OIRESET
    RA<8>nVPA_FSB1 23 FB7 MC12 STD 
    ram/RS_FSM_FFd21314FB7MC13STD  (b)(b)RESET
    cnt/RefCnt<7>iobm/VPArr1 17 FB7MC14MC15 STD  5960 I/O I RESET
    RA<9>23FB7MC15STDFAST60I/OO 
    cnt/RefCnt<6>iobm/VPArf1 16 FB7 MC16 STDRESET
    ram/RAMReady1615iobm/Er11 FB7 MC17 STDRESET
    RA<11>11FB8MC2STDFAST63I/OO 
    RA<10>11FB8MC6STDFAST65I/OO 
    nADoutLE01$OpTx$FX_DC$360 22FB7MC18STD  (b)(b) 
    nDTACK_FSB2233FB8MC5STDFAST64I/OORESET
    fsb/Ready2r922FB8MC8STD 66I/OIRESET
    fsb/Ready1r717 FB8 MC9 STDFAST  67 I/OO IRESET
    nAoutOE 0 0 FB8MC12MC11 STD FAST7068 I/O O  
    nDinLE12iobs/Once1718 FB8MC15STDFAST72I/OORESET
    iobm/IOS_FSM_FFd111FB8MC17MC13 STD  73I/OI (b)(b) RESET
    iobm/Er11fsb/VPA2531 FB8 MC18 STDReg Init State
    iobm/IOREQr1iobm/ETACK 16 FB2 MC5 STDRESET
    cnt/RefCnt<3>iobm/DTACKrr1 13 FB2 MC6 STDRESET
    cnt/RefCnt<1>iobm/BERRrr 1 1 FB2RESET
    fsb/BERR1r24iobm/BERRrf11 FB2 MC9 STD
    nLDS_IOB3746 FB2 MC11 STD
    nUDS_IOB3746 FB2 MC12 STDRESET
    nDoutOEnAS_IOB 274 FB2 MC14 STDRESET
    TimeoutBiobm/ES<0> 3127 FB2 MC15 STDRESET
    nAS_IOB15nDoutOE24 FB2 MC17 STDRESET
    nDTACK_FSB2332nBERR_FSB39 FB1 MC2 STD11 I/O ORESET 
    nBERR_FSB39nDinOE26 FB1MC6MC5 STD FAST1413 I/O O  
    nOE1nROMCS 25FB1MC8STDFAST15I/OO 
    iobs/PS_FSM_FFd21419FB1MC9STD 16I/OIRESET
    nVMA_IOB29 FB1 MC11 STD17 I/O O RESET
    fsb/Ready2r922BERR_IOBS48 FB1 MC12 STDRESET
    fsb/VPA2230RA<10>11FB1MC14STDFAST19I/OO 
    cs/nOverlay027 FB1 MC15 STDRESET
    $OpTx$FX_DC$60225FB1MC17STD 22I/O/GCK1GCK 
    nRAS 3 8 
    iobs/Clear113FB3MC6STD 25I/OIRESET
    ALE0S12ram/RAMDIS2715 FB3 MC8 STDRESET
    iobs/PS_FSM_FFd21419FB3MC9STD 28I/O(b)RESET
    nADoutLE1RA<0> 2 3 FB329 I/O OSET
    iobs/PS_FSM_FFd123FB3MC12STD  30I/O(b)RESET
    iobm/ETACKRA<11>1 16FB3MC14STD 32I/O(b)RESET
    nVMA_IOB29 FB3 MC15 STD33 I/O ORESET 
    iobs/IORW11619ram/RAMDIS11815 FB3 MC17 STDRESET
    RA<1>RA<2> 2 3 FB5 
    iobm/IOS_FSM_FFd511cnt/RefCnt<0>00 FB5 MC5 STDRESET
    iobm/IOS_FSM_FFd411FB5MC6STD 37I/O(b)RESET
    RA<3> 2 3 FB5MC8MC6 STD FAST3937 I/O O  
    iobm/BERRrf11iobs/IOU122 FB5MC9MC8 STD  4039 I/O (b) RESET
    iobm/IOS_FSM_FFd8RA<4>23FB5MC9STDFAST40I/OO 
    iobm/IOS_FSM_FFd1 2 4 FB541 I/O (b)SETRESET
    nROMCSRA<9> 253 FB5 MC12 STD 
    iobm/IOS_FSM_FFd2iobm/IOS_FSM_FFd4 496 FB5 MC14 STDRESET
    BERR_IOBS48nCAS11 FB5 MC15 STD FAST 46 I/OORESET
    IOACT613FB5MC17STD 49I/O (b) RESET
    RA<5>nDinLE 2 3 FB750 I/O O 
    ram/RS_FSM_FFd31114FB7MC5STD 52I/OI RESET
    RA<6>nADoutLE01 23FB7MC6STDFAST53I/OO 
    ram/RS_FSM_FFd1510 FB7 MC8 STD 54I/OIRESET
    RA<7>23FB7MC9STD FAST5554 I/O O  
    ram/RAMDIS2715FB7MC11STD 56I/OIRESET
    RA<8>nVPA_FSB1 23 FB7 MC12 STD 
    cnt/RefCnt<7>iobm/VPArr1 17 FB7MC14MC15 STD  5960 I/O I RESET
    RA<9>23FB7MC15STDFAST60I/OO 
    ram/RAMReady1615iobm/Er11 FB7 MC17 STDRESET
    RA<11>11nDTACK_FSB2233 FB8MC2MC5 STD FAST6364 I/O O RESET
    RA<10>11fsb/Ready2r922 FB8MC6MC8 STDFAST65I/OO  66I/OIRESET
    nADoutLE012fsb/Ready1r717 FB8 MC9 STDFAST  67 I/OO IRESET
    nAoutOE 0 0 FB8MC12MC11 STD FAST7068 I/O O  
    nDinLE1RA<5> 2FB8MC15STDFAST72I/OORESET
    iobm/IOS_FSM_FFd111FB8MC17STD 73I/OIRESET
    nCAS113 FB6 MC2 STD74 I/O ORESET 
    RefAck1iobs/PS_FSM_FFd1 23 FB6 MC5 STDRESET
    nRAMLWE15RA<6>23 FB6 MC6 STD 
    iobs/IOL12cs/nOverlay1 23 FB6 MC8 STDRESET
    nRAMUWE15RA<7>23 FB6 MC9 STD 
    iobm/ES<1>IOL0 345 FB6 MC11 STDRESET
    nROMWE1RA<8> 23 FB6 MC12 STD 
    IOU03ram/RS_FSM_FFd1 510 FB6 MC14 STDRESET
    nVPA_FSB1nADoutLE1 23 FB6 MC15 STD85 I/O O SET
    iobm/ES<4>47ram/RS_FSM_FFd21314 FB6 MC17 STDRESET
    RA<0>RA<1> 2 3 FB4 
    iobs/Load11418cnt/RefCnt<5>15 FB4MC6MC5 STD  9089 I/O I RESET
    RA<2>nOE1 23 FB4MC8MC6 STD FAST9190 I/O O  
    RA<4>2cnt/RefCnt<3>1 3 FB4MC8STD 91I/OIRESET
    nRAMLWE15FB4MC9STDFAST92I/OO 
    fsb/BERR1r24FB4MC11STD 93I/OIRESET
    nRAMUWE15FB4 MC12 STD FAST 
    ram/RAMDIS11815cnt/TimeoutBPre311 FB4 MC14 STDRESET
    nDinOEnROMWE1 26 FB4MC17MC15 STD FAST9796 I/O O  
    iobm/VPArfTimeoutA310FB4MC17STD 97I/OIRESET
    iobm/RESrf 1 1 FB2RESET
    $OpTx$FX_DC$606512iobs/IORW11619 FB1 MC4 STD  (b) (b)T      RESET
    fsb/Ready1r717IOREQ1419 FB1 MC7 STDRESET
    fsb/VPA__or00001/fsb/VPA__or00001_D2fsb/BERR0r3 820 FB1MC10MC13 STD     (b) (b)D      RESET
    iobm/VPArrIORW01820FB1MC16STD  (b)(b)T  RESET
    iobm/RESrr 1 1 FB2RESET
    iobm/RESrriobm/IOREQr 1 1 FB2RESET
    iobm/RESrfiobm/Er2 1 1 FB2RESET
    cnt/RefCnt<2>iobm/DTACKrf1 12 FB2 MC7 STD  (b) (b)T  RESET
    cnt/RefDone210FB2MC10STD  (b)(b) D     RESET
    cnt/TimeoutBPreiobm/ES<3> 3116 FB2MC13MC10 STD    RESET
    TimeoutAiobm/ES<1> 3104FB2MC13STD  (b)(b)D  RESET
    iobm/ES<4>47 FB2 MC16 STDRESET
    iobs/IOReady48iobm/ES<2>57 FB2 MC18 STD  (b) (b)TD     RESET
    IORW018ram/RASEL 20FB3MC3STD  (b)(b)T  RESET
    iobs/IOACTr1115 FB3 MC4 STDRESET
    fsb/ASrf11iobs/Load11418 FB3MC7MC10 STD    RESET
    IOREQ1419ram/RAMReady1615 FB3 MC13 STDram/BACTr 1 2FB3MC18STD  (b)(b)D  RESET
    ram/RASEL2015 FB4 MC1 STDRESET
    cs/nOverlay123cnt/RefCnt<7>17 FB4 MC3 STD  (b) (b)DT     RESET
    iobs/Once1718cnt/RefCnt<6>16 FB4MC10STD  (b)(b)D  RESET
    fsb/BERR0r38FB4MC16STD  (b)(b)D  RESET
    IOACT1015FB5MC1STD  (b)(b)D  RESET
    iobm/IOS_FSM_FFd713FB5MC3STD  (b)(b)D  RESET
    iobm/IOS_FSM_FFd611FB5 MC4 STD     (b) (b)DT     RESET
    iobm/BERRrrcnt/RefCnt<4> 11FB54FB4 MC7 STD     (b) (b)DT     RESET
    cnt/RefCnt<0>00FB5cnt/RefCnt<2>12FB4 MC10 STD  RESET
    cnt/RefDone210FB4MC13STD  (b)(b)D  RESET
    TimeoutB312FB4MC16STD  (b)(b)T  RESET
    IOU035FB4MC18STD  (b)(b)D  RESET
    iobs/IOACTr11FB5MC1STD  (b)(b)D  RESET
    fsb/ASrf11FB5MC3STD  (b)(b)D  RESET
    cnt/RefCnt<1>11FB5MC4STD  (b)(b)T  RESET
    $OpTx$$OpTx$FX_DC$355_INV$43912FB5MC7STD  (b)(b)    
    iobm/IOS_FSM_FFd224FB5MC10STD  (b)(b)D  RESET
    ALE0M 275 FB5 MC13 STD
    IOBERR811914 FB5 MC18 STDRESET
    iobm/Er211ram/Once510 FB6 MC1 STD  (b) (b)DT     RESET
    iobm/DTACKrr1ALE0S 12 FB6 MC3 STDRESET
    iobm/DTACKrf11ram/RS_FSM_FFd31114 FB6 MC4 STD  (b) (b)DT     RESET
    iobs/IOU1iobs/IOL1 2 2 FB6RESET
    iobm/ES<3>fsb/Ready0r 368 FB6 MC10 STD  (b) (b)TD     RESET
    iobm/ES<0>37iobs/IOReady48 FB6 MC13 STDRESET
    IOL0iobs/Clear11 35 FB6 MC16 STDRESET
    iobm/ES<2>57RefAck12 FB6 MC18 STDRESET
    cnt/RefCnt<5>iobm/VPArf 15FB7MC1STD  (b)(b)T  RESET
    cnt/RefCnt<4> 14 FB7MC3STD  (b)(b)T  RESET
    cs/nOverlay027FB7MC4STD  (b)(b)T  RESET
    fsb/Ready0r38FB7MC7MC16 STD    RESET
    ram/Once510$OpTx$FX_DC$36022 FB7MC10MC18 STD     (b) (b)T    RESET  
    ram/RS_FSM_FFd21314FB7iobs/Once1718FB8 MC13 STD     (b) (b)TD     RESET
    cnt/RefCnt<6>16FB7MC16STD  (b)(b)T  RESET
    iobm/Er11fsb/VPA2531 FB8 MC18 STD
    8 I/OnDoutOEnAS_IOB
    9 I/OA_FSB<22>nLDS_FSB
    10 I/OnAS_IOBnDoutOE
    11 I/OnDTACK_FSBnBERR_FSB
    12 I/OA_FSB<9>A_FSB<13>
    13 I/OnAS_FSBnDinOE
    14 I/OnBERR_FSBA_FSB<20>
    15 I/OA_FSB<5>nROMCS
    16 I/OA_FSB<2>A_FSB<5>
    17 I/OnOEnVMA_IOB
    18 I/OnBERR_IOBnUDS_FSB
    19 I/OA_FSB<6>RA<10>
    20 I/OA_FSB<7>nDTACK_IOB
    21
    25 I/OnLDS_FSBnVPA_IOB
    26
    29 I/OnADoutLE1RA<0>
    30
    33 I/OnVMA_IOBRA<11>
    34
    35 I/ORA<1>RA<2>
    36
    37 I/OKPRRA<3>
    38
    39 I/ORA<3>KPR
    40 I/OKPRRA<4>
    41
    42 I/OnROMCSRA<9>
    43
    46 I/OKPRnCAS
    47
    49 I/OE_IOBKPR
    50 I/ORA<5>nDinLE
    51
    52 I/OnUDS_FSBKPR
    53 I/ORA<6>E_IOB
    54 I/OnDTACK_IOBnADoutLE0
    55 I/ORA<7>A_FSB<2>
    56 I/OA_FSB<4>nBERR_IOB
    57
    58 I/ORA<8>nVPA_FSB
    59 I/OnVPA_IOBA_FSB<4>
    60 I/ORA<9>nWE_FSB
    61 I/OnWE_FSBA_FSB<19>
    62
    63 I/ORA<11>A_FSB<14>
    64 I/OA_FSB<8>nDTACK_FSB
    65 I/ORA<10>A_FSB<12>
    66 I/OA_FSB<23>A_FSB<11>
    67 I/OnADoutLE0A_FSB<3>
    68 I/OA_FSB<21>nAoutOE
    69
    70 I/OnAoutOEnAS_FSB
    71 I/OA_FSB<20>A_FSB<9>
    72 I/OnDinLEA_FSB<1>
    73 I/OA_FSB<19>A_FSB<8>
    74 I/OnCASRA<5>
    75
    76 I/OA_FSB<18>A_FSB<7>
    77 I/OnRAMLWERA<6>
    78 I/OA_FSB<3>A_FSB<23>
    79 I/OnRAMUWERA<7>
    80 I/OA_FSB<15>A_FSB<21>
    81 I/OnROMWERA<8>
    82 I/OA_FSB<13>A_FSB<17>
    83
    85 I/OnVPA_FSBnADoutLE1
    86 I/OA_FSB<11>A_FSB<15>
    87 I/ORA<0>RA<1>
    88
    90 I/OA_FSB<1>nOE
    91 I/ORA<2>A_FSB<16>
    92 I/OA_FSB<12>nRAMLWE
    93 I/OA_FSB<14>A_FSB<18>
    94 I/ORA<4>nRAMUWE
    95 I/OA_FSB<16>A_FSB<22>
    96 I/OA_FSB<17>nROMWE
    97 I/OnDinOEA_FSB<6>
    98
     Date  12-11-2021, 6:24AM  2- 7-2022, 0:04AM

    RESOURCES SUMMARY
    @@ -42,11 +42,11 @@ - - - + + + - +
    Function Block Inputs Used
    110/144  (77%)439/720  (61%)84/144  (59%)105/144  (73%)427/720  (60%)80/144  (56%) 67/81  (83%)244/432  (57%)234/432  (55%)

    PIN RESOURCES
    @@ -137,7 +137,7 @@
    - + @@ -145,7 +145,7 @@ - +
     Macrocells in high performance mode (MCHP) 110 105
     Macrocells in low power mode (MCLP)
     Total macrocells used (MC) 110 105
    diff --git a/cpld/XC95144XL/MXSE_html/fit/summary.js b/cpld/XC95144/MXSE_html/fit/summary.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/summary.js rename to cpld/XC95144/MXSE_html/fit/summary.js diff --git a/cpld/XC95144XL/MXSE_html/fit/summarydoc.htm b/cpld/XC95144/MXSE_html/fit/summarydoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/summarydoc.htm rename to cpld/XC95144/MXSE_html/fit/summarydoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/support.gif b/cpld/XC95144/MXSE_html/fit/support.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/support.gif rename to cpld/XC95144/MXSE_html/fit/support.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/time.htm b/cpld/XC95144/MXSE_html/fit/time.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/time.htm rename to cpld/XC95144/MXSE_html/fit/time.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/tooltips.js b/cpld/XC95144/MXSE_html/fit/tooltips.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/tooltips.js rename to cpld/XC95144/MXSE_html/fit/tooltips.js diff --git a/cpld/XC95144XL/MXSE_html/fit/topnav.htm b/cpld/XC95144/MXSE_html/fit/topnav.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/topnav.htm rename to cpld/XC95144/MXSE_html/fit/topnav.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/topnav.js b/cpld/XC95144/MXSE_html/fit/topnav.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/topnav.js rename to cpld/XC95144/MXSE_html/fit/topnav.js diff --git a/cpld/XC95144XL/MXSE_html/fit/unmapinputdoc.htm b/cpld/XC95144/MXSE_html/fit/unmapinputdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/unmapinputdoc.htm rename to cpld/XC95144/MXSE_html/fit/unmapinputdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/unmaplogicdoc.htm b/cpld/XC95144/MXSE_html/fit/unmaplogicdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/unmaplogicdoc.htm rename to cpld/XC95144/MXSE_html/fit/unmaplogicdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/verboseview.jpg b/cpld/XC95144/MXSE_html/fit/verboseview.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/verboseview.jpg rename to cpld/XC95144/MXSE_html/fit/verboseview.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/view.gif b/cpld/XC95144/MXSE_html/fit/view.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/view.gif rename to cpld/XC95144/MXSE_html/fit/view.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/wait.htm b/cpld/XC95144/MXSE_html/fit/wait.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/wait.htm rename to cpld/XC95144/MXSE_html/fit/wait.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/xc9500_logo.gif b/cpld/XC95144/MXSE_html/fit/xc9500_logo.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xc9500_logo.gif rename to cpld/XC95144/MXSE_html/fit/xc9500_logo.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/xc9500_logo.jpg b/cpld/XC95144/MXSE_html/fit/xc9500_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xc9500_logo.jpg rename to cpld/XC95144/MXSE_html/fit/xc9500_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/xc9500xl_logo.gif b/cpld/XC95144/MXSE_html/fit/xc9500xl_logo.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xc9500xl_logo.gif rename to cpld/XC95144/MXSE_html/fit/xc9500xl_logo.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/xc9500xl_logo.jpg b/cpld/XC95144/MXSE_html/fit/xc9500xl_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xc9500xl_logo.jpg rename to cpld/XC95144/MXSE_html/fit/xc9500xl_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/xc9500xv_logo.jpg b/cpld/XC95144/MXSE_html/fit/xc9500xv_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xc9500xv_logo.jpg rename to cpld/XC95144/MXSE_html/fit/xc9500xv_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/xcenter.gif b/cpld/XC95144/MXSE_html/fit/xcenter.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xcenter.gif rename to cpld/XC95144/MXSE_html/fit/xcenter.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/xlogo.gif b/cpld/XC95144/MXSE_html/fit/xlogo.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xlogo.gif rename to cpld/XC95144/MXSE_html/fit/xlogo.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/xml5.jpg b/cpld/XC95144/MXSE_html/fit/xml5.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xml5.jpg rename to cpld/XC95144/MXSE_html/fit/xml5.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/xml6.jpg b/cpld/XC95144/MXSE_html/fit/xml6.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xml6.jpg rename to cpld/XC95144/MXSE_html/fit/xml6.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/xml7.jpg b/cpld/XC95144/MXSE_html/fit/xml7.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xml7.jpg rename to cpld/XC95144/MXSE_html/fit/xml7.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/xml8.jpg b/cpld/XC95144/MXSE_html/fit/xml8.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xml8.jpg rename to cpld/XC95144/MXSE_html/fit/xml8.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/acr2_logo.jpg b/cpld/XC95144/MXSE_html/images/acr2_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/acr2_logo.jpg rename to cpld/XC95144/MXSE_html/images/acr2_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/blackBar.jpg b/cpld/XC95144/MXSE_html/images/blackBar.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/blackBar.jpg rename to cpld/XC95144/MXSE_html/images/blackBar.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/cpldBanner.jpg b/cpld/XC95144/MXSE_html/images/cpldBanner.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/cpldBanner.jpg rename to cpld/XC95144/MXSE_html/images/cpldBanner.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/cr2s_logo.jpg b/cpld/XC95144/MXSE_html/images/cr2s_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/cr2s_logo.jpg rename to cpld/XC95144/MXSE_html/images/cr2s_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/fitterRpt.jpg b/cpld/XC95144/MXSE_html/images/fitterRpt.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/fitterRpt.jpg rename to cpld/XC95144/MXSE_html/images/fitterRpt.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/logo.jpg b/cpld/XC95144/MXSE_html/images/logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/logo.jpg rename to cpld/XC95144/MXSE_html/images/logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/spacer.jpg b/cpld/XC95144/MXSE_html/images/spacer.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/spacer.jpg rename to cpld/XC95144/MXSE_html/images/spacer.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/timingRpt.jpg b/cpld/XC95144/MXSE_html/images/timingRpt.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/timingRpt.jpg rename to cpld/XC95144/MXSE_html/images/timingRpt.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/xa9500xl_logo.jpg b/cpld/XC95144/MXSE_html/images/xa9500xl_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/xa9500xl_logo.jpg rename to cpld/XC95144/MXSE_html/images/xa9500xl_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/xbr_logo.jpg b/cpld/XC95144/MXSE_html/images/xbr_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/xbr_logo.jpg rename to cpld/XC95144/MXSE_html/images/xbr_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/xc9500_logo.jpg b/cpld/XC95144/MXSE_html/images/xc9500_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/xc9500_logo.jpg rename to cpld/XC95144/MXSE_html/images/xc9500_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/xc9500xl_logo.jpg b/cpld/XC95144/MXSE_html/images/xc9500xl_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/xc9500xl_logo.jpg rename to cpld/XC95144/MXSE_html/images/xc9500xl_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/xc9500xv_logo.jpg b/cpld/XC95144/MXSE_html/images/xc9500xv_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/xc9500xv_logo.jpg rename to cpld/XC95144/MXSE_html/images/xc9500xv_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/xpla3_logo.jpg b/cpld/XC95144/MXSE_html/images/xpla3_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/xpla3_logo.jpg rename to cpld/XC95144/MXSE_html/images/xpla3_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/tim/cpldta_glossary.htm b/cpld/XC95144/MXSE_html/tim/cpldta_glossary.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/tim/cpldta_glossary.htm rename to cpld/XC95144/MXSE_html/tim/cpldta_glossary.htm diff --git a/cpld/XC95144XL/MXSE_html/tim/cpldta_style.css b/cpld/XC95144/MXSE_html/tim/cpldta_style.css similarity index 100% rename from cpld/XC95144XL/MXSE_html/tim/cpldta_style.css rename to cpld/XC95144/MXSE_html/tim/cpldta_style.css diff --git a/cpld/XC95144XL/MXSE_html/tim/genreport.htm b/cpld/XC95144/MXSE_html/tim/genreport.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/tim/genreport.htm rename to cpld/XC95144/MXSE_html/tim/genreport.htm diff --git a/cpld/XC95144XL/MXSE_html/tim/leftnav.htm b/cpld/XC95144/MXSE_html/tim/leftnav.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/tim/leftnav.htm rename to cpld/XC95144/MXSE_html/tim/leftnav.htm diff --git a/cpld/XC95144XL/MXSE_html/tim/report.htm b/cpld/XC95144/MXSE_html/tim/report.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/tim/report.htm rename to cpld/XC95144/MXSE_html/tim/report.htm diff --git a/cpld/XC95144XL/MXSE_html/tim/timing_report.htm b/cpld/XC95144/MXSE_html/tim/timing_report.htm similarity index 79% rename from cpld/XC95144XL/MXSE_html/tim/timing_report.htm rename to cpld/XC95144/MXSE_html/tim/timing_report.htm index d147136..e61dade 100644 --- a/cpld/XC95144XL/MXSE_html/tim/timing_report.htm +++ b/cpld/XC95144/MXSE_html/tim/timing_report.htm @@ -27,7 +27,7 @@ - @@ -38,24 +38,35 @@
    XC95144XL, -10 (3.0)
    Date Created Sat Dec 11 06:24:30 2021 + Date Created Mon Feb 07 00:05:04 2022

    Summary

    + + + + + + + + + + +
    Notes and Warnings
    Note: This design contains no timing constraints.
    Note: A default set of constraints using a delay of 0.000ns will be used for analysis.

    - + - + - + @@ -63,7 +74,7 @@ - + @@ -81,33 +92,61 @@ - - + + - - - - + + + + - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Performance Summary
    Min. Clock Period20.100 ns.19.100 ns.
    Max. Clock Frequency (fSYSTEM)49.751 MHz. 52.356 MHz.
    Limited by Cycle Time for CLK_FSB
    Clock to Setup (tCYC)20.100 ns. 19.100 ns.
    Pad to Pad Delay (tPD)
    Setup to Clock at the Pad (tSU)16.600 ns. 15.600 ns.
    Clock Pad to Output Pad Delay (tCO)Paths Failing
    TS_CLK_IOB142.8TS10000.0 0.0 0 0
    TS_CLK_FSB40.020.1273TS10010.00.00 0
    TS_CLK2X_IOB66.611.0120TS10020.00.0 00
    AUTO_TS_F2F0.019.1398398
    AUTO_TS_P2P0.014.57979
    AUTO_TS_P2F0.017.4216216
    AUTO_TS_F2P0.012.73535


    - -

    Constraint: TS_CLK_IOB

    +
    +

    Constraint: TS1000

    - + @@ -117,10 +156,36 @@
    Description: PERIOD:CLK_IOB:142.857nS:HIGH:71.428nSDescription: PERIOD:PERIOD_CLK_IOB:0.000 nS
    Path Requirement (ns)


    -
    -

    Constraint: TS_CLK_FSB

    +
    +

    Constraint: TS1001

    - + + + + + + + +
    Description: PERIOD:CLK_FSB:40.000nS:HIGH:20.000nSDescription: PERIOD:PERIOD_CLK_FSB:0.000 nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    +
    +
    +
    +

    Constraint: TS1002

    + + + + + + + + +
    Description: PERIOD:PERIOD_CLK2X_IOB:0.000 nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    +
    +
    +
    +

    Constraint: AUTO_TS_F2F

    + + @@ -128,19 +193,19 @@ - - - - - + + + + + + + + + + + + + - - - - - + + + + + +
    Description: MAXDELAY:FROM:FFS(*):TO:FFS(*):0.000 nS
    Path Requirement (ns)Slack (ns)
    TimeoutA.Q to fsb/VPA.D40.00020.10019.900cs/nOverlay1.Q to nDTACK_FSB.D0.00019.100-19.100
    fsb/ASrf.Q to fsb/VPA.D0.00019.100-19.100
    cs/nOverlay1.Q to fsb/VPA.D40.00020.10019.900BERR_IOBS.Q to fsb/VPA.D0.00011.400-11.400
    +
    +
    + +

    Constraint: AUTO_TS_P2P

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Description: MAXDELAY:FROM:PADS(*):TO:PADS(*):0.000 nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    CLK_FSB to nBERR_FSB0.00014.500-14.500
    CLK_FSB to nRAS0.00014.500-14.500
    CLK_FSB to nROMCS0.00014.500-14.500
    +
    +
    + +

    Constraint: AUTO_TS_P2F

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Description: MAXDELAY:FROM:PADS(*):TO:FFS(*):0.000 nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    A_FSB<22> to nDTACK_FSB.D0.00017.400-17.400
    nAS_FSB to fsb/VPA.D0.00017.400-17.400
    A_FSB<10> to fsb/VPA.D0.0009.700-9.700
    +
    +
    + +

    Constraint: AUTO_TS_F2P

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - -
    Description: MAXDELAY:FROM:FFS(*):TO:PADS(*):0.000 nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    BERR_IOBS.Q to nBERR_FSB0.00012.700-12.700
    RefAck.Q to nRAS0.00012.700-12.700
    cs/nOverlay1.Q to nRAS0.00012.700-12.700
    fsb/Ready2r.Q to fsb/VPA.D40.00020.10019.900
    iobm/BERRrf.Q to IOACT.D33.30011.00022.300
    iobm/BERRrf.Q to IOBERR.D33.30011.00022.300
    -
    -
    -
    Number of constraints not met: 0
    +
    Number of constraints not met: 4

    Data Sheet Report

    @@ -378,7 +737,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() {
    CLK_FSB49.75152.356 Limited by Cycle Time for CLK_FSB
    A_FSB<10>16.6007.900 0.000
    A_FSB<11>16.6007.900 0.000
    A_FSB<12>16.6007.900 0.000
    A_FSB<13>16.6007.900 0.000
    A_FSB<14>16.6007.900 0.000
    A_FSB<15>16.6007.900 0.000
    A_FSB<16>16.6007.900 0.000
    A_FSB<17>16.6007.900 0.000
    A_FSB<18>16.6007.900 0.000
    A_FSB<19>16.6007.900 0.000
    A_FSB<20>16.6007.900 0.000
    A_FSB<21>16.6007.900 0.000
    A_FSB<22>16.60015.600 0.000
    A_FSB<23>16.6007.900 0.000
    A_FSB<8>16.6007.900 0.000
    A_FSB<9>16.6007.900 0.000
    nAS_FSB7.90015.600 0.000
    nWE_FSB16.6007.900 0.000

    @@ -554,13 +913,21 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() {
    Clock (edge) to Pad
    RA<0>nBERR_FSB 14.500
    RA<8>nRAS 14.500
    nROMCS14.500
    RA<0>13.500
    RA<1> 13.500RA<7> 13.500
    RA<8>13.500
    RA<9> 13.500nADoutLE0 13.500
    nBERR_FSB13.500
    nRAMLWE 13.500nRAMUWE 13.500
    nRAS13.500
    nROMCS13.500
    nVPA_FSB 13.500Destination Delay
    TimeoutA.Qfsb/VPA.D20.100
    cs/nOverlay1.Qfsb/VPA.D20.100
    fsb/Ready2r.Qfsb/VPA.D20.100
    nADoutLE1.Qfsb/VPA.D20.100
    TimeoutB.Qfsb/VPA.DnDTACK_FSB.D 19.100
    TimeoutB.QnDTACK_FSB.Dfsb/ASrf.Qfsb/VPA.D 19.100
    TimeoutA.Qfsb/VPA.D11.400
    TimeoutA.QnDTACK_FSB.D11.400
    TimeoutB.Qfsb/VPA.D11.400
    TimeoutB.Q nDTACK_FSB.D 11.400
    IORW0.D 11.400
    cs/nOverlay1.Qfsb/Ready1r.D11.400
    cs/nOverlay1.Qfsb/VPA.D11.400
    cs/nOverlay1.Q iobs/IORW1.D
    cs/nOverlay1.QnDTACK_FSB.Diobs/PS_FSM_FFd2.D 11.400
    IORW0.D 11.400
    fsb/ASrf.Qfsb/VPA.D11.400
    fsb/ASrf.Q ram/RASEL.D11.400
    fsb/Ready0r.Qfsb/VPA.Dfsb/Ready1r.Qfsb/Ready1r.D 11.400
    nDTACK_FSB.D 11.400
    fsb/Ready2r.Qfsb/VPA.D11.400
    fsb/Ready2r.Q nDTACK_FSB.Dfsb/VPA.D 11.400
    iobs/IOReady.Qfsb/Ready1r.D11.400
    iobs/IOReady.Q fsb/VPA.DIORW0.D 11.400
    iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd2.D11.400
    iobs/PS_FSM_FFd2.Q IORW0.D 11.400
    iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd2.D11.400
    nADoutLE1.Q IORW0.D 11.400
    nADoutLE1.Qfsb/Ready1r.D11.400
    nADoutLE1.Qiobs/PS_FSM_FFd2.D11.400
    nADoutLE1.QnDTACK_FSB.D11.400
    nDTACK_FSB.Q nDTACK_FSB.Dram/RASEL.D 11.400
    ram/RAMReady.Qfsb/VPA.D11.400
    ram/RS_FSM_FFd1.Q ram/RAMDIS1.Dram/RASEL.D 11.400
    BERR_IOBS.QBERR_IOBS.D11.000
    TimeoutA.Q fsb/Ready2r.D 11.000
    cnt/RefCnt<0>.Qcnt/RefCnt<6>.D11.000
    cnt/RefCnt<1>.Qcnt/RefCnt<6>.D11.000
    cnt/RefCnt<2>.Qcnt/RefCnt<6>.D11.000
    cnt/RefCnt<3>.Qcnt/RefCnt<6>.D11.000
    cnt/RefCnt<4>.Qcnt/RefCnt<6>.D11.000
    cnt/RefCnt<5>.Qcnt/RefCnt<6>.D11.000
    cnt/RefCnt<5>.Q ram/RAMDIS2.Dram/RS_FSM_FFd3.D 11.000
    cs/nOverlay0.Qcs/nOverlay0.D11.000
    cs/nOverlay1.Q IOREQ.D 11.000
    cs/nOverlay1.Qfsb/Ready1r.D11.000
    cs/nOverlay1.Q fsb/Ready2r.Diobs/Load1.D 11.000
    cs/nOverlay1.Qiobs/PS_FSM_FFd2.D11.000
    cs/nOverlay1.Qram/Once.D11.000
    cs/nOverlay1.Q ram/RAMDIS2.Dram/RS_FSM_FFd3.D 11.000
    fsb/ASrf.QBERR_IOBS.D11.000
    fsb/ASrf.Qcs/nOverlay0.D11.000
    fsb/ASrf.Q fsb/Ready2r.D
    fsb/ASrf.Qram/Once.Diobs/PS_FSM_FFd2.D 11.000
    fsb/Ready0r.QnDTACK_FSB.Dfsb/VPA.D 11.000
    fsb/Ready1r.Qfsb/Ready1r.Dfsb/Ready0r.QnDTACK_FSB.D 11.000
    iobs/IOACTr.Qiobs/PS_FSM_FFd1.DBERR_IOBS.D 11.000
    11.000
    iobs/IOReady.Qfsb/Ready1r.Diobs/Once.QBERR_IOBS.D 11.000
    11.000
    iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd1.D11.000
    iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd2.Diobs/PS_FSM_FFd2.QBERR_IOBS.D 11.000
    11.000
    iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd1.D11.000
    iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd2.DnADoutLE1.QBERR_IOBS.D 11.000
    nADoutLE1.Qfsb/Ready1r.Dfsb/VPA.D 11.000
    iobs/Once.D 11.000
    nADoutLE1.Qiobs/PS_FSM_FFd2.D11.000
    nADoutLE1.QnDTACK_FSB.D11.000
    ram/BACTr.Q ram/RAMDIS1.Dram/RASEL.D 11.000
    ram/Once.Qram/Once.D11.000
    ram/Once.Q ram/RAMDIS1.D
    ram/RAMReady.QnDTACK_FSB.Dfsb/VPA.D 11.000
    ram/RS_FSM_FFd1.Qram/Once.Dram/RAMReady.QnDTACK_FSB.D 11.000
    ram/RS_FSM_FFd3.D 11.000
    ram/RS_FSM_FFd2.Qram/Once.D11.000
    ram/RS_FSM_FFd2.Q ram/RAMDIS1.Dram/RS_FSM_FFd3.D 11.000
    ram/RS_FSM_FFd3.Qram/Once.D11.000
    ram/RS_FSM_FFd3.Q ram/RAMDIS1.Dram/RS_FSM_FFd3.D 11.000
    BERR_IOBS.QBERR_IOBS.D10.000
    BERR_IOBS.Q fsb/BERR1r.Dcnt/RefCnt<5>.D 10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<0>.Q cnt/RefCnt<7>.Dcnt/RefCnt<5>.D 10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<1>.Q cnt/RefCnt<7>.Dcnt/RefCnt<5>.D 10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<2>.Q cnt/RefCnt<7>.Dcnt/RefCnt<5>.D 10.000
    cnt/RefCnt<3>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<3>.Q cnt/RefCnt<7>.Dcnt/RefCnt<5>.D 10.000
    cnt/RefCnt<4>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<4>.Q cnt/RefCnt<7>.DTimeoutB.D 10.000
    cnt/RefCnt<5>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<5>.Q cnt/RefCnt<7>.Dcnt/TimeoutBPre.D 10.000
    cs/nOverlay0.Qcs/nOverlay0.D10.000
    cs/nOverlay0.Q cs/nOverlay1.D
    cs/nOverlay1.Qram/RS_FSM_FFd1.Dram/Once.D 10.000
    fsb/ASrf.QBERR_IOBS.Dcs/nOverlay1.Qram/RS_FSM_FFd1.D 10.000
    cnt/TimeoutBPre.D 10.000
    fsb/ASrf.Qcs/nOverlay0.D10.000
    fsb/ASrf.Q cs/nOverlay1.CEiobs/Once.D 10.000
    fsb/ASrf.Qiobs/PS_FSM_FFd2.D10.000
    fsb/ASrf.Q nDTACK_FSB.Dram/BACTr.D 10.000
    fsb/ASrf.Qram/Once.D10.000
    fsb/ASrf.Q ram/RS_FSM_FFd1.DnADoutLE1.D 10.000
    iobs/IOACTr.QBERR_IOBS.D10.000
    iobs/IOACTr.Q IOREQ.Diobs/IOReady.D 10.000
    iobs/IOACTr.Qiobs/PS_FSM_FFd1.D10.000
    iobs/IOACTr.Q iobs/PS_FSM_FFd2.DnADoutLE1.D 10.000
    iobs/Once.QBERR_IOBS.D10.000
    iobs/Once.Q IOREQ.D10.000
    iobs/PS_FSM_FFd2.QALE0S.Diobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd1.D 10.000
    iobs/PS_FSM_FFd2.QBERR_IOBS.DALE0S.D 10.000
    10.000
    nADoutLE1.QBERR_IOBS.Diobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd1.D 10.000
    ram/RS_FSM_FFd2.D 10.000
    ram/Once.Qram/Once.D10.000
    ram/Once.Q ram/RS_FSM_FFd1.DRefAck.D 10.000
    ram/RS_FSM_FFd1.Qram/Once.D10.000
    ram/RS_FSM_FFd1.Q ram/RS_FSM_FFd1.DRefAck.D 10.000
    ram/RS_FSM_FFd2.Qram/Once.D10.000
    ram/RS_FSM_FFd2.Q ram/RS_FSM_FFd1.D 10.000
    ram/RS_FSM_FFd3.Qram/Once.D10.000
    ram/RS_FSM_FFd3.Q ram/RS_FSM_FFd1.DDestination Delay
    IOACT.QnVMA_IOB.D11.000
    IOBERR.Q IOBERR.D 11.000
    iobm/BERRrf.QIOACT.D11.000
    iobm/BERRrf.Q IOBERR.D 11.000
    iobm/BERRrr.QIOACT.D11.000
    iobm/BERRrr.Q IOBERR.D 11.000
    iobm/DTACKrf.QIOACT.D11.000
    iobm/DTACKrf.Q IOBERR.D 11.000
    iobm/DTACKrr.QIOACT.D11.000
    iobm/DTACKrr.Q IOBERR.D 11.000
    iobm/IOREQr.Qiobm/ES<0>.QnVMA_IOB.D11.000
    iobm/ES<1>.QnVMA_IOB.D11.000
    iobm/ES<2>.QnVMA_IOB.D11.000
    iobm/ES<3>.QnVMA_IOB.D11.000
    iobm/ES<4>.QnVMA_IOB.D11.000
    iobm/ETACK.QIOBERR.D11.000
    iobm/IOS_FSM_FFd1.Q IOACT.D 11.000
    iobm/IOS_FSM_FFd1.QIOBERR.D11.000
    iobm/IOS_FSM_FFd2.QIOACT.D11.000
    iobm/IOS_FSM_FFd2.QIOBERR.D11.000
    iobm/IOS_FSM_FFd3.Q IOBERR.D11.000
    iobm/IOS_FSM_FFd5.QIOACT.Diobm/IOS_FSM_FFd4.QIOBERR.D 11.000
    iobm/IOS_FSM_FFd6.QIOACT.D11.000
    iobm/IOS_FSM_FFd7.QIOACT.D11.000
    iobm/IOS_FSM_FFd8.QIOACT.Diobm/IOS_FSM_FFd4.Qiobm/IOS_FSM_FFd3.D 11.000
    IOBERR.D 11.000
    iobm/RESrf.Qiobm/IOS_FSM_FFd3.D11.000
    iobm/RESrr.Q IOACT.D11.000
    IOACT.Qiobm/RESrr.Qiobm/IOS_FSM_FFd3.D11.000
    iobm/VPArf.Q nVMA_IOB.D10.00011.000
    iobm/VPArr.QnVMA_IOB.D11.000
    nVMA_IOB.QnVMA_IOB.D11.000
    iobm/BERRrf.Qiobm/IOS_FSM_FFd2.DIOACT.D 10.000
    iobm/BERRrr.Qiobm/IOS_FSM_FFd2.DIOACT.D 10.000
    iobm/DTACKrf.Qiobm/IOS_FSM_FFd2.DIOACT.D 10.000
    iobm/DTACKrr.Qiobm/IOS_FSM_FFd2.DIOACT.D 10.000
    iobm/ETACK.D 10.000
    iobm/ES<0>.QnVMA_IOB.D10.000
    iobm/ES<1>.Q iobm/ES<0>.Diobm/ETACK.D 10.000
    iobm/ES<1>.QnVMA_IOB.D10.000
    iobm/ES<2>.Q iobm/ES<0>.Diobm/ETACK.D 10.000
    iobm/ES<2>.QnVMA_IOB.D10.000
    iobm/ES<3>.Q iobm/ES<0>.Diobm/ETACK.D 10.000
    iobm/ES<3>.QnVMA_IOB.D10.000
    iobm/ES<4>.Q iobm/ES<0>.Diobm/ETACK.D 10.000
    iobm/ES<4>.QnVMA_IOB.D10.000
    iobm/ETACK.Q IOACT.D 10.000
    iobm/ETACK.QIOBERR.D10.000
    iobm/ETACK.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/ETACK.Q iobm/IOS_FSM_FFd3.D
    iobm/IOREQr.Qiobm/IOS_FSM_FFd7.DIOACT.D 10.000
    iobm/IOREQr.Qiobm/IOS_FSM_FFd8.Diobm/IOS_FSM_FFd4.D 10.000
    iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd8.DALE0M.D10.000
    iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd1.D10.000
    iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd4.D10.000
    iobm/IOS_FSM_FFd1.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd1.QnDinLE.D10.000
    iobm/IOS_FSM_FFd1.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd1.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd2.QALE0M.D 10.000
    iobm/IOS_FSM_FFd1.D 10.000
    iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd4.D10.000
    iobm/IOS_FSM_FFd2.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd2.Q nDoutOE.D 10.000
    iobm/IOS_FSM_FFd2.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd2.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd3.Q ALE0M.DIOACT.D 10.000
    iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd1.D10.000
    iobm/IOS_FSM_FFd3.Q iobm/IOS_FSM_FFd2.D
    iobm/IOS_FSM_FFd3.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd3.QnDinLE.D10.000
    iobm/IOS_FSM_FFd3.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd3.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd3.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd4.QALE0M.D10.000
    iobm/IOS_FSM_FFd4.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/IOS_FSM_FFd4.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd4.QnDinLE.D10.000
    iobm/IOS_FSM_FFd4.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd4.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd4.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd5.QALE0M.D10.000
    iobm/IOS_FSM_FFd5.Q iobm/IOS_FSM_FFd4.D 10.000
    iobm/IOS_FSM_FFd5.Qiobm/IOS_FSM_FFd3.Q nAS_IOB.D 10.000
    iobm/IOS_FSM_FFd5.Qiobm/IOS_FSM_FFd3.QnDinLE.D10.000
    iobm/IOS_FSM_FFd3.Q nDoutOE.D 10.000
    iobm/IOS_FSM_FFd5.Qiobm/IOS_FSM_FFd3.Q nLDS_IOB.D 10.000
    iobm/IOS_FSM_FFd5.Qiobm/IOS_FSM_FFd3.Q nUDS_IOB.D 10.000
    iobm/IOS_FSM_FFd6.Qiobm/IOS_FSM_FFd4.Q ALE0M.D 10.000
    iobm/IOS_FSM_FFd6.Qiobm/IOS_FSM_FFd5.Diobm/IOS_FSM_FFd4.Qiobm/IOS_FSM_FFd1.D 10.000
    iobm/IOS_FSM_FFd6.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd6.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd6.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd6.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd7.QALE0M.D10.000
    iobm/IOS_FSM_FFd7.Qiobm/IOS_FSM_FFd6.D10.000
    iobm/IOS_FSM_FFd7.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd7.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd7.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd7.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd8.QALE0M.D10.000
    iobm/IOS_FSM_FFd8.Qiobm/IOS_FSM_FFd7.D10.000
    iobm/IOS_FSM_FFd8.Qiobm/IOS_FSM_FFd8.D10.000
    iobm/RESrf.Qiobm/IOS_FSM_FFd4.Q iobm/IOS_FSM_FFd2.D 10.000
    iobm/RESrf.Qiobm/IOS_FSM_FFd3.Diobm/IOS_FSM_FFd4.Qiobm/IOS_FSM_FFd4.D 10.000
    iobm/RESrr.Qiobm/IOS_FSM_FFd2.Diobm/IOS_FSM_FFd4.QnAS_IOB.D 10.000
    iobm/RESrr.Qiobm/IOS_FSM_FFd3.Diobm/IOS_FSM_FFd4.QnDinLE.D 10.000
    iobm/VPArf.QnVMA_IOB.Diobm/IOS_FSM_FFd4.QnDoutOE.D 10.000
    iobm/VPArr.QnVMA_IOB.Diobm/IOS_FSM_FFd4.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd4.QnUDS_IOB.D 10.000
    iobm/ETACK.D 10.000
    nVMA_IOB.QnVMA_IOB.D10.000


    @@ -2663,49 +2972,64 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { Delay - A_FSB<10> - RA<0> - 11.000 - - - A_FSB<1> - RA<0> + A_FSB<20> + nBERR_FSB 11.000 A_FSB<20> - nDinOE + nROMCS 11.000 A_FSB<21> - nDinOE + nBERR_FSB + 11.000 + + + A_FSB<21> + nRAS + 11.000 + + + A_FSB<21> + nROMCS 11.000 A_FSB<22> - nDinOE + nBERR_FSB + 11.000 + + + A_FSB<22> + nRAS 11.000 A_FSB<23> - nDinOE + nBERR_FSB 11.000 - A_FSB<9> - RA<8> + A_FSB<23> + nRAS + 11.000 + + + A_FSB<23> + nROMCS 11.000 nAS_FSB - nDinOE + nRAS 11.000 - nWE_FSB - nDinOE - 11.000 + A_FSB<10> + RA<0> + 10.000 A_FSB<11> @@ -2757,6 +3081,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { RA<9> 10.000 + + A_FSB<1> + RA<0> + 10.000 + A_FSB<20> RA<9> @@ -2764,12 +3093,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { A_FSB<20> - nBERR_FSB - 10.000 - - - A_FSB<20> - nROMCS + nDinOE 10.000 @@ -2779,27 +3103,12 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { A_FSB<21> - nBERR_FSB - 10.000 - - - A_FSB<21> - nRAS - 10.000 - - - A_FSB<21> - nROMCS + nDinOE 10.000 A_FSB<22> - nBERR_FSB - 10.000 - - - A_FSB<22> - nRAS + nDinOE 10.000 @@ -2809,17 +3118,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { A_FSB<23> - nBERR_FSB - 10.000 - - - A_FSB<23> - nRAS - 10.000 - - - A_FSB<23> - nROMCS + nDinOE 10.000 @@ -2857,11 +3156,21 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { RA<7> 10.000 + + A_FSB<9> + RA<8> + 10.000 + nAS_FSB nBERR_FSB 10.000 + + nAS_FSB + nDinOE + 10.000 + nAS_FSB nOE @@ -2877,11 +3186,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { nRAMUWE 10.000 - - nAS_FSB - nRAS - 10.000 - nAS_FSB nROMWE @@ -2902,6 +3206,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { nRAMUWE 10.000 + + nWE_FSB + nDinOE + 10.000 + nWE_FSB nOE @@ -2926,10 +3235,10 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() {


    Number of paths analyzed: -393 +728
    Number of Timing errors: -0 -
    Analysis Completed: Sat Dec 11 06:24:30 2021 +728 +
    Analysis Completed: Mon Feb 07 00:05:04 2022
    diff --git a/cpld/XC95144XL/MXSE_html/tim/toc.css b/cpld/XC95144/MXSE_html/tim/toc.css similarity index 100% rename from cpld/XC95144XL/MXSE_html/tim/toc.css rename to cpld/XC95144/MXSE_html/tim/toc.css diff --git a/cpld/XC95144XL/MXSE_html/tim/topnav.htm b/cpld/XC95144/MXSE_html/tim/topnav.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/tim/topnav.htm rename to cpld/XC95144/MXSE_html/tim/topnav.htm diff --git a/cpld/XC95144XL/MXSE_ngdbuild.xrpt b/cpld/XC95144/MXSE_ngdbuild.xrpt similarity index 90% rename from cpld/XC95144XL/MXSE_ngdbuild.xrpt rename to cpld/XC95144/MXSE_ngdbuild.xrpt index 43afc64..de1456b 100644 --- a/cpld/XC95144XL/MXSE_ngdbuild.xrpt +++ b/cpld/XC95144/MXSE_ngdbuild.xrpt @@ -5,14 +5,14 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
    - + @@ -54,7 +54,7 @@ - + @@ -66,35 +66,32 @@
    - - - - - - + + + + + - + - - - + + +
    - - - - - + + + + - + - - - - + + +
    diff --git a/cpld/XC95144XL/MXSE_summary.html b/cpld/XC95144/MXSE_summary.html similarity index 60% rename from cpld/XC95144XL/MXSE_summary.html rename to cpld/XC95144/MXSE_summary.html index 14e9283..c103e9f 100644 --- a/cpld/XC95144XL/MXSE_summary.html +++ b/cpld/XC95144/MXSE_summary.html @@ -2,10 +2,10 @@
    - + - + @@ -13,7 +13,7 @@ - + @@ -43,7 +43,7 @@ No Errors @@ -53,22 +53,6 @@ System Settings - 
    MXSE Project Status (10/23/2021 - 06:51:21)
    MXSE Project Status (02/07/2022 - 00:19:10)
    Project File:MXSE.xiseWarpSE.xise Parser Errors: No Errors
    Module Name: MXSE Implementation State:FittedTranslated
    Target Device:
    Environment: - + System Settings
    • Final Timing Score:
    - - -
    Current Errors [-]
    No Errors Found
    - - - - 
    - - -
    Current Warnings [-]
    No Warnings Found
    - - - - - @@ -81,18 +65,17 @@ System Settings Detailed Reports [-] Report NameStatusGenerated ErrorsWarningsInfos -Synthesis ReportCurrentSat Dec 11 06:24:06 2021000 -Translation ReportCurrentSat Dec 11 06:24:10 2021000 -CPLD Fitter Report (Text)CurrentSat Dec 11 06:24:23 202101 Warning (1 new)0 +Synthesis ReportCurrentMon Feb 7 00:19:05 2022000 +Translation ReportCurrentMon Feb 7 00:19:08 2022000 +CPLD Fitter Report (Text)CurrentMon Feb 7 00:19:20 202201 Warning (1 new)3 Infos (3 new) Power Report       
    -
    Secondary Reports [-]
    Report NameStatusGenerated
    ISIM Simulator LogOut of DateSat Dec 11 07:14:04 2021
    Post-Fit Simulation Model Report  
    -
    Date Generated: 12/11/2021 - 07:16:19
    +
    Date Generated: 02/07/2022 - 00:19:45
    \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE_xst.xrpt b/cpld/XC95144/MXSE_xst.xrpt similarity index 90% rename from cpld/XC95144XL/MXSE_xst.xrpt rename to cpld/XC95144/MXSE_xst.xrpt index 4ce5df1..f2a2ce6 100644 --- a/cpld/XC95144XL/MXSE_xst.xrpt +++ b/cpld/XC95144/MXSE_xst.xrpt @@ -5,14 +5,14 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
    - + @@ -104,19 +104,19 @@
    - - - - + + + + - - + + - - - + + + diff --git a/cpld/XC95144/WarpSE.gise b/cpld/XC95144/WarpSE.gise new file mode 100644 index 0000000..e9fdc77 --- /dev/null +++ b/cpld/XC95144/WarpSE.gise @@ -0,0 +1,125 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/cpld/XC95144XL/MXSE.xise b/cpld/XC95144/WarpSE.xise similarity index 55% rename from cpld/XC95144XL/MXSE.xise rename to cpld/XC95144/WarpSE.xise index 1bc0387..bb538be 100644 --- a/cpld/XC95144XL/MXSE.xise +++ b/cpld/XC95144/WarpSE.xise @@ -15,104 +15,166 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - + - + - - - + + + - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -124,8 +186,15 @@ + + + + + + + @@ -137,14 +206,25 @@ - + + + + + + - + + + + + + + @@ -152,11 +232,16 @@ + + + + + @@ -164,37 +249,75 @@ + + + + + + + + + + + + + - + + + + + + + + + + + + + + + + + + + + + + + - - + + + + + @@ -203,18 +326,34 @@ + - + + + + + + + + + + + + + + + + @@ -224,28 +363,41 @@ + + + + + + + + + + + + + - - + + @@ -254,8 +406,8 @@ - - + + diff --git a/cpld/XC95144/_ngo/netlist.lst b/cpld/XC95144/_ngo/netlist.lst new file mode 100644 index 0000000..d6e9f83 --- /dev/null +++ b/cpld/XC95144/_ngo/netlist.lst @@ -0,0 +1,2 @@ +C:\Users\zanek\Documents\GitHub\Warp-SE\cpld\XC95144\MXSE.ngc 1644211145 +OK diff --git a/cpld/XC95144/_pace.ucf b/cpld/XC95144/_pace.ucf new file mode 100644 index 0000000..75cb5dd --- /dev/null +++ b/cpld/XC95144/_pace.ucf @@ -0,0 +1,76 @@ +#PACE: Start of Constraints generated by PACE + +#PACE: Start of PACE I/O Pin Assignments +NET "A_FSB[10]" LOC = "P8" ; +NET "A_FSB[11]" LOC = "P9" ; +NET "A_FSB[12]" LOC = "P10" ; +NET "A_FSB[13]" LOC = "P11" ; +NET "A_FSB[14]" LOC = "P12" ; +NET "A_FSB[15]" LOC = "P13" ; +NET "A_FSB[16]" LOC = "P14" ; +NET "A_FSB[17]" LOC = "P15" ; +NET "A_FSB[18]" LOC = "P16" ; +NET "A_FSB[19]" LOC = "P17" ; +NET "A_FSB[1]" LOC = "P94" ; +NET "A_FSB[20]" LOC = "P18" ; +NET "A_FSB[21]" LOC = "P19" ; +NET "A_FSB[22]" LOC = "P20" ; +NET "A_FSB[23]" LOC = "P24" ; +NET "A_FSB[2]" LOC = "P95" ; +NET "A_FSB[3]" LOC = "P96" ; +NET "A_FSB[4]" LOC = "P97" ; +NET "A_FSB[5]" LOC = "P2" ; +NET "A_FSB[6]" LOC = "P3" ; +NET "A_FSB[7]" LOC = "P4" ; +NET "A_FSB[8]" LOC = "P6" ; +NET "A_FSB[9]" LOC = "P7" ; +NET "CLK2X_IOB" LOC = "P22" ; +NET "CLK_FSB" LOC = "P27" ; +NET "CLK_IOB" LOC = "P23" ; +NET "E_IOB" LOC = "P25" ; +NET "nADoutLE0" LOC = "P85" ; +NET "nADoutLE1" LOC = "P82" ; +NET "nAoutOE" LOC = "P87" ; +NET "nAS_FSB" LOC = "P32" ; +NET "nAS_IOB" LOC = "P81" ; +NET "nBERR_FSB" LOC = "P92" ; +NET "nBERR_IOB" LOC = "P76" ; +NET "nCAS" LOC = "P36" ; +NET "nDinLE" LOC = "P86" ; +NET "nDinOE" LOC = "P90" ; +NET "nDoutOE" LOC = "P89" ; +NET "nDTACK_FSB" LOC = "P28" ; +NET "nDTACK_IOB" LOC = "P78" ; +NET "nLDS_FSB" LOC = "P30" ; +NET "nLDS_IOB" LOC = "P79" ; +NET "nOE" LOC = "P37" ; +NET "nRAMLWE" LOC = "P65" ; +NET "nRAMUWE" LOC = "P66" ; +NET "nRAS" LOC = "P64" ; +NET "nRES" LOC = "P91" ; +NET "nROMCS" LOC = "P35" ; +NET "nROMWE" LOC = "P34" ; +NET "nUDS_FSB" LOC = "P33" ; +NET "nUDS_IOB" LOC = "P80" ; +NET "nVMA_IOB" LOC = "P74" ; +NET "nVPA_FSB" LOC = "P93" ; +NET "nVPA_IOB" LOC = "P77" ; +NET "nWE_FSB" LOC = "P29" ; +NET "RA[0]" LOC = "P53" ; +NET "RA[10]" LOC = "P55" ; +NET "RA[11]" LOC = "P63" ; +NET "RA[1]" LOC = "P50" ; +NET "RA[2]" LOC = "P43" ; +NET "RA[3]" LOC = "P41" ; +NET "RA[4]" LOC = "P40" ; +NET "RA[5]" LOC = "P42" ; +NET "RA[6]" LOC = "P46" ; +NET "RA[7]" LOC = "P52" ; +NET "RA[8]" LOC = "P54" ; +NET "RA[9]" LOC = "P56" ; + +#PACE: Start of PACE Area Constraints + +#PACE: Start of PACE Prohibit Constraints + +#PACE: End of Constraints generated by PACE diff --git a/cpld/XC95144/_xmsgs/cpldfit.xmsgs b/cpld/XC95144/_xmsgs/cpldfit.xmsgs new file mode 100644 index 0000000..2c34018 --- /dev/null +++ b/cpld/XC95144/_xmsgs/cpldfit.xmsgs @@ -0,0 +1,20 @@ + + + +Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'MXSE.ise'. + + +Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. + + +Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. + + +Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. + + + diff --git a/cpld/XC95144XL/_xmsgs/hprep6.xmsgs b/cpld/XC95144/_xmsgs/hprep6.xmsgs similarity index 100% rename from cpld/XC95144XL/_xmsgs/hprep6.xmsgs rename to cpld/XC95144/_xmsgs/hprep6.xmsgs diff --git a/cpld/XC95144XL/_xmsgs/ngdbuild.xmsgs b/cpld/XC95144/_xmsgs/ngdbuild.xmsgs similarity index 100% rename from cpld/XC95144XL/_xmsgs/ngdbuild.xmsgs rename to cpld/XC95144/_xmsgs/ngdbuild.xmsgs diff --git a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs b/cpld/XC95144/_xmsgs/pn_parser.xmsgs similarity index 73% rename from cpld/XC95144XL/_xmsgs/pn_parser.xmsgs rename to cpld/XC95144/_xmsgs/pn_parser.xmsgs index f66074b..a38ed26 100644 --- a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs +++ b/cpld/XC95144/_xmsgs/pn_parser.xmsgs @@ -8,8 +8,5 @@ -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_ram.v" into library work - - diff --git a/cpld/XC95144XL/_xmsgs/taengine.xmsgs b/cpld/XC95144/_xmsgs/taengine.xmsgs similarity index 100% rename from cpld/XC95144XL/_xmsgs/taengine.xmsgs rename to cpld/XC95144/_xmsgs/taengine.xmsgs diff --git a/cpld/XC95144XL/_xmsgs/tsim.xmsgs b/cpld/XC95144/_xmsgs/tsim.xmsgs similarity index 100% rename from cpld/XC95144XL/_xmsgs/tsim.xmsgs rename to cpld/XC95144/_xmsgs/tsim.xmsgs diff --git a/cpld/XC95144XL/_xmsgs/xst.xmsgs b/cpld/XC95144/_xmsgs/xst.xmsgs similarity index 100% rename from cpld/XC95144XL/_xmsgs/xst.xmsgs rename to cpld/XC95144/_xmsgs/xst.xmsgs diff --git a/cpld/XC95144XL/iseconfig/MXSE.xreport b/cpld/XC95144/iseconfig/MXSE.xreport similarity index 97% rename from cpld/XC95144XL/iseconfig/MXSE.xreport rename to cpld/XC95144/iseconfig/MXSE.xreport index 6bba386..eb72b36 100644 --- a/cpld/XC95144XL/iseconfig/MXSE.xreport +++ b/cpld/XC95144/iseconfig/MXSE.xreport @@ -1,17 +1,17 @@
    - 2021-12-11T06:56:18 + 2022-02-06T23:52:59 MXSE - 2021-10-23T06:51:21 - C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/MXSE.xreport - C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL\ - 2021-10-07T05:05:00 + 2022-02-06T23:52:20 + C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/iseconfig/MXSE.xreport + C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144 + 2022-02-06T23:49:21 false
    - + diff --git a/cpld/XC95144XL/iseconfig/MXSE.projectmgr b/cpld/XC95144/iseconfig/WarpSE.projectmgr similarity index 80% rename from cpld/XC95144XL/iseconfig/MXSE.projectmgr rename to cpld/XC95144/iseconfig/WarpSE.projectmgr index 186eac5..3c4ab7f 100644 --- a/cpld/XC95144XL/iseconfig/MXSE.projectmgr +++ b/cpld/XC95144/iseconfig/WarpSE.projectmgr @@ -1,8 +1,8 @@ - + - + @@ -20,16 +20,16 @@ 1 - Design Utilities + Design Utilities/Compile HDL Simulation Libraries - + Design Utilities 0 0 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 false - + Design Utilities @@ -38,9 +38,9 @@ 0 0 - 000000ff000000000000000100000000000000000100000000000000000000000000000000000003a3000000040101000100000000000000000000000064ffffffff000000810000000000000004000000420000000100000000000000240000000100000000000000660000000100000000000002d70000000100000000 + 000000ff000000000000000100000000000000000100000000000000000000000000000000000002d7000000040101000100000000000000000000000064ffffffff0000008100000000000000040000004200000001000000000000002400000001000000000000006600000001000000000000020b0000000100000000 false - ..\CNT.v + @@ -55,6 +55,36 @@ work + + 1 + Implement Design/Configure Target Device + Implement Design/Optional Implementation Tools/Generate Timing + Implement Design/Synthesize - XST + + + Fit + + 4 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000e7000000010000000100000000000000000000000064ffffffff000000810000000000000001000000e70000000100000000 + false + Fit + + + + 2 + /MXSE C:|Users|zanek|Documents|GitHub|Warp-SE|cpld|MXSE.v + + + Unassigned User Library Modules + + 0 + 0 + 000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000009f000000020000000000000000000000000200000064ffffffff0000008100000003000000020000009f0000000100000003000000000000000100000003 + false + Unassigned User Library Modules + + 1 Design Utilities @@ -68,26 +98,10 @@ false - 000000ff00000000000000020000017b0000017b01000000050100000002 - Behavioral Simulation - - - 2 - /test C:|Users|zanek|Documents|GitHub|SE-030|cpld|XC95144XL|test.v - - - fsb - FSB (C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/FSB.v) - - 0 - 0 - 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000da000000020000000000000000000000000200000064ffffffff000000810000000300000002000000da0000000100000003000000000000000100000003 - false - fsb - FSB (C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/FSB.v) - - + 1 - Design Utilities/Compile HDL Simulation Libraries + User Constraints @@ -98,17 +112,6 @@ false - - - 1 - - - Simulate Behavioral Model - - 0 - 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 - false - Simulate Behavioral Model - + 000000ff0000000000000002000000ce0000010601000000050100000002 + Implementation diff --git a/cpld/XC95144/tmperr.err b/cpld/XC95144/tmperr.err new file mode 100644 index 0000000..70510e7 --- /dev/null +++ b/cpld/XC95144/tmperr.err @@ -0,0 +1,17 @@ +WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will + use the default filename of 'MXSE.ise'. +INFO:Cpld - Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC + constraint 'P22'. It is recommended that you declare this BUFG explicitedly + in your design. Note that for certain device families the output of a BUFG + constraint can not drive a gated clock, and the BUFG constraint will be + ignored. +INFO:Cpld - Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC + constraint 'P27'. It is recommended that you declare this BUFG explicitedly + in your design. Note that for certain device families the output of a BUFG + constraint can not drive a gated clock, and the BUFG constraint will be + ignored. +INFO:Cpld - Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC + constraint 'P23'. It is recommended that you declare this BUFG explicitedly + in your design. Note that for certain device families the output of a BUFG + constraint can not drive a gated clock, and the BUFG constraint will be + ignored. diff --git a/cpld/XC95144/xlnx_auto_0_xdb/cst.xbcd b/cpld/XC95144/xlnx_auto_0_xdb/cst.xbcd new file mode 100644 index 0000000..7c701aa Binary files /dev/null and b/cpld/XC95144/xlnx_auto_0_xdb/cst.xbcd differ diff --git a/cpld/XC95144/xst/work/hdllib.ref b/cpld/XC95144/xst/work/hdllib.ref new file mode 100644 index 0000000..5389f3f --- /dev/null +++ b/cpld/XC95144/xst/work/hdllib.ref @@ -0,0 +1,7 @@ +MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1644211143 +MO CS NULL ../CS.v vlg22/_c_s.bin 1644211143 +MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1644211143 +MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1644211143 +MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1644211143 +MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1644211143 +MO MXSE NULL ../MXSE.v vlg15/_m_x_s_e.bin 1644211143 diff --git a/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin b/cpld/XC95144/xst/work/vlg14/_r_a_m.bin similarity index 99% rename from cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin rename to cpld/XC95144/xst/work/vlg14/_r_a_m.bin index af3c10e..1fd1aaa 100644 Binary files a/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin and b/cpld/XC95144/xst/work/vlg14/_r_a_m.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin b/cpld/XC95144/xst/work/vlg15/_m_x_s_e.bin similarity index 99% rename from cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin rename to cpld/XC95144/xst/work/vlg15/_m_x_s_e.bin index f942a46..75a8cea 100644 Binary files a/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin and b/cpld/XC95144/xst/work/vlg15/_m_x_s_e.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg22/_c_s.bin b/cpld/XC95144/xst/work/vlg22/_c_s.bin similarity index 99% rename from cpld/XC95144XL/xst/work/vlg22/_c_s.bin rename to cpld/XC95144/xst/work/vlg22/_c_s.bin index 5bbd0fb..f2775d2 100644 Binary files a/cpld/XC95144XL/xst/work/vlg22/_c_s.bin and b/cpld/XC95144/xst/work/vlg22/_c_s.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin b/cpld/XC95144/xst/work/vlg37/_f_s_b.bin similarity index 98% rename from cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin rename to cpld/XC95144/xst/work/vlg37/_f_s_b.bin index 48d07e9..0f90f7e 100644 Binary files a/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin and b/cpld/XC95144/xst/work/vlg37/_f_s_b.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin b/cpld/XC95144/xst/work/vlg65/_c_n_t.bin similarity index 97% rename from cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin rename to cpld/XC95144/xst/work/vlg65/_c_n_t.bin index da00ced..72fd8b4 100644 Binary files a/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin and b/cpld/XC95144/xst/work/vlg65/_c_n_t.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin b/cpld/XC95144/xst/work/vlg73/_i_o_b_m.bin similarity index 62% rename from cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin rename to cpld/XC95144/xst/work/vlg73/_i_o_b_m.bin index 65d7377..401a422 100644 Binary files a/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin and b/cpld/XC95144/xst/work/vlg73/_i_o_b_m.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin b/cpld/XC95144/xst/work/vlg79/_i_o_b_s.bin similarity index 99% rename from cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin rename to cpld/XC95144/xst/work/vlg79/_i_o_b_s.bin index 43a1da4..9bfc149 100644 Binary files a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin and b/cpld/XC95144/xst/work/vlg79/_i_o_b_s.bin differ diff --git a/cpld/XC95144XL/IOBM_isim_beh.exe b/cpld/XC95144XL/IOBM_isim_beh.exe deleted file mode 100644 index fb891a9..0000000 Binary files a/cpld/XC95144XL/IOBM_isim_beh.exe and /dev/null differ diff --git a/cpld/XC95144XL/IOBM_isim_beh1.wdb b/cpld/XC95144XL/IOBM_isim_beh1.wdb deleted file mode 100644 index 0a4aadb..0000000 Binary files a/cpld/XC95144XL/IOBM_isim_beh1.wdb and /dev/null differ diff --git a/cpld/XC95144XL/MXSE.cmd_log b/cpld/XC95144XL/MXSE.cmd_log deleted file mode 100644 index 4493a01..0000000 --- a/cpld/XC95144XL/MXSE.cmd_log +++ /dev/null @@ -1,166 +0,0 @@ -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -hprep6 -s IEEE1149 -n MXSE -i MXSE --cwd "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL" timingan_cpld -intstyle ise "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.vm6" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm --cwd "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL" timingan_cpld -intstyle ise "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.vm6" -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -hprep6 -s IEEE1149 -n MXSE -i MXSE diff --git a/cpld/XC95144XL/MXSE.gise b/cpld/XC95144XL/MXSE.gise deleted file mode 100644 index ae9ee5c..0000000 --- a/cpld/XC95144XL/MXSE.gise +++ /dev/null @@ -1,234 +0,0 @@ - - - - - - - - - - - - - - - - - - - - 11.1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/cpld/XC95144XL/MXSE.gyd b/cpld/XC95144XL/MXSE.gyd deleted file mode 100644 index d1053fd..0000000 --- a/cpld/XC95144XL/MXSE.gyd +++ /dev/null @@ -1,117 +0,0 @@ -Pin Freeze File: version P.20131013 - -95144XL100 XC95144XL-10-TQ100 -A_FSB<10> S:PIN89 -A_FSB<11> S:PIN86 -A_FSB<12> S:PIN92 -A_FSB<13> S:PIN82 -A_FSB<14> S:PIN93 -A_FSB<15> S:PIN80 -A_FSB<16> S:PIN95 -A_FSB<17> S:PIN96 -A_FSB<18> S:PIN76 -A_FSB<19> S:PIN73 -A_FSB<1> S:PIN90 -A_FSB<20> S:PIN71 -A_FSB<21> S:PIN68 -A_FSB<22> S:PIN9 -A_FSB<23> S:PIN66 -A_FSB<2> S:PIN16 -A_FSB<3> S:PIN78 -A_FSB<4> S:PIN56 -A_FSB<5> S:PIN15 -A_FSB<6> S:PIN19 -A_FSB<7> S:PIN20 -A_FSB<8> S:PIN64 -A_FSB<9> S:PIN12 -CLK2X_IOB S:PIN22 -CLK_FSB S:PIN23 -CLK_IOB S:PIN27 -E_IOB S:PIN49 -nAS_FSB S:PIN13 -nBERR_IOB S:PIN18 -nDTACK_IOB S:PIN54 -nLDS_FSB S:PIN25 -nRES S:PIN99 -nUDS_FSB S:PIN52 -nVPA_IOB S:PIN59 -nWE_FSB S:PIN61 -RA<11> S:PIN63 -RA<10> S:PIN65 -RA<0> S:PIN87 -RA<1> S:PIN35 -RA<2> S:PIN91 -RA<3> S:PIN39 -RA<4> S:PIN94 -RA<5> S:PIN50 -RA<6> S:PIN53 -RA<7> S:PIN55 -RA<8> S:PIN58 -RA<9> S:PIN60 -nADoutLE0 S:PIN67 -nADoutLE1 S:PIN29 -nAS_IOB S:PIN10 -nAoutOE S:PIN70 -nBERR_FSB S:PIN14 -nCAS S:PIN74 -nDTACK_FSB S:PIN11 -nDinLE S:PIN72 -nDinOE S:PIN97 -nDoutOE S:PIN8 -nLDS_IOB S:PIN6 -nOE S:PIN17 -nRAMLWE S:PIN77 -nRAMUWE S:PIN79 -nRAS S:PIN24 -nROMCS S:PIN42 -nROMWE S:PIN81 -nUDS_IOB S:PIN7 -nVMA_IOB S:PIN33 -nVPA_FSB S:PIN85 - - -;The remaining section of the .gyd file is for documentation purposes only. -;It shows where your internal equations were placed in the last successful fit. - -PARTITION FB1_1 EXP14_ nDTACK_FSB_OBUF EXP15_ $OpTx$FX_DC$606 - EXP16_ nBERR_FSB_OBUF fsb/Ready1r -PARTITION FB1_9 EXP17_ fsb/VPA__or00001/fsb/VPA__or00001_D2 nOE_OBUF fsb/Ready2r - EXP18_ EXP19_ fsb/VPA EXP20_ - $OpTx$FX_DC$602 EXP21_ -PARTITION FB2_1 iobm/VPArr iobm/VPArf iobm/RESrr iobm/RESrf - iobm/IOREQr cnt/RefCnt<3> cnt/RefCnt<2> cnt/RefCnt<1> - fsb/BERR1r cnt/RefDone nLDS_IOB_OBUF nUDS_IOB_OBUF - cnt/TimeoutBPre nDoutOE_OBUF TimeoutB TimeoutA - nAS_IOB_OBUF iobs/IOReady -PARTITION FB3_1 EXP22_ EXP23_ IORW0 iobs/IOACTr - nRAS_OBUF iobs/Clear1 fsb/ASrf ALE0S - iobs/PS_FSM_FFd2 EXP24_ nADoutLE1_OBUF iobs/PS_FSM_FFd1 - IOREQ iobm/ETACK nVMA_IOB_OBUF EXP25_ - iobs/IORW1 ram/BACTr -PARTITION FB4_1 ram/RASEL RA_0_OBUF cs/nOverlay1 -PARTITION FB4_5 EXP26_ iobs/Load1 EXP27_ RA_2_OBUF - EXP28_ iobs/Once EXP29_ RA_4_OBUF - EXP30_ ram/RAMDIS1 EXP31_ fsb/BERR0r - nDinOE_OBUF EXP32_ -PARTITION FB5_1 IOACT RA_1_OBUF iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd6 - iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 iobm/BERRrr RA_3_OBUF - iobm/BERRrf cnt/RefCnt<0> iobm/IOS_FSM_FFd8 nROMCS_OBUF - ALE0M iobm/IOS_FSM_FFd2 BERR_IOBS iobm/IOS_FSM_FFd3 - EXP33_ IOBERR -PARTITION FB6_1 iobm/Er2 nCAS_OBUF iobm/DTACKrr iobm/DTACKrf - RefAck nRAMLWE_OBUF iobs/IOU1 iobs/IOL1 - nRAMUWE_OBUF iobm/ES<3> iobm/ES<1> nROMWE_OBUF - iobm/ES<0> IOU0 nVPA_FSB_OBUF IOL0 - iobm/ES<4> iobm/ES<2> -PARTITION FB7_1 cnt/RefCnt<5> RA_5_OBUF cnt/RefCnt<4> cs/nOverlay0 - ram/RS_FSM_FFd3 RA_6_OBUF fsb/Ready0r ram/RS_FSM_FFd1 - RA_7_OBUF ram/Once ram/RAMDIS2 RA_8_OBUF - ram/RS_FSM_FFd2 cnt/RefCnt<7> RA_9_OBUF cnt/RefCnt<6> - ram/RAMReady EXP34_ -PARTITION FB8_2 A_FSB_19_IBUF$BUF0 -PARTITION FB8_6 A_FSB_21_IBUF$BUF0 -PARTITION FB8_9 nADoutLE0_OBUF -PARTITION FB8_12 nAoutOE_OBUF -PARTITION FB8_15 nDinLE_OBUF -PARTITION FB8_17 iobm/IOS_FSM_FFd1 iobm/Er - diff --git a/cpld/XC95144XL/MXSE.jed b/cpld/XC95144XL/MXSE.jed deleted file mode 100644 index 9f5a12e..0000000 --- a/cpld/XC95144XL/MXSE.jed +++ /dev/null @@ -1,1714 +0,0 @@ -Programmer Jedec Bit Map -Date Extracted: Sat Dec 11 06:24:30 2021 - -QF93312* -QP100* -QV0* -F0* -X0* -J0 0* -N VERSION P.20131013* -N DEVICE XC95144XL-10-TQ100* -N PPMAP 2 1* -N PPMAP 17 10* -N PPMAP 18 11* -N PPMAP 19 12* -N PPMAP 21 13* -N PPMAP 22 14* -N PPMAP 23 15* -N PPMAP 24 16* -N PPMAP 26 17* -N PPMAP 28 18* -N PPMAP 29 19* -N PPMAP 4 2* -N PPMAP 30 20* -N PPMAP 33 22* -N PPMAP 35 23* -N PPMAP 36 24* -N PPMAP 37 25* -N PPMAP 42 27* -N PPMAP 44 28* -N PPMAP 47 29* -N PPMAP 6 3* -N PPMAP 49 30* -N PPMAP 54 32* -N PPMAP 56 33* -N PPMAP 57 34* -N PPMAP 58 35* -N PPMAP 59 36* -N PPMAP 60 37* -N PPMAP 62 39* -N PPMAP 8 4* -N PPMAP 63 40* -N PPMAP 64 41* -N PPMAP 68 42* -N PPMAP 69 43* -N PPMAP 72 46* -N PPMAP 77 49* -N PPMAP 79 50* -N PPMAP 82 52* -N PPMAP 86 53* -N PPMAP 88 54* -N PPMAP 90 55* -N PPMAP 92 56* -N PPMAP 95 58* -N PPMAP 96 59* -N PPMAP 11 6* -N PPMAP 97 60* -N PPMAP 98 61* -N PPMAP 102 63* -N PPMAP 103 64* -N PPMAP 104 65* -N PPMAP 105 66* -N PPMAP 107 67* -N PPMAP 109 68* -N PPMAP 12 7* -N PPMAP 112 70* -N PPMAP 114 71* -N PPMAP 116 72* -N PPMAP 117 73* -N PPMAP 118 74* -N PPMAP 123 76* -N PPMAP 125 77* -N PPMAP 127 78* -N PPMAP 130 79* -N PPMAP 13 8* -N PPMAP 134 80* -N PPMAP 135 81* -N PPMAP 136 82* -N PPMAP 139 85* -N PPMAP 140 86* -N PPMAP 141 87* -N PPMAP 143 89* -N PPMAP 15 9* -N PPMAP 144 90* -N PPMAP 145 91* -N PPMAP 146 92* -N PPMAP 147 93* -N PPMAP 149 94* -N PPMAP 153 95* -N PPMAP 155 96* -N PPMAP 157 97* -N PPMAP 160 99* -L0000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0000064 00010000 00011100 00000000 00000000 00000000 00000000 00000000 00000000* -L0000128 01000000 00001100 00000000 00000000 00000000 00011000 00000000 00000000* -L0000192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000256 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000320 00000000 00000000 00000000 00100000 00000000 00000000 10000000 00000000* -L0000384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000448 00000000 00000000 00000000 00000000 00000100 00000100 00000000 00000000* -L0000512 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0000576 000100 000000 000000 000000 000001 000000 000000 000000* -L0000624 000000 000000 000000 000100 001000 000000 010100 000000* -L0000672 001001 000010 000000 100000 000001 000000 001010 000000* -L0000720 001100 000010 000000 000000 000000 000000 000000 000000* -L0000768 000101 000000 000000 000000 000000 000000 000000 000000* -L0000816 000101 000000 000000 000000 000000 000000 000000 000000* -L0000864 00010000 00000000 00000000 00000000 00000000 00011000 00000000 00000000* -L0000928 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000992 00011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001056 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001120 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001184 00000000 00000000 00000000 00000000 00000100 00000100 00000000 00000000* -L0001248 00000000 00000000 00000000 00100000 00000100 00000000 10000000 00000000* -L0001312 00010100 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0001376 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001440 000000 000000 000000 000000 000000 000000 000100 000000* -L0001488 001101 000000 000000 000000 000001 000000 001010 000000* -L0001536 000100 000000 000010 000100 000000 000000 010000 000000* -L0001584 000001 000000 000000 100000 000001 000001 000000 000000* -L0001632 100000 000000 000000 000000 000000 000000 000000 000000* -L0001680 100000 000000 000000 000000 000000 000000 000000 000000* -L0001728 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001792 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001856 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001920 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002304 000000 000000 000000 000000 000000 000000 000000 000000* -L0002352 000000 000000 000000 000000 000000 000000 000000 000000* -L0002400 000000 000000 000000 000000 000000 000000 000000 000000* -L0002448 000000 000000 000000 000000 000000 000000 000000 000000* -L0002496 000000 000000 000000 000000 000000 000000 000000 000000* -L0002544 000000 000000 000000 000000 000000 000000 000000 000000* -L0002592 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002656 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002720 11000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002784 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002848 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002976 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003040 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003104 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003168 100000 000000 000000 000000 000000 000000 000100 000000* -L0003216 100001 000000 000000 000000 000000 000000 000000 000000* -L0003264 100001 000000 000010 000000 000000 000000 000000 000000* -L0003312 100001 000000 000000 000000 000000 000000 000000 000000* -L0003360 100001 000000 000000 000000 000000 000000 000000 000000* -L0003408 100001 000000 000000 000000 000000 000000 000000 000000* -L0003456 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003520 01000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0003584 01010000 00000000 01000000 00000000 00000000 00000000 00100000 00000000* -L0003648 10100000 00000000 01000000 00000000 00000000 00000000 00010100 00000000* -L0003712 10100100 00000000 01000100 00000000 00000000 00000000 00010100 00000000* -L0003776 01000000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003840 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0003904 00010000 00000000 01100000 00000000 00000000 00000000 00001100 00000000* -L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00101100 00000000* -L0004032 000000 000000 000000 000000 000000 000000 001001 000000* -L0004080 101101 000000 000000 000000 000000 000000 000000 000000* -L0004128 001000 000000 000100 000000 000000 000000 000000 000000* -L0004176 001101 000000 000000 000000 000000 000000 010000 000000* -L0004224 000001 000000 000000 000000 000000 000000 001000 000000* -L0004272 000100 000000 000000 000000 000000 000000 001000 000000* -L0004320 00010000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* -L0004384 10010000 00000000 10000000 00000000 00000000 00000000 00110100 00000000* -L0004448 00000100 00000000 10000000 00000000 00000000 00000000 00010100 00000000* -L0004512 00010100 00000000 10000000 00000000 00000000 00000000 01000000 00000000* -L0004576 00010000 00000000 00010000 00000000 00000100 00000000 00000000 00000000* -L0004640 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0004704 01000000 00010000 01000000 00000000 00000100 00000000 00101000 00000000* -L0004768 01000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0004832 00010000 00000000 00000000 00000000 00001000 00000000 00010000 00000000* -L0004896 000100 000000 000010 000000 100001 000000 000000 000000* -L0004944 000000 000000 000000 000000 000000 000000 000000 000000* -L0004992 100101 000100 000000 000000 000000 000000 000000 000000* -L0005040 100000 000000 000000 100000 000000 000000 001000 000000* -L0005088 110100 000000 000010 000000 000000 000000 000000 000000* -L0005136 110001 000000 000000 000000 000001 000000 000100 000000* -L0005184 00000010 00000000 00000000 00000000 00000000 00000000 01000100 00000000* -L0005248 00000000 00001100 00000000 00000000 00000000 00000000 00010000 00000000* -L0005312 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005376 00001010 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0005440 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005504 00000010 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0005568 00000001 00000000 00000000 00000000 00000000 00000000 00110100 00000000* -L0005632 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* -L0005696 00000100 00000000 00000000 00000000 00000000 00000000 00110000 00000000* -L0005760 000000 000000 000000 000000 000000 000000 000001 000000* -L0005808 000000 000000 000000 000000 000000 000000 000000 000000* -L0005856 000000 000010 000000 000000 000000 000000 000000 000000* -L0005904 000000 000001 000000 000000 000000 000000 000000 000000* -L0005952 000000 000000 000000 000000 000000 000000 011001 000000* -L0006000 000000 000000 000000 000000 000000 000000 000100 000000* -L0006048 00000110 00001100 00000000 00000000 00000000 00000000 00110000 00000000* -L0006112 00000110 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006240 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006304 00000010 00000000 00000000 00000000 00000100 00000000 01000000 00000000* -L0006368 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0006432 00000010 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0006496 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006560 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0006624 000000 000000 000000 000000 100001 000000 000010 000000* -L0006672 000000 001011 000000 100000 000000 000000 000000 000000* -L0006720 000000 000000 000000 000000 000000 000000 000100 000000* -L0006768 000000 000000 000000 000000 000000 000000 000100 000000* -L0006816 000000 000000 000000 000000 000000 000000 000100 000000* -L0006864 000000 000000 000000 000000 000001 000000 000000 000000* -L0006912 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000000* -L0006976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007040 00000000 00000000 00010000 00000000 10000000 00000000 00000000 00000000* -L0007104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007168 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0007232 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0007296 00000000 00011000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007360 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007488 000000 000000 000000 000000 000000 000000 000000 000000* -L0007536 000000 000000 000000 000000 000000 011100 000000 000000* -L0007584 000000 000100 000000 000000 000000 000000 000000 000000* -L0007632 000000 000100 000000 000000 000000 000000 000000 000000* -L0007680 000000 000000 000100 000000 000001 000000 000000 000000* -L0007728 000000 000000 000001 000000 000001 000000 000000 000000* -L0007776 00010000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0007840 01011000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0007904 01011000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0007968 01111000 00000000 11000000 00000100 00000000 00000000 00000000 00000000* -L0008032 11111000 00000000 01000000 00000100 00000000 00000000 00000000 00000000* -L0008096 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008160 01000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0008224 01010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008288 00010100 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0008352 000100 000000 000000 000100 000000 000000 000000 000000* -L0008400 101101 000000 000000 000000 100000 000000 010000 000000* -L0008448 101101 000000 000000 000000 000000 000000 000000 000000* -L0008496 101101 000000 000000 000000 000000 000000 000000 000000* -L0008544 110101 000000 000000 000000 000000 000000 000000 000000* -L0008592 110101 000000 000000 000000 000000 000000 000000 000000* -L0008640 01000000 00000000 00000000 00000000 10001100 00000000 01000000 00000000* -L0008704 00000000 00011100 00000000 00000000 10001100 00000000 01000000 00000000* -L0008768 10100000 00001100 00000000 00000000 10000100 00000000 01000000 00000000* -L0008832 00000000 00000000 00000100 00000000 10000100 00000000 00000000 00000000* -L0008896 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0008960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009024 00000100 00000000 10100000 00000000 00000000 00000000 00000000 00000000* -L0009088 00000000 00000000 10000000 00000000 10000000 00000000 00000100 00000000* -L0009152 00000000 00000000 10000000 00000000 10000000 00000000 00000100 00000000* -L0009216 000000 000000 100000 000000 100000 000000 000000 000000* -L0009264 000000 000000 000100 000000 000000 000000 000000 000000* -L0009312 000000 000010 000000 000000 000000 000000 000000 000000* -L0009360 010000 000010 000000 000000 000000 000000 000000 000000* -L0009408 000000 000000 000000 000000 000001 000000 000000 000000* -L0009456 000000 000000 000000 000000 000001 000000 000000 000000* -L0009504 00011000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* -L0009568 00011000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* -L0009632 01011000 00000000 10010000 00000000 00000000 00000000 00100000 00000000* -L0009696 11011000 00000000 10010000 00000000 00000000 00100000 00010000 00000000* -L0009760 01011100 00000000 00010000 00000000 00000000 00000000 00010100 00000000* -L0009824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009888 01000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0009952 01010100 00000000 01001000 00000000 00000000 00000000 00001000 00000000* -L0010016 00010100 00000000 00101000 00000000 00000000 00100000 00101000 00000000* -L0010080 000100 000000 001011 000000 000000 000000 001001 000000* -L0010128 001101 000000 000000 000000 000000 000000 000000 000000* -L0010176 101101 000000 000001 000000 000000 000000 000000 000000* -L0010224 101101 000000 000111 000000 000000 000000 000000 000000* -L0010272 110101 000000 000111 000000 000000 000000 001000 000000* -L0010320 110101 000000 000101 000000 000000 000000 001000 000000* -L0010368 10000101 00000011 00000000 00010111 00000010 00000011 00000011 00000000* -L0010432 00100111 00011111 00000001 00000000 00000011 00000011 00100011 00000010* -L0010496 10100001 00001111 00000010 00000011 00000011 00000011 00100011 00000000* -L0010560 00100010 00000011 00000011 00000000 00000011 00000011 00000011 00000000* -L0010624 10100000 00000011 00000011 00000001 00000011 00000011 00000011 00000000* -L0010688 00000011 00000011 00000011 00000010 00000011 00000011 00000011 00000011* -L0010752 00000010 00000011 00000010 00000001 00000011 00000011 00000010 00000000* -L0010816 00000001 00000011 00000011 00000010 00000010 00000011 00000011 00000001* -L0010880 00000000 00000011 00000011 10000000 00000011 00000011 00000010 00000011* -L0010944 000000 000000 000000 100000 000000 000000 000000 000000* -L0010992 100000 000000 000000 000000 000000 000000 000000 000000* -L0011040 100000 000010 000000 000000 000000 000000 000000 000000* -L0011088 100000 000010 000000 000000 000000 000000 000000 000000* -L0011136 010000 000000 000000 110000 000000 000000 000000 000000* -L0011184 010000 000000 000000 000010 000000 000000 000000 000000* -L0011232 00000010 00000000 00000011 00000000 00000000 00000000 00000000 00000000* -L0011296 00001000 00000000 00000010 00001011 00000000 00000000 00000000 00000000* -L0011360 00000010 00000000 00000001 00000000 00000100 00000000 00000000 00000000* -L0011424 01000001 00000000 00000000 10001001 00000000 00000000 00000000 00000000* -L0011488 00001011 00000000 00000000 00000010 10000000 00000000 00000000 00000000* -L0011552 00000000 00000000 00000000 10000001 00000000 00000000 00000000 00000000* -L0011616 00000001 00000000 00000001 00000110 00001000 00001000 00000001 00000000* -L0011680 00000000 00000000 00000000 10000001 10000101 00000000 00000000 00000000* -L0011744 00000011 00000000 00000000 00000011 00000000 00000000 00000001 00000000* -L0011808 000000 000000 000000 000010 000000 000000 000000 000000* -L0011856 000000 010000 000000 000000 000000 000000 000000 000001* -L0011904 000000 000000 000000 000011 000000 000000 000000 000000* -L0011952 000000 000000 000000 000000 000001 000000 000000 000000* -L0012000 000000 000000 000000 000001 000000 000000 000000 000000* -L0012048 000000 000000 000000 000000 000000 000000 000000 000000* -L0012096 00000001 00000001 00000000 00001011 00000010 00000001 00000001 00000000* -L0012160 00000010 00011101 00000001 10001000 00000011 00000001 00000011 00000000* -L0012224 00000001 00001101 00000010 10001001 00000001 00000000 00000000 00000000* -L0012288 00000010 00000001 00010001 10001000 00000001 00000001 00000011 00000000* -L0012352 00000000 00000001 00000010 10001001 00000001 00000001 00000010 00000000* -L0012416 00000011 00000001 00000001 10001110 00000001 00000000 00000011 00000000* -L0012480 00000010 00000001 00000000 10001101 00000001 00000001 00000010 00000000* -L0012544 00000001 00000000 00000001 10000110 00000010 00000001 00000011 00000000* -L0012608 00000000 00000011 00001010 10001100 00000001 00000001 00000010 00000000* -L0012672 000000 000000 000000 000011 000000 000000 000000 000000* -L0012720 000000 000000 000000 000011 000000 000000 000000 001000* -L0012768 000000 000010 000000 000011 000000 000000 000000 000000* -L0012816 000000 000010 000010 000111 000000 000000 000000 000000* -L0012864 000000 000000 000000 000101 000000 000000 000000 000000* -L0012912 000000 000000 000000 000111 000000 000000 000000 000000* -L0012960 00010010 00100000 00000011 00000000 00000000 00000000 00000010 00000000* -L0013024 01011001 00000000 00000010 00000011 00000000 00000100 00000000 00000000* -L0013088 01011010 00000000 10000001 00000010 00000000 00000000 00000001 00000000* -L0013152 01011001 00000000 11000010 00000001 00000000 00000000 00000000 00000000* -L0013216 01111011 00000000 01000001 00000010 00000000 00000000 00000001 00000000* -L0013280 00000000 00000000 00000000 00000001 00100000 00000000 00000000 00000000* -L0013344 00000001 00000000 00000001 00000010 00000000 00000000 00000001 00000000* -L0013408 01010100 00000000 00000010 00001001 00000001 00000000 00000000 00000000* -L0013472 00010111 00000000 00000001 00000011 00000000 00000000 00000001 00000000* -L0013536 000100 000000 000000 100000 000000 000000 000000 000000* -L0013584 101101 010000 000000 000000 000000 000000 000000 000000* -L0013632 001101 000000 000000 000000 000000 000000 000010 000000* -L0013680 101101 000000 000000 000000 000000 000000 000000 000000* -L0013728 100101 000000 000000 000000 000000 000000 000000 000000* -L0013776 110101 000000 000000 000000 000000 000000 000000 000000* -L0013824 00000001 10000000 10011000 00000011 00000010 00000001 00000000 00000000* -L0013888 00001010 00000001 11010000 00000000 00000000 00000001 00000001 00000000* -L0013952 00001001 00000001 11011110 00000000 00000000 00000000 00000000 00000000* -L0014016 01001000 00000001 11011001 00000000 00000000 00000001 00000001 00000000* -L0014080 01001000 00000000 01011010 00000001 00000001 00000000 00000010 00000000* -L0014144 00000011 10000001 10000000 00000010 00000001 00000000 00000000 00000000* -L0014208 00000010 00000001 10101000 00000001 00000001 00000000 00000010 00000000* -L0014272 00000100 00000000 10101001 00000000 00000000 00000001 00000011 00000000* -L0014336 00000100 00000001 10101010 00000000 00000001 00000001 00000000 00000000* -L0014400 000000 000000 101010 000000 000000 000000 000000 000000* -L0014448 000000 000000 100100 000000 000000 000000 000000 000000* -L0014496 010000 000000 101100 000000 000000 000000 000000 000000* -L0014544 010000 000000 101100 000000 000000 000000 000000 000000* -L0014592 000000 000000 101100 000000 000000 000000 000000 000000* -L0014640 000000 000000 101100 010000 000000 000000 000000 000000* -L0014688 00000010 00000000 00000011 00000000 00000000 00000000 00000001 00000000* -L0014752 00000001 00000000 00000011 00010011 00000010 00000000 00000000 00000000* -L0014816 00000010 00000000 00000001 00000011 00000100 00000000 00000001 00000000* -L0014880 00000011 00000000 00000010 00010001 00000000 00000100 00000010 00000000* -L0014944 00000011 00000000 00000001 00000010 10000000 00000000 00000001 00000000* -L0015008 00000000 00000000 00100001 00000001 00000000 00000000 00000011 00000000* -L0015072 00000001 00000000 00000001 00000010 00001000 00000000 00000001 00000000* -L0015136 00001001 00000000 00010010 00000011 10000101 00000000 00000000 00000000* -L0015200 10000011 00000000 00000001 00000011 00000000 00001000 00000011 00000000* -L0015264 000000 000000 000000 000000 000000 000000 000000 000000* -L0015312 000000 000000 011000 000000 000000 000000 000000 000000* -L0015360 000000 000000 000000 001000 000000 000000 001000 000000* -L0015408 000010 000000 000000 000000 000001 000000 000000 000000* -L0015456 000000 000000 000000 000000 000000 000000 000000 000000* -L0015504 000000 000000 000000 000000 000000 000000 000000 000000* -L0015552 00000001 00000000 00000000 00001011 00000010 00000000 00000000 00000000* -L0015616 00001010 00000000 00000000 00001000 00000000 00000000 10000001 00000000* -L0015680 00001001 00000000 00000010 10001010 00000000 00000000 00010100 00000000* -L0015744 00001000 00000000 00000001 10001000 00000000 00000000 00010101 00000000* -L0015808 00001000 00000000 00000000 10001001 00000001 00000001 00010010 00000000* -L0015872 00000001 00000000 00001000 10000010 00000001 00000000 00000100 00000000* -L0015936 00000010 00000000 00000000 10000000 00000001 00000011 00010100 00000000* -L0016000 00000100 00000000 00000001 10000100 00000000 00000011 00010111 00000000* -L0016064 00000100 00000001 00000010 10001100 00000000 00000001 00010100 00000000* -L0016128 000000 000000 000000 100011 000000 000000 000010 000000* -L0016176 000000 000000 000010 000010 000000 000000 000000 000000* -L0016224 000000 000000 000010 000010 000000 000000 000000 000000* -L0016272 000000 000000 000000 000111 000000 000000 000000 000000* -L0016320 000000 000000 000000 000111 000000 000000 010001 000000* -L0016368 000000 000000 000000 000111 000000 000000 010001 000000* -L0016416 00000010 00000000 00000011 00000000 00000000 00000000 00000001 00000000* -L0016480 00000001 00000000 00000011 00000011 00000010 00000000 00001000 00000000* -L0016544 00000010 00000000 00000001 00000011 00000000 00000000 00000001 00000000* -L0016608 00000011 00000000 00000010 00000001 00000000 00000000 00000010 00000000* -L0016672 00000001 00000000 00000001 00000010 00000000 00000001 00000001 00000000* -L0016736 00000010 00000000 00000001 00000001 00000000 00000000 00000010 00000000* -L0016800 00000001 00000000 00000001 00000011 00000000 00000011 01000001 00000000* -L0016864 00000001 00000000 00000010 00000011 00000001 00000010 00000000 00000000* -L0016928 00001001 00000000 00000001 00000011 00000001 00000000 00000011 00000000* -L0016992 000000 000000 000000 000000 000000 000000 000000 000000* -L0017040 000000 000000 000000 000000 000000 000000 000000 000000* -L0017088 000000 000001 000000 000000 000010 000000 000000 000000* -L0017136 000000 000001 000000 000000 000010 000000 000000 000000* -L0017184 000010 000001 000000 000000 000010 000000 000000 000000* -L0017232 000000 000000 000000 000000 000000 000000 000000 000000* -L0017280 00000001 00000000 00000000 00000011 00000010 00000000 00000000 00000000* -L0017344 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0017408 00000001 00000000 00000010 00000000 00000000 00000000 00010000 00000000* -L0017472 00000000 00000000 00000001 00000000 00000000 00000000 00010001 00000000* -L0017536 00000000 00000000 00000000 00001001 00000000 00000000 00010010 00000000* -L0017600 00000001 00000000 00001000 01000010 00000000 00000000 00000000 00000000* -L0017664 01000010 00000000 00000000 11000000 00000001 00000000 00010000 00000000* -L0017728 01000000 00000000 00000001 10000000 00000000 00000000 00010011 00000000* -L0017792 00000000 00000000 00000010 00000000 00000000 00000001 00010000 00000000* -L0017856 000000 000000 000000 000001 000000 000000 000000 000000* -L0017904 000000 000000 000010 000001 000000 000000 000000 000000* -L0017952 100000 000000 000000 000011 000000 000000 000000 000000* -L0018000 100000 000000 000000 000000 000000 000000 000000 000000* -L0018048 010000 000000 000000 001000 000000 000000 000000 000000* -L0018096 010000 000000 000000 001100 000000 000000 000000 000000* -L0018144 00000010 00000000 00000001 00001000 00000000 00000000 00000001 00000000* -L0018208 00000001 00000000 00000010 00001011 00000010 00000000 00000001 00000000* -L0018272 00000010 00000000 00000001 00001101 00000000 00000000 00000001 00000000* -L0018336 00000011 00000000 00000010 00011001 00000000 00000000 00000010 00000000* -L0018400 00000001 00000000 00000001 00110100 00000000 00000000 00000001 00000000* -L0018464 00000010 00000000 00000000 10000101 00000000 00000000 00010010 00000000* -L0018528 00000001 00000000 00000001 00000111 00000000 00000000 00000001 00000000* -L0018592 00000000 00000000 00000010 00000101 00000001 00000000 00000000 00000000* -L0018656 00000001 00000000 00000001 00100111 00000001 00000000 00000011 00000000* -L0018720 000000 000000 000000 000000 000000 000000 000000 000000* -L0018768 000000 000000 000000 000000 000000 000000 000000 000000* -L0018816 000000 000001 000010 000000 000010 000000 000000 000000* -L0018864 000000 000001 000000 000101 000010 000000 000000 000000* -L0018912 000000 000001 000000 000101 000010 000000 000000 000000* -L0018960 000000 000000 000000 000001 000000 000000 000000 000000* -L0019008 00010000 00000001 00000000 00000001 00000011 00000000 00000000 00000000* -L0019072 00010001 00000000 00000001 00000000 00000001 00000001 00000000 00000000* -L0019136 01010001 00000000 00000000 00000000 00000001 00000001 00000000 00000000* -L0019200 00010000 00000000 00000001 00000000 00000001 00000101 00000001 00000000* -L0019264 00010000 00000000 00000010 00000000 00000000 00000000 00000010 00000000* -L0019328 00000000 00000000 00000000 00000010 00000000 00000011 00000000 00000001* -L0019392 00000010 00000000 00000000 00000001 00000001 00000000 00000010 00000000* -L0019456 00010000 00000000 00000001 00000000 00000000 00000000 00000001 00000000* -L0019520 00010000 00000010 00000011 00000000 00000000 00001011 00000000 00000000* -L0019584 000100 000000 000000 000000 000000 000000 000000 000000* -L0019632 001101 000000 000000 000000 000000 000000 000000 000000* -L0019680 001101 000000 000000 000000 000000 000000 000000 000000* -L0019728 001101 000000 000000 000000 000000 000000 000000 000000* -L0019776 100101 000000 000000 000000 000000 000000 000000 000000* -L0019824 100101 000000 000000 010000 000000 000000 000000 000000* -L0019872 00000001 00000000 00000000 00000011 00000010 00000000 00000001 00000000* -L0019936 00000010 00000000 00000000 00000000 00000000 00000000 00000001 00000000* -L0020000 00000001 00000000 00000011 00000000 00000000 00000000 00000001 00000000* -L0020064 00000000 00000000 00000001 00000000 00000000 00000000 00000001 00000000* -L0020128 00000000 00000000 00000000 00010001 00000000 00000000 00000010 00000000* -L0020192 00000001 00000000 00000000 00000010 00000000 00000000 10000000 00000000* -L0020256 00000010 00000000 00000000 00000000 00000000 00000000 00000001 00000000* -L0020320 00000000 00000000 00000001 00000001 00000000 00000000 00000001 00000000* -L0020384 00000000 00000000 00000010 00000000 00000001 00000000 00000000 00000000* -L0020448 000000 000000 000000 000000 000000 000000 000000 000000* -L0020496 000000 000000 000000 001000 000000 000000 000000 000000* -L0020544 000000 000000 000000 000000 000000 000000 000000 000000* -L0020592 000000 000000 000000 001000 000000 000000 000000 000000* -L0020640 000000 000000 000000 000000 000000 000000 000000 000000* -L0020688 000000 000000 000000 000000 000000 000000 000000 000000* -L0020736 00000000 00000000 00000000 10000011 00000010 00000000 00000000 00000000* -L0020800 00000010 00001000 00000000 00000010 00000000 00000000 00010000 00000000* -L0020864 00000000 00001000 00000010 10000000 00000000 00000000 01010000 00000000* -L0020928 00000010 00000000 00000001 10000000 00000000 00000000 01010001 00000000* -L0020992 00000000 00000000 00000000 10000001 00000000 00000000 01010010 00000000* -L0021056 00000001 00000000 00001000 10000110 00000000 00000000 01000000 00000000* -L0021120 00000000 00000000 00000000 10000100 00000000 00000000 01100000 00000000* -L0021184 00000000 00000000 00000001 10001100 00000000 00000000 01100001 00000000* -L0021248 00000000 00000000 00000010 10000100 00000000 00000000 01110000 00000000* -L0021312 000000 000000 000000 000001 000000 000000 011000 000000* -L0021360 000000 000000 000010 000001 000000 000000 000000 001000* -L0021408 000000 000000 000000 000001 000000 000000 000001 000000* -L0021456 000000 000000 000000 000001 000000 000000 011000 000000* -L0021504 000000 000000 000000 000001 000000 000000 001000 000000* -L0021552 000000 000000 000000 000001 000000 000000 001000 000000* -L0021600 00000000 00001000 00000001 00000000 00000000 00000000 00001010 00000000* -L0021664 00000000 00000000 00000000 10000011 00000010 00000000 00000000 00000000* -L0021728 00000010 00000000 00000000 00000010 00000000 00000000 00000000 00000000* -L0021792 00000010 00000000 00000010 00000000 00000000 00000000 00000000 00000000* -L0021856 00000010 00000000 00000001 00000000 00000000 00000000 00000001 00000000* -L0021920 00000000 00000000 00000000 00001001 00000000 00000000 00100010 00000000* -L0021984 00000001 00000000 00000000 00000010 00000000 00000000 00010000 00000000* -L0022048 00000001 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0022112 00000000 00000000 00000001 00000000 00000000 00000000 00000001 00000000* -L0022176 000000 000000 000000 000000 000000 000000 000010 000000* -L0022224 000000 000000 000000 000000 000000 000000 000001 000000* -L0022272 000000 000010 000010 000000 000000 000000 000000 000000* -L0022320 000000 000010 000000 000000 000000 000000 000000 000000* -L0022368 000000 000000 000000 000010 000000 000000 010000 000000* -L0022416 000000 000000 000000 000000 000000 000000 010000 000000* -L0022464 00000001 00000011 00000000 00000011 00000011 00000011 00000011 00000000* -L0022528 00000011 00000011 00000001 00000010 00000011 00000011 00000011 00000010* -L0022592 00000001 00000011 00000011 00000011 00000011 00000011 00000011 00000001* -L0022656 00000010 00000011 00000011 00000000 00000011 00000011 00000011 00000000* -L0022720 00000000 00000011 00000011 00000001 00000011 00000011 00001011 00000000* -L0022784 00000011 00000011 00000011 00000010 00000011 00000011 00000011 00000011* -L0022848 00000010 00001011 01000010 00000001 00000011 00000011 00000011 00000000* -L0022912 00000001 00000011 01000011 00000011 00000010 00000011 00000011 00000001* -L0022976 00000000 00000011 00000011 00000000 00000011 00000011 00000010 00000011* -L0023040 000000 000000 000000 000000 000000 000000 010000 000000* -L0023088 000000 000000 000000 000000 000000 000000 000000 000000* -L0023136 000000 000000 000000 000000 000000 000000 000000 000000* -L0023184 000000 000000 000000 000000 000000 000000 000000 000000* -L0023232 000000 000000 000000 000000 000000 000000 000100 000000* -L0023280 000000 000000 000000 000000 000000 000000 000000 000000* -L0023328 01010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0023392 01011000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* -L0023456 11111000 00000000 00000000 00000000 10000000 00000000 00010000 00000000* -L0023520 01111000 00000000 00000000 00000000 10000000 00000000 00010000 00000000* -L0023584 11111000 00000000 00000000 10000000 00000000 00000000 00010000 00000000* -L0023648 01000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0023712 01000100 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0023776 01010100 00000000 00000000 00000100 00000000 00000000 00010000 00000000* -L0023840 00010100 00000000 00000000 10000100 00000000 00000000 00010000 00000000* -L0023904 000100 000000 000000 100001 000000 000000 000010 000000* -L0023952 101101 000000 000000 000010 000001 000000 000000 000000* -L0024000 101101 000000 000000 000000 000001 000000 000000 000000* -L0024048 111101 000000 000000 000110 000000 000000 000000 000000* -L0024096 110101 000000 000000 000110 000000 000000 010000 000000* -L0024144 110101 000000 000000 000110 000000 000000 010000 000000* -L0024192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024256 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024512 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0024576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024768 000000 000000 000000 000000 000000 000000 000000 000000* -L0024816 000000 000000 000010 000000 000000 000000 000000 000000* -L0024864 000000 001000 000000 000000 000000 000000 000000 000000* -L0024912 000000 000000 000000 000000 000000 000000 000000 000000* -L0024960 000000 000000 000000 000000 000000 000000 000000 000000* -L0025008 000000 000000 000000 000000 000000 000000 000000 000000* -L0025056 00001100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025120 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025184 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025248 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025312 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025376 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025440 00001000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0025504 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025568 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025632 000010 000000 000000 000000 000000 000000 000000 000000* -L0025680 000010 000000 000000 000000 000000 000000 000000 000000* -L0025728 000010 000000 000010 000000 000000 000000 000000 000000* -L0025776 000010 000000 000000 000000 000000 000000 000000 000000* -L0025824 000010 000000 000000 000000 000000 000000 000000 000000* -L0025872 000010 000000 000000 000000 000000 000000 000000 000000* -L0025920 00010000 00000000 00010000 00000000 10001100 00000000 00000000 00000000* -L0025984 00010011 00000001 00000001 00000110 10001010 00000010 00000010 00000010* -L0026048 01010000 00000001 00000000 00000101 00000001 00000001 00000001 00000001* -L0026112 00010000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0026176 00010000 00000001 00000010 00000000 00000000 00000000 00000000 00000000* -L0026240 00000010 00010000 00000001 00000000 00000000 00000011 00000011 00000011* -L0026304 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0026368 00010000 00000001 00000000 00000011 00000010 00000000 00000000 00000000* -L0026432 00010000 00000000 00000000 00000000 00000000 00000010 01000010 00000010* -L0026496 000100 000000 000000 000000 000000 000000 000000 000000* -L0026544 001101 000000 000000 000000 000000 000000 000000 000000* -L0026592 001101 000000 000000 000000 000000 000000 000000 000000* -L0026640 001101 000000 000001 000000 000000 000000 000100 000000* -L0026688 100101 000000 000000 000000 000000 000000 000000 000000* -L0026736 100101 000000 000000 000000 000000 000000 000000 000000* -L0026784 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0026848 00000000 00000000 11000000 00000000 00000000 00000000 10000000 00000000* -L0026912 00000000 00000000 11000000 00000000 00000000 00000000 00010000 00000000* -L0026976 00000000 00000000 11000000 00000000 00000000 00000000 00010000 00000000* -L0027040 00000000 00000000 01000000 00000000 00000000 00000000 00010000 00000000* -L0027104 00000000 00000000 00000000 00000000 00001100 00000000 00001000 00000000* -L0027168 00000000 00000000 00000000 00000000 00001100 00000000 00010000 00000000* -L0027232 00000000 00000000 00000000 00000000 00001100 00000000 00010000 00000000* -L0027296 00000000 00000000 00000000 00000000 00001100 00000000 00010000 00000000* -L0027360 000000 000000 000000 000000 000001 000000 000010 000000* -L0027408 000000 000000 000000 000000 000001 000000 000000 000000* -L0027456 000000 000000 000000 000000 000001 000000 000000 000000* -L0027504 000000 000000 000000 000000 000001 000000 000000 000000* -L0027552 000000 000000 000000 000000 000000 000000 010000 000000* -L0027600 000000 000000 000000 000000 000000 000000 010000 000000* -L0027648 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027712 00000001 00000000 00000100 00000010 00000010 00001000 00100010 00000010* -L0027776 00000000 00000000 00000000 00000001 00000001 00001001 00100001 00000001* -L0027840 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027904 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000000* -L0027968 00000010 00000000 00000000 00000000 00010000 00000011 00000011 00000010* -L0028032 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0028096 00000001 00000000 00000000 00000011 00000010 00000000 00000000 00000000* -L0028160 00000000 00000000 00000000 00000000 00000000 00000010 00000010 00000010* -L0028224 100010 000000 000001 000000 000000 000000 000000 000000* -L0028272 000000 000000 100000 000000 000000 000000 000000 000000* -L0028320 000000 000000 000000 000000 000000 000000 000000 000000* -L0028368 000000 000000 000000 000000 000000 000000 000000 000000* -L0028416 000000 000000 000000 000000 000000 000000 000000 000000* -L0028464 000010 000000 000000 000000 000000 000000 000000 000000* -L0028512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028576 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028640 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028704 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028768 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028832 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0028896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028960 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0029024 00000100 00000000 00000100 00000000 00000000 00000100 00000000 00000001* -L0029088 000000 000000 000000 000000 000000 000000 000000 000000* -L0029136 000000 000000 000000 000000 000000 000000 000000 000000* -L0029184 000000 000000 100000 000000 000000 000000 000000 000000* -L0029232 000000 000000 000000 000000 000000 000000 000000 000000* -L0029280 000000 000000 000000 000000 000000 000000 000000 000000* -L0029328 000000 000000 000000 000000 000000 000001 000000 000000* -L0029376 10000100 00000010 00000000 00001000 00000010 00011011 00000000 00000000* -L0029440 00100100 00000011 00000000 00001000 00000001 00000001 00000000 00000000* -L0029504 10100000 00000011 00000000 10001000 00000010 00000010 00000000 00000000* -L0029568 00100000 00000010 00000000 10001000 00000011 00000011 00000000 00000000* -L0029632 10100000 00000011 00000001 00001000 00000011 00000000 00000000 00000000* -L0029696 00000000 00000000 00001001 10000000 00000010 00000100 00000000 00000001* -L0029760 00000000 00000000 00000000 10000000 00000011 00000000 00000000 00000000* -L0029824 00000000 00000001 00000000 10000000 00000000 00010001 00000000 00000001* -L0029888 00000000 00000000 00000000 00001000 00000011 00000001 00000000 00000000* -L0029952 000000 000000 000000 000010 000000 000000 000000 000000* -L0030000 100000 000000 000010 000000 000000 000000 000000 000000* -L0030048 100000 000000 000000 000010 000000 000000 000000 000000* -L0030096 100000 000001 000000 000001 000000 000001 000000 000000* -L0030144 010000 000001 000000 000001 000000 000000 000000 000000* -L0030192 010000 000000 000000 000001 000000 000000 000000 000000* -L0030240 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0030304 00000000 00000011 00000000 00000000 00000000 00011010 00000000 00000000* -L0030368 00001000 00000001 00000000 00000000 00000000 00000000 00000000 00000000* -L0030432 00000000 00000010 00000000 00000000 00000000 00000010 00000000 00000000* -L0030496 01000000 00000010 00000000 00000000 00000000 00000000 00000000 00000000* -L0030560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0030624 00000000 00000000 00000010 00000000 00000000 00000100 00000000 00000000* -L0030688 00000100 00000001 00000000 00000000 00000000 00000000 00000000 00000000* -L0030752 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000001* -L0030816 000000 000000 000000 000000 000000 000000 000000 000000* -L0030864 000000 000000 000000 000000 000000 000000 000000 000000* -L0030912 000000 000001 000010 000000 000000 000000 000000 000000* -L0030960 000000 000000 000000 000000 000000 000000 000000 000000* -L0031008 000000 000000 000000 000000 000000 000000 000000 000000* -L0031056 000000 000000 000000 000000 000000 000000 000000 000000* -L0031104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031680 000000 000000 000000 000000 000000 000000 000000 000000* -L0031728 000000 000000 000000 000000 000000 000000 000000 000000* -L0031776 000000 000000 000000 000000 000000 000000 000000 000000* -L0031824 000000 000000 000000 000000 000000 000000 000000 000000* -L0031872 000000 000000 000000 000000 000000 000000 000000 000000* -L0031920 000000 000000 000000 000000 000000 000000 000000 000000* -L0031968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032096 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* -L0032160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032224 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0032288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032352 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0032416 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0032480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032544 000000 000000 000000 000000 000000 000000 000000 000000* -L0032592 000000 000000 000000 000000 000000 000000 000000 000000* -L0032640 000000 000000 000000 000000 000000 000000 000000 000000* -L0032688 000000 000000 000000 000000 000000 000000 000000 000000* -L0032736 000000 000000 000000 000000 000000 000000 000000 000000* -L0032784 000000 000000 000000 000000 000000 000000 000000 000000* -L0032832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032896 00000000 00011100 00000000 00000000 00000000 00000000 00000000 00000000* -L0032960 00000000 00001100 00000000 00000000 00000000 00000000 00000000 00000000* -L0033024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033408 000000 000000 000000 000000 000000 000000 000000 000000* -L0033456 000000 000000 000000 000000 000000 000000 000000 000000* -L0033504 000000 000010 000000 000000 000000 000000 000000 000000* -L0033552 000000 000010 000000 000000 000000 000000 000000 000000* -L0033600 000000 000000 000000 000000 000000 000000 000000 000000* -L0033648 000000 000000 000000 000000 000000 000000 000000 000000* -L0033696 00000000 00000000 00000000 00000000 00000001 00000001 00000011 00000000* -L0033760 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000000* -L0033824 00000000 00000000 00000010 00000000 00000000 00000000 00000010 00000000* -L0033888 00000000 00000001 00000000 00000000 00000000 00000001 00000011 00000000* -L0033952 00000000 00000000 00000000 10000000 00000000 00000000 00000011 00000000* -L0034016 00000000 00000011 00010001 00000000 00000001 00000000 00000000 00000000* -L0034080 00000000 00000011 00000000 00000000 00000000 00000000 00000001 00000000* -L0034144 00000000 00000010 00000001 00000100 00000000 00000001 00000010 00000000* -L0034208 00000000 00000001 00000000 10000100 00000001 00000000 00000000 00000000* -L0034272 000000 000000 000000 100001 000000 000000 000000 000000* -L0034320 000000 000000 000000 000010 000000 000000 000000 000000* -L0034368 000000 000000 000000 000000 000000 000000 000000 000000* -L0034416 000000 000000 000000 000110 000000 000000 000000 000000* -L0034464 000000 000000 000000 000110 000000 000000 000000 000000* -L0034512 000000 000000 000000 000110 000000 000000 000000 000000* -L0034560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034752 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000000* -L0034816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035136 000000 000000 000000 000000 000000 000000 000000 000000* -L0035184 000000 000000 000000 000000 000000 000000 000000 000000* -L0035232 000000 000000 000000 000000 000000 000000 000000 000000* -L0035280 000000 000000 000000 000000 000000 000000 000000 000000* -L0035328 000000 000000 000000 000000 000000 000000 000000 000000* -L0035376 000000 000000 000000 000000 000000 000000 000000 000000* -L0035424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035616 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0035680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035744 00000000 00000000 00000000 00100000 00000100 00000000 00000000 00000000* -L0035808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035872 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0035936 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0036000 000000 000000 000000 000000 000000 000000 000000 000000* -L0036048 000000 000000 000000 000000 000000 000000 000000 000000* -L0036096 000000 000000 000000 000000 000000 000000 000000 000000* -L0036144 000000 000000 000000 000000 000000 000000 000000 000000* -L0036192 000000 000000 000000 000000 000001 000000 000000 000000* -L0036240 000000 000000 000000 000000 000000 000000 000000 000000* -L0036288 00000000 01000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036352 00000000 00000000 00010001 00100000 00000001 00000000 00000000 00000000* -L0036416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036736 00000000 00000000 00001000 00010000 00000000 00000000 00000000 00000000* -L0036800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036864 000000 000000 000000 000000 000000 000000 000000 000000* -L0036912 000000 100000 000000 000000 000000 000000 000000 000000* -L0036960 000000 000000 000000 000000 000000 000000 000000 000000* -L0037008 000000 000000 000000 000000 000000 000000 000000 000000* -L0037056 000000 000000 000001 000000 000000 000000 000000 000000* -L0037104 000000 000000 000000 000000 000000 000000 000000 000000* -L0037152 00010000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0037216 01011000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0037280 01011000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0037344 01111000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0037408 11111000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0037472 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037536 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037600 01010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037664 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037728 000100 000000 000000 000000 000000 000000 000000 000000* -L0037776 101101 000000 000000 000000 000000 000000 000000 000000* -L0037824 101101 000000 000000 000000 000000 000000 000000 000000* -L0037872 101101 000000 000000 000000 000000 000000 000000 000000* -L0037920 110101 000000 000000 000000 000000 000000 000000 000000* -L0037968 110101 000000 000000 000000 000000 000000 000000 000000* -L0038016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038080 00000011 00000001 00000001 10000010 00000010 00000010 00000010 00000010* -L0038144 00000000 00000001 00000000 00000001 00000001 00000001 00000001 00000001* -L0038208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038272 00000000 00000001 00000010 00000000 00000000 00000000 00000000 00000000* -L0038336 00000010 00000000 00000001 00000000 00000000 00000011 00000011 00000011* -L0038400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038464 00000000 00000001 00000000 00001011 00000010 00000000 00000000 00000000* -L0038528 00000000 00000000 00000000 00000000 00000000 00000010 00000010 00000010* -L0038592 000000 000000 000000 000000 000000 000000 000000 000000* -L0038640 000000 000000 000000 000000 000000 000000 000000 000000* -L0038688 000000 000001 000000 000000 000010 000000 000000 000000* -L0038736 000000 000001 000000 000000 000010 000000 000000 000000* -L0038784 000000 000001 000000 000000 000010 000000 000000 000000* -L0038832 000000 000000 000000 000000 000000 000000 000000 000000* -L0038880 00000011 00000011 00000011 10000011 00000010 00000011 00000011 00000000* -L0038944 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000010* -L0039008 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000000* -L0039072 00000011 00000011 00000011 00000001 00000011 00000011 00000011 00000000* -L0039136 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000000* -L0039200 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* -L0039264 00000011 00000011 00000011 00001011 00000011 00000011 00000011 00000000* -L0039328 00000001 00000011 00000011 00000011 00000011 00000011 00000011 00000001* -L0039392 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* -L0039456 000000 000000 000000 100000 000000 000000 000000 000000* -L0039504 000000 000000 000000 000000 000000 000000 000000 000000* -L0039552 000000 000000 000000 000000 000000 000000 000000 000000* -L0039600 000000 000000 000000 000000 000000 000000 000000 000000* -L0039648 000000 000000 000000 000010 000000 000000 000000 000000* -L0039696 000000 000000 000000 000000 000000 000000 000000 000000* -L0039744 00000011 00001101 00000011 00100011 00000010 00000001 00000011 00000000* -L0039808 00000011 00000001 00000011 00000011 00000011 00000001 00000011 00000000* -L0039872 00000011 00000001 00000011 00000011 00000001 00000000 00000001 00000000* -L0039936 00000011 00000001 00000011 00000001 00000001 00000001 00000011 00000000* -L0040000 00000011 00000001 00000011 00000011 00000001 00000001 00000011 00000000* -L0040064 00000011 00000001 00000001 00000011 00000001 00000000 00000011 00000000* -L0040128 00000011 00000001 00000001 00010011 00000001 00000001 00000011 00000000* -L0040192 00000001 00000000 00000011 00000011 00000011 00000001 00000011 00000000* -L0040256 00000011 00000011 00000011 00000011 00000001 00000001 00000011 00000000* -L0040320 000000 000000 000000 000000 000000 000000 000000 000000* -L0040368 000000 001011 000000 000000 000010 000000 000000 000000* -L0040416 000000 000000 000000 000000 000000 000000 000000 000000* -L0040464 000000 000000 000000 000000 000000 000000 000000 000000* -L0040512 000000 000000 000000 000000 000000 000000 000000 000000* -L0040560 000000 000000 000000 000000 000000 000000 000000 000000* -L0040608 00000011 00000000 00000011 00000011 00000010 00000001 00000001 00000000* -L0040672 00000011 00000001 00000011 00000011 00000010 00000001 00000001 00000000* -L0040736 00000011 00001101 00000011 00000011 00000000 00000000 00000001 00000000* -L0040800 00000011 00000001 00000011 00000001 00000000 00000001 00000011 00000000* -L0040864 00000011 00000000 00000011 00000011 00000001 00000000 00000011 00000000* -L0040928 00000011 00000001 00000001 00000011 00000001 00000000 00000011 00000000* -L0040992 00000011 00000001 00000001 00000011 00000001 00000000 00000011 00000000* -L0041056 00000001 00000000 00000011 00000011 00000001 00000001 00000011 00000000* -L0041120 00000011 00000001 00000011 00000011 00000001 00000001 00000011 00000000* -L0041184 000000 000000 000000 000000 000000 000000 000000 000000* -L0041232 000000 000000 000000 000000 000000 000000 000100 000000* -L0041280 000000 000000 000000 000000 000000 000000 000000 000000* -L0041328 000000 000010 000000 000000 000000 000000 000000 000000* -L0041376 000000 000001 000000 000000 000010 000000 000000 000000* -L0041424 000000 000000 000000 000000 000000 000000 000000 000000* -L0041472 00000111 00000000 10100011 00001011 00000010 00000000 00000001 00000000* -L0041536 00001011 00000000 01000011 00000011 00000010 00000000 00000001 00000000* -L0041600 00001111 00000000 10000011 10001011 00000000 00000000 00000001 00000000* -L0041664 00001011 00000000 01000011 00000101 00000000 00000000 00000011 00000000* -L0041728 00000101 00000000 00000001 00001111 00000001 00000001 00000011 00000000* -L0041792 00010011 00000000 00000001 00000111 00000001 00000000 00000010 00000000* -L0041856 00000011 00000000 11000001 10000011 00000001 00000011 00000001 00000000* -L0041920 00000001 00000000 11000011 00000011 00000001 00000011 00000011 00000000* -L0041984 00000001 00000001 00000011 00001011 00000001 00000001 00000011 00000000* -L0042048 000000 000000 000000 000000 000000 000000 000000 000000* -L0042096 000010 000000 000000 000001 000000 011110 000000 000000* -L0042144 000010 000000 000000 000000 000000 000000 000000 000000* -L0042192 000010 000000 100000 000001 000010 000000 000000 000000* -L0042240 000010 000000 100000 000000 000000 000000 000000 000000* -L0042288 000010 000000 000000 000001 000000 000000 000000 000000* -L0042336 00100011 00000000 00000101 00010111 00000010 00000000 00000001 00000000* -L0042400 00000011 00000000 00000010 00000011 00000010 00000000 00000001 00000000* -L0042464 00000011 00000000 00000011 00000001 00000000 00000000 00000001 00000000* -L0042528 00000011 00000000 00000011 00000001 00000000 00000000 00000011 00000000* -L0042592 00000001 00000000 00001001 00000001 00000000 00000000 00000011 00000000* -L0042656 10000011 01000000 00000000 00000011 00000000 00000000 00000010 00000000* -L0042720 00010011 00000000 00000001 00000011 00000001 00000000 00000001 00000000* -L0042784 00000000 00000000 00000011 00000001 00000001 00000000 00000011 00000000* -L0042848 00000001 00000000 00000011 10000011 00000001 00000001 00000011 00000000* -L0042912 000000 000000 000000 100000 000000 000000 000000 000000* -L0042960 010000 000000 000001 000000 000010 000000 000000 000000* -L0043008 000000 000000 000000 000000 000000 000000 000000 000000* -L0043056 000000 000000 000000 000000 000000 000000 000000 000000* -L0043104 000000 000000 000000 110000 000000 000000 000000 000000* -L0043152 000000 000000 001000 000010 000000 000000 000000 000000* -L0043200 00000010 00000010 00000000 00000010 00000000 00000000 00000010 00000000* -L0043264 00000001 00000010 00000000 00000001 00000011 00000011 00000000 00000000* -L0043328 00000000 00000000 00000010 00000010 00000010 00000011 00000010 00000000* -L0043392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043456 00000001 00000010 00000010 00000010 00000001 00000011 00000010 00000000* -L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043776 000000 000000 000000 000000 000000 000000 000000 000000* -L0043824 000000 000000 000000 000000 000000 000000 000000 000000* -L0043872 000000 000000 000000 000000 000000 000000 000000 000000* -L0043920 000000 000000 000000 000000 000000 000000 000000 000000* -L0043968 000000 000000 000000 000000 000000 000000 000000 000000* -L0044016 000000 000000 000000 000000 000000 000000 000000 000000* -L0044064 00000000 00000000 00000000 00000001 00000000 00000000 00000010 00000000* -L0044128 00000000 00000001 00000001 00000000 00000000 00000000 00000000 00000000* -L0044192 00000000 00000001 00000011 00000000 00000000 00000000 00000010 00000000* -L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044320 00000010 00000001 00000011 00000000 00000000 00000000 00000000 00000000* -L0044384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044640 000000 000000 000000 000000 000000 000000 000000 000000* -L0044688 000000 000000 000000 000000 000000 000000 000000 000000* -L0044736 000000 000000 000000 000000 000000 000000 000000 000000* -L0044784 000000 000000 000000 000000 000000 000000 000000 000000* -L0044832 000000 000000 000000 000000 000000 000000 000000 000000* -L0044880 000000 000000 000000 000000 000000 000000 000000 000000* -L0044928 00000011 00000010 00000010 00000011 00000000 00000000 00000011 00000000* -L0044992 00000001 00000000 00000000 00000001 00000001 00000000 00000001 00000000* -L0045056 00000010 00000000 00000011 00000000 00000010 00000000 00000010 00000000* -L0045120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045184 00000011 00000010 00000010 00000000 00000011 00000000 00000010 00000000* -L0045248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045504 000000 000000 000000 000000 000000 000000 000000 000000* -L0045552 000000 000000 000000 000000 000000 000000 000000 000000* -L0045600 000000 000000 000000 000000 000000 000000 000000 000000* -L0045648 000000 000000 000000 000000 000000 000000 000000 000000* -L0045696 000000 000000 000000 000000 000000 000000 000000 000000* -L0045744 000000 000000 000000 000000 000000 000000 000000 000000* -L0045792 00000001 00000010 00000001 00000011 00000001 00000000 00000010 00000000* -L0045856 00000000 00000001 00000000 00000010 00000001 00000000 00000001 00000000* -L0045920 00000000 00000010 00000000 00000000 00000011 00000000 00000010 00000000* -L0045984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046048 00000010 00000001 00000000 00000010 00000010 00000000 00000001 00000000* -L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046368 000000 000000 000000 000000 000000 000000 000000 000000* -L0046416 000000 000000 000000 000000 000000 000000 000000 000000* -L0046464 000000 000000 000000 000000 000000 000000 000000 000000* -L0046512 000000 000000 000000 000000 000000 000000 000000 000000* -L0046560 000000 000000 000000 000000 000000 000000 000000 000000* -L0046608 000000 000000 000000 000000 000000 000000 000000 000000* -L0046656 00000000 00000001 00000000 00000010 00000001 00000010 00000000 00000000* -L0046720 00001010 00000010 00000010 00000000 00000000 00001000 00000010 00000000* -L0046784 00001000 00000000 00000000 00000010 00000010 00001010 00000000 00000000* -L0046848 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046912 01001000 00000011 00000000 00000000 00000011 00000000 00000000 00000000* -L0046976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047040 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0047104 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047168 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047232 000000 000000 000000 000000 000000 000000 000000 000000* -L0047280 000000 000000 000000 000000 000000 000000 000000 000000* -L0047328 010000 000000 000000 000000 000000 000001 000000 000000* -L0047376 010000 000000 000000 000000 000010 000000 000000 000000* -L0047424 000000 000000 000000 000000 000010 000000 000000 000000* -L0047472 000000 000000 000000 000000 000000 000000 000000 000000* -L0047520 00000001 00000000 00000000 00000000 00000000 00000010 00000001 00000000* -L0047584 00000000 00000000 00000000 00000000 00000011 00010000 00000000 00000000* -L0047648 00000011 00000010 00000010 00000000 00000000 00010010 00000011 00000000* -L0047712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047776 00000000 00000010 00000000 00000000 00000011 00000010 00000000 00000000* -L0047840 00001000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0047904 10000000 00000000 00000000 00100000 00000000 00000100 00000000 00000000* -L0047968 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0048032 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0048096 000000 000000 000000 000000 000000 000000 000000 000000* -L0048144 000010 000000 000000 000000 000010 000000 000000 000000* -L0048192 000000 000000 000000 000000 000010 000000 000000 000000* -L0048240 000000 000000 000000 000000 000000 000000 000000 000000* -L0048288 000000 000000 000000 000000 000000 000001 000000 000000* -L0048336 000000 000000 000000 000000 000000 000000 000000 000000* -L0048384 00000001 00010000 00000001 00000011 00000001 00000000 00000011 00000010* -L0048448 00000000 00000000 00000000 00000000 00000010 00000011 00000010 00000010* -L0048512 00000000 00000011 00000000 00000001 00000001 00000011 00000000 00000010* -L0048576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048640 00000011 00000011 00000001 00000011 00000011 00000010 00000011 00000000* -L0048704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048960 000000 000000 000000 000000 000000 000000 000000 000000* -L0049008 000000 000000 000000 000000 000000 000000 000000 000000* -L0049056 000000 000000 000000 000000 000000 000000 000000 000000* -L0049104 000000 000000 000000 000000 000000 000000 000000 000000* -L0049152 000000 000000 000000 000000 000000 000000 000000 000000* -L0049200 000000 000000 000000 000000 000000 000000 000000 000000* -L0049248 00000010 00000000 00000011 00000011 00000010 00000001 00000010 00000010* -L0049312 00000000 00000000 00000001 00000010 00000000 00000011 00000010 00000000* -L0049376 00000000 00000010 00000000 00000001 00000000 00000010 00000001 00000000* -L0049440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049504 00000000 00000010 00000001 00000010 00000000 00000011 00000001 00000010* -L0049568 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0049632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049824 000000 000000 000000 000000 000000 000000 000000 000000* -L0049872 000000 000000 000000 000000 000000 000000 000000 000000* -L0049920 000000 000000 000000 100000 000000 000000 000000 000000* -L0049968 000000 000000 000000 000000 000000 000000 000000 000000* -L0050016 000000 000000 000000 000000 000000 000000 000000 000000* -L0050064 000000 000000 000000 000000 000000 000000 000000 000000* -L0050112 00001000 00000010 00010010 00000010 10001000 00000010 01000000 00000000* -L0050176 10000000 00000000 00010001 00000000 10001010 00000000 01000010 00000000* -L0050240 00000110 00000010 00010001 00010001 10000001 00000000 00000001 00000000* -L0050304 10000100 00000000 00011100 00000000 10000000 00000000 00000000 00000000* -L0050368 00000110 00000000 00010111 00000010 10000010 00000010 00000000 00000000* -L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050496 00000000 00000000 01101000 00000000 00000000 00000000 00000000 00000000* -L0050560 00000000 00000000 01101100 00000000 10000000 00000000 00000000 00000000* -L0050624 00000000 00000000 00101000 00000000 10000000 00000000 00000000 00000000* -L0050688 000000 000000 001011 000000 100000 000000 000000 000000* -L0050736 000000 000000 000100 000000 000000 000000 000000 000000* -L0050784 000000 000000 000101 000000 000000 000000 000000 000000* -L0050832 000000 000000 000111 010000 000000 000000 000000 000000* -L0050880 000000 000000 001111 000000 000001 000000 000000 000000* -L0050928 000000 000000 000101 000000 000001 000000 000000 000000* -L0050976 00000000 00000010 00000001 00000001 00000010 00000000 00000000 00000000* -L0051040 00000000 00000001 00000010 00000000 00000001 00000000 00000000 00000000* -L0051104 00000000 00000010 00000011 00000111 00000010 00000000 00000010 00000000* -L0051168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051232 00000010 00000011 00000011 00000111 00000011 00000000 00000010 00000000* -L0051296 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051552 000000 000000 000000 000000 000000 000000 000000 000000* -L0051600 000000 000000 000000 000000 010000 000000 000010 000000* -L0051648 000000 000000 000000 000000 000000 000000 000000 000000* -L0051696 000000 000000 100000 000000 000000 000000 000000 000000* -L0051744 000000 000000 100000 000000 000000 000000 000000 000000* -L0051792 000000 000000 100000 000000 000000 000000 000000 000000* -L0051840 00000010 00000010 00000001 00000000 00000010 00000001 00000011 00000000* -L0051904 00010000 00011100 00000010 00000000 00000000 00000001 00000011 00000000* -L0051968 01000001 00001101 00000010 00000010 00000000 00000000 00000000 00000000* -L0052032 00000000 00000000 00010000 00100000 00000000 00000000 00000000 00000000* -L0052096 00010011 00000011 00000011 00000000 00000010 00000001 00000011 00000000* -L0052160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052224 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0052288 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0052352 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0052416 000100 000000 000000 000000 000000 000000 000000 000000* -L0052464 000000 000000 000000 000000 100000 000000 000000 000000* -L0052512 001001 000010 000000 000000 000000 000000 000000 000000* -L0052560 001100 000010 000010 000000 000000 000000 000000 000000* -L0052608 000101 000000 000000 000000 000000 000000 000000 000000* -L0052656 000101 000000 000000 000000 000000 000000 000000 000000* -L0052704 00010000 00100000 10000001 00000010 00000000 00000010 10000010 00000000* -L0052768 00001000 00000000 11000000 00000000 00000100 00000000 00000000 00000000* -L0052832 00011001 00000001 01000000 00000000 10000101 00000000 00000000 00000000* -L0052896 01111000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0052960 11001010 00000001 00000001 00000010 10000100 00000010 00000000 00000000* -L0053024 01000000 00100000 00000000 00000000 00001100 00000000 00001000 00000000* -L0053088 01000000 00000000 00000000 00000000 00001100 00000000 00000000 00000000* -L0053152 00010100 00000000 00000000 00000000 10001100 00000000 00000000 00000000* -L0053216 00010100 00000000 00000000 00000000 10001100 00000000 00000000 00000000* -L0053280 000000 000000 000000 000000 100001 000000 000000 000000* -L0053328 001101 010000 000000 000100 000001 000000 100000 000000* -L0053376 100100 000000 000000 000000 000001 000000 000000 000000* -L0053424 000001 000000 000000 000000 000001 000000 000010 000000* -L0053472 110000 000000 000000 000000 000001 000000 000000 000000* -L0053520 100000 000000 000000 000000 000001 000000 000000 000000* -L0053568 00000000 00000000 00000001 00000010 00000000 00000000 00000010 00000000* -L0053632 00000000 00000001 00000010 00000010 00000001 00000000 00000011 00000010* -L0053696 00000000 00000010 00000010 00000000 00000000 00000000 00000001 00000000* -L0053760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053824 00000000 00000011 00000011 00000010 00000001 00000000 00000010 00000010* -L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054144 000000 000000 000000 000000 000000 000000 000000 000000* -L0054192 000000 000000 000000 000000 000000 000000 000000 000000* -L0054240 000000 000001 000000 000000 000010 000000 000000 000000* -L0054288 000000 000001 000000 000000 000010 000000 000000 000000* -L0054336 000000 000001 000000 000000 000010 000000 000000 000000* -L0054384 000000 000000 000000 000000 000000 000000 000000 000000* -L0054432 00000010 00000000 00000010 00000010 00000010 00000000 00000010 00000000* -L0054496 00000010 00000010 00000000 00000010 00000000 00000000 00000010 00000000* -L0054560 00000000 00000001 00000010 00000000 00000000 00000000 00000000 00000000* -L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054688 00000000 00000011 00000010 00000010 00000010 00000000 00000010 00000000* -L0054752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055008 000000 000000 000000 000000 000000 000000 000000 000000* -L0055056 000000 000000 000000 000000 000000 000000 000000 000000* -L0055104 000000 000000 000000 000000 000000 000000 000000 000000* -L0055152 000000 000000 000000 000000 000000 000000 000000 000000* -L0055200 000000 000000 000000 000000 000000 000000 000000 000000* -L0055248 000000 000000 000000 000000 000000 000000 000000 000000* -L0055296 00000001 00000000 00000011 00000001 00000010 00000001 00000000 00000001* -L0055360 00000001 00000010 00000000 00000000 10001000 00000000 00000000 00000001* -L0055424 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000000* -L0055488 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0055552 00000010 00000010 00000011 00000011 00000000 00000001 00000000 00000000* -L0055616 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0055680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055744 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0055808 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0055872 000000 000000 000000 000000 100000 000000 000000 000000* -L0055920 000000 000000 000000 000000 000000 000000 000000 000000* -L0055968 000000 000000 000000 000000 000000 000000 000000 000000* -L0056016 000000 000000 000000 000000 000000 000000 000000 000000* -L0056064 000000 000000 000000 000000 000000 000000 000000 000000* -L0056112 000000 000000 000000 000000 000000 000000 000000 000000* -L0056160 00000001 00000000 00000000 00000010 00000000 00000001 00000010 00000000* -L0056224 00001000 00000010 00000010 00000000 00000010 00000001 00000010 00000000* -L0056288 00011010 00000010 00000000 00000010 00000000 00000001 00000001 00000000* -L0056352 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056416 01011010 00000010 00000000 00000010 00000010 00000000 00000011 00000000* -L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056608 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056672 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056736 000100 000000 000000 000000 000000 000000 000000 000000* -L0056784 000000 000000 000000 000000 100000 000000 001000 000000* -L0056832 000000 000000 000000 000000 000000 000000 000000 000000* -L0056880 001001 000000 000000 000000 000000 000000 000000 000000* -L0056928 000001 000000 000000 000000 000000 000000 000000 000000* -L0056976 100101 000000 000000 000000 000000 000000 000000 000000* -L0057024 00100001 00000000 00000111 00000001 00000011 00000100 00110011 00000000* -L0057088 00000000 00000001 00000001 00000001 00000010 00000011 00000011 00000001* -L0057152 00000000 00000000 00000000 00000000 00000010 00000011 00000000 00000000* -L0057216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057280 00000010 00000001 00001010 00001000 00000010 00000011 01000011 00000001* -L0057344 10000000 00000000 00000000 00000100 00000000 00100000 00010000 00000000* -L0057408 00010000 00000000 00000000 11000100 00000000 00000000 00000000 00000000* -L0057472 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0057536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057600 000000 000000 000000 000001 000000 000000 000010 000000* -L0057648 000000 000000 000001 000000 000000 010000 000000 000000* -L0057696 000000 001000 000000 000010 000100 000000 000100 000000* -L0057744 000000 000000 000000 000000 000000 000000 000100 000000* -L0057792 000000 000000 000000 000000 000000 000000 000100 000000* -L0057840 000000 000000 001000 001100 000000 000000 000000 000000* -L0057888 00001001 00000001 00000000 00000010 00000000 00000010 00000001 00000000* -L0057952 00000100 00000011 10000010 00000000 00000000 00000010 01000101 00000000* -L0058016 00000011 00000000 01000010 00001000 00000000 00000010 00010100 00000000* -L0058080 00000100 00000000 10000000 00001000 00000000 00000000 00000100 00000000* -L0058144 00001010 00000011 01000010 00100010 00000000 00000010 00010101 00000000* -L0058208 00001000 00000000 10000000 10000000 00000000 00000000 00000000 00000000* -L0058272 00001000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0058336 00001100 00000000 00000000 00000000 00000000 00000000 00110000 00000000* -L0058400 00001100 00000000 10000000 00100100 00000000 00000000 00001100 00000000* -L0058464 000010 000000 100000 000000 000000 000000 001000 000000* -L0058512 000000 000000 000000 000001 000000 000000 000000 000000* -L0058560 000000 000000 000000 000001 000000 000000 000000 000000* -L0058608 000000 000000 000000 000000 000000 000000 001000 000000* -L0058656 000000 000000 000000 000100 000000 000000 000000 000000* -L0058704 000000 000000 100000 000001 000000 000000 011001 000000* -L0058752 00000000 00000000 00000000 00000000 00000001 00000001 00000000 00000000* -L0058816 00000000 00000000 00000001 00000000 00000000 00000000 00000001 00000000* -L0058880 00000000 00000000 00000000 00000000 00000001 00000001 00000001 00000000* -L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059008 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000000* -L0059072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059136 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0059200 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059328 000000 000000 000000 000000 000000 000000 000000 000000* -L0059376 000000 000000 000000 000000 000000 011000 000000 000000* -L0059424 000000 000000 000000 000000 000000 000000 000000 000000* -L0059472 000000 000000 000000 000000 000000 000000 000000 000000* -L0059520 000000 000000 000000 000000 000000 000000 000000 000000* -L0059568 000000 000000 000000 000000 000000 000000 000000 000000* -L0059616 00000000 00000011 00000010 00000011 00000000 00000000 10000000 00000000* -L0059680 00000001 00000011 00000000 00000010 00000001 00000001 00000000 00000000* -L0059744 00000000 00000000 00000010 00000000 00000000 00000001 00000000 00000000* -L0059808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059872 00000000 00000011 00000010 00000010 00000001 00000000 00000000 00000000* -L0059936 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0060000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060192 000000 000000 000000 000000 000000 000000 000000 000000* -L0060240 000000 000000 000000 000000 000000 000000 100000 000000* -L0060288 000000 000000 000000 000100 000000 000000 000000 000000* -L0060336 000000 000000 000000 000000 000000 000000 000010 000000* -L0060384 000000 000000 000000 000000 000000 000000 000000 000000* -L0060432 000000 000000 000000 000000 000000 000000 000000 000000* -L0060480 00000001 00000000 00000001 00100010 00000000 00000000 01000101 00000000* -L0060544 00000000 00000000 00000000 00100000 00000010 00000001 01110101 00000000* -L0060608 00000001 00000000 00000001 00110101 00000011 00000001 00110000 00000001* -L0060672 00000000 00000000 00000000 00100000 00000000 00000000 00010000 00000000* -L0060736 00000000 00000000 00000000 00100000 00000010 00000001 00010101 00000000* -L0060800 00000000 00000000 00000000 01010100 00000000 00000000 00000000 00000000* -L0060864 00000000 00000000 00000000 01010100 00000000 00000000 00101000 00000000* -L0060928 00000000 00000000 00000000 01110000 00000000 00000000 00101000 00000000* -L0060992 00000000 00000000 00000000 01110000 00000000 00000000 00111000 00000000* -L0061056 000000 000000 000000 000100 000000 000000 001001 000000* -L0061104 000000 000000 000010 000001 000100 000000 000000 000000* -L0061152 000000 000000 000010 000001 000100 000000 000000 000000* -L0061200 000000 000000 000000 010000 000000 000000 001000 000000* -L0061248 000000 000000 000000 001000 000000 000000 001000 000000* -L0061296 000000 000000 000000 001000 000000 000000 001100 000000* -L0061344 00000000 00000010 00000001 00000000 00000000 00000001 00000000 00000000* -L0061408 00000010 00000010 00000010 00010011 00000000 00000001 00000000 00000000* -L0061472 00000001 00000000 00000000 10000000 00000000 00000000 00000001 00000000* -L0061536 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* -L0061600 00000000 00000000 00000001 10000000 00000000 00000001 00000001 00000000* -L0061664 00000000 00000000 00001000 00000000 00000000 00000000 01000000 00000000* -L0061728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061856 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0061920 000000 000000 000000 000010 000000 000000 000000 000000* -L0061968 000000 000000 000000 001010 000000 000000 000000 000000* -L0062016 000000 000000 000000 000000 000000 000000 000000 000000* -L0062064 000000 000000 000000 000000 000000 000000 000000 000000* -L0062112 000000 000000 000000 000000 000000 000000 000001 000000* -L0062160 000000 000000 000000 000000 000000 000000 000001 000000* -L0062208 00000001 00000010 10000000 00000011 10001010 00000000 00000001 00000000* -L0062272 00000000 00000001 11000000 00010011 10001000 00000000 00000001 00000000* -L0062336 00000000 00000001 11000000 00010000 10000000 00000000 00000000 00000000* -L0062400 00000000 00000000 11000000 00010000 10000000 00000000 00000000 00000000* -L0062464 00000000 00000011 01000000 00010011 10000010 00000001 00000001 00000000* -L0062528 00000000 00000100 10000000 00010000 00000000 00000000 00000000 00000000* -L0062592 00000000 00001000 10000000 00010000 00000000 00000000 00000000 00000000* -L0062656 00000000 00010000 10000000 00110000 10000000 00000000 00000000 00000000* -L0062720 00000000 00000000 10000000 00110000 10000000 00000000 00000000 00000000* -L0062784 000000 000000 100000 000100 100000 000000 000000 000000* -L0062832 000000 000000 000000 001000 000000 000000 000000 000000* -L0062880 000000 000000 000000 001000 000000 000000 000000 000000* -L0062928 000000 000100 100000 001000 000000 000000 000000 000000* -L0062976 000000 000000 100000 001000 000001 000000 000000 000000* -L0063024 000000 000000 100000 001000 000001 000000 000000 000000* -L0063072 00000001 00000010 00000001 00000001 00000011 00000000 00000001 00000000* -L0063136 00000000 00000000 00000001 00000011 00000001 00000000 00000010 00000000* -L0063200 00000001 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0063264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063328 00000001 00000010 00000000 00000001 00000010 00000000 00000001 00000000* -L0063392 00000000 00000000 00000100 00000000 01000000 00000000 00000000 00000000* -L0063456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063648 000000 000000 000000 000000 000000 000000 000000 000000* -L0063696 000000 000000 000000 010000 000000 000000 000000 000000* -L0063744 000000 000000 000000 000000 000000 000000 000000 000000* -L0063792 000000 000000 001000 000000 000000 000000 000000 000000* -L0063840 000000 000000 000000 000000 000000 000000 000000 000000* -L0063888 000000 000000 000000 000000 000000 000000 000000 000000* -L0063936 00000010 00000010 00000010 00000010 00000010 00000010 00000101 00000000* -L0064000 00000000 00000001 00000000 00000000 00000001 00000000 00011101 00000001* -L0064064 00000010 00000000 00000010 00000010 00000011 00000010 01011100 00000000* -L0064128 00000000 00000000 00000000 00000000 00000000 00000000 01011100 00000000* -L0064192 00000000 00000011 00000000 00000000 00000001 00000000 01011101 00000001* -L0064256 00000100 00000000 00000000 00000000 00000000 01000000 01000100 00000000* -L0064320 00000000 00000000 00010000 00000000 00000000 00000000 01101100 00000000* -L0064384 00000000 00000000 00000000 00000000 00000000 00000000 01101100 00000000* -L0064448 00000000 00000000 00000000 00000000 00000000 00000000 01111100 00000000* -L0064512 000000 000000 000000 000000 000000 000000 011001 000000* -L0064560 000000 000000 000000 000000 000000 000000 000000 000000* -L0064608 010000 000010 000000 000000 000000 000000 000100 000000* -L0064656 000000 000010 000000 000000 000000 000000 011101 000000* -L0064704 000000 000000 000000 000000 000000 000000 001101 000000* -L0064752 000000 000000 000000 000000 000000 000000 001101 000000* -L0064800 00000001 00000000 00000000 00000000 00000001 00000001 00000000 00000000* -L0064864 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064928 00000000 00000001 00000001 00000000 00000001 00000001 00000001 00000000* -L0064992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065056 00000001 00000001 00000000 00000000 00000001 00000001 00000000 00000000* -L0065120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065376 000000 000000 000000 000000 000000 000000 000010 000000* -L0065424 000000 000010 000000 000000 000000 000000 000000 000000* -L0065472 000000 000000 000000 000000 000000 000000 000001 000000* -L0065520 000000 000000 000000 000000 000000 000000 000000 000000* -L0065568 000000 000000 000000 000000 000000 000000 010000 000000* -L0065616 000000 000000 000000 000000 000000 000000 010000 000000* -L0065664 00010000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065728 10010000 00000000 00000000 00000000 00000000 00000001 00000000 00000001* -L0065792 00000100 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0065856 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065920 00010000 00000000 00000000 00000000 00000000 00000001 00000000 00000001* -L0065984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066048 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066112 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066176 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066240 000100 000000 000000 000000 000000 000000 000000 000000* -L0066288 000000 000000 000000 000000 000000 000000 000000 000000* -L0066336 100101 000000 001000 000000 000000 000000 000000 000000* -L0066384 100000 000000 000000 000000 000000 000000 000000 000000* -L0066432 110100 000000 000000 000000 000000 000000 000000 000000* -L0066480 110001 000000 000000 000000 000000 000000 000000 000000* -L0066528 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066592 01001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0066656 11111000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066720 11101000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066784 11101100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066848 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066912 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066976 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067040 00000100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0067104 000000 000000 000000 000000 000000 000000 000000 000000* -L0067152 101101 000000 001000 000000 000100 000000 000000 000000* -L0067200 001000 000000 000000 000000 000000 000000 000000 000000* -L0067248 011101 000000 000000 000000 000000 000000 000000 000000* -L0067296 000001 000000 000000 000000 000000 000000 000000 000000* -L0067344 000100 000000 000000 000000 000000 000000 000000 000000* -L0067392 00000010 00000010 00000010 00000010 10001010 00000010 00000010 00000010* -L0067456 00000010 00000000 00000010 00000010 10001010 00000000 00000010 00000000* -L0067520 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0067584 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0067648 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0067712 00000000 00000100 10000000 00000000 00000000 00000000 00000000 00000000* -L0067776 00000010 00001010 10000010 00000010 00000010 00000010 00000010 00000010* -L0067840 00000000 00010000 00000000 00000000 10000000 00000000 00000000 00000000* -L0067904 00000000 00000000 10000000 00000000 10000000 00000000 00000000 00000000* -L0067968 000000 000000 000000 000000 100000 000000 000000 000000* -L0068016 000000 000000 000000 000000 000000 000000 000000 000000* -L0068064 000000 000000 100000 000000 000000 000000 000000 000000* -L0068112 000000 000100 100000 000000 000000 000000 000000 000000* -L0068160 000000 000000 000000 000000 000001 000000 000000 000000* -L0068208 000000 000000 000000 000000 000001 000000 000000 000000* -L0068256 00000000 00000000 10000000 00000000 01000000 00000000 00000000 00000000* -L0068320 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0068384 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0068448 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0068512 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0068576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068704 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0068768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068832 000000 000000 100000 000000 000000 000000 000000 000000* -L0068880 000000 000000 100000 000000 000000 000000 000000 000000* -L0068928 000000 000000 000000 000000 000000 000000 010000 000000* -L0068976 000000 000000 000000 000000 000000 000000 000000 000000* -L0069024 000000 000000 100000 000000 000000 000000 000000 000000* -L0069072 000000 000000 100000 000000 000000 000000 000000 000000* -L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069696 000000 000000 000000 000000 000000 000000 000000 000000* -L0069744 000000 000000 000000 000000 000000 000000 000000 000000* -L0069792 000000 000000 000000 000000 000000 000000 000000 000000* -L0069840 000000 000001 000000 000000 000000 000000 000000 000000* -L0069888 000000 000001 000000 000000 000000 000000 000000 000000* -L0069936 000000 000000 000000 000000 000000 000000 000000 000000* -L0069984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070560 000000 000000 000000 000000 000000 000000 000000 000000* -L0070608 000000 000001 000000 000000 000000 000000 000000 000000* -L0070656 000000 000001 000000 000000 000000 000000 000000 000000* -L0070704 000000 000000 000000 000000 000000 000000 000000 000000* -L0070752 000000 000000 000000 000000 000000 000000 000000 000000* -L0070800 000000 000000 000000 000000 000000 000000 000000 000000* -L0070848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070912 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0070976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071232 00000000 00000000 00010100 00000000 00000000 00001000 00000000 00000000* -L0071296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071424 000000 000000 000000 000000 000000 000000 000000 000000* -L0071472 000000 000000 110000 000000 000000 000000 000000 000000* -L0071520 000000 000000 100000 011000 000000 000000 000000 000000* -L0071568 000000 000000 000000 001000 000000 000000 000000 000000* -L0071616 000000 000000 000000 000000 000000 000000 000000 000000* -L0071664 000000 000000 000000 000000 000000 000000 000000 000000* -L0071712 00010000 00000000 10010000 00000000 00000000 00000100 00000000 00000000* -L0071776 01011000 00000000 11010000 00000000 00000000 00000000 00000000 00000000* -L0071840 01011000 00000000 11011000 00000000 00000000 00000000 00000000 00000000* -L0071904 01111000 00000000 11011000 00000000 00000000 00000000 00000000 00000000* -L0071968 11111000 00000000 01011000 00000000 00000000 00000000 00000000 00000000* -L0072032 01000000 00000000 10000000 00000000 00000000 00001000 00000000 10000000* -L0072096 01000000 00000000 10101000 00000000 00000000 00000000 00000000 00000000* -L0072160 01010100 00000000 10101000 00000000 00000000 00000000 00000000 00000000* -L0072224 00010100 00000000 10101000 00000000 00000000 00000000 00000000 00000000* -L0072288 000100 000000 101010 000000 000000 000000 000000 000000* -L0072336 101101 000000 000100 000000 000000 000000 000000 000000* -L0072384 101101 000000 000100 000000 000000 000000 000000 000000* -L0072432 101101 000000 101100 000000 000000 000000 000000 000000* -L0072480 110101 000000 101100 000000 000000 000000 000000 000000* -L0072528 110101 000000 101100 000000 000000 000000 000000 000000* -L0072576 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0072640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072896 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0072960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073152 000000 000000 000000 000000 000000 000000 000000 000000* -L0073200 000000 000000 000000 000000 000000 001000 000000 000000* -L0073248 000000 000000 000000 000000 000000 000000 000000 000000* -L0073296 000000 000000 000000 000000 000000 000000 000000 000000* -L0073344 000000 000000 000000 000000 000000 000000 000000 000000* -L0073392 000000 000000 000000 000000 000000 000000 000000 000000* -L0073440 00010000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0073504 00011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073568 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073632 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073696 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073760 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0073824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073888 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073952 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074016 000100 000000 000000 000000 000000 000000 000000 000000* -L0074064 001101 000000 000000 000000 000000 000000 100000 000000* -L0074112 001101 000000 000000 000000 000000 000000 000000 000000* -L0074160 001101 000000 000000 000000 000000 000000 000010 000000* -L0074208 100101 000000 000000 000000 000000 000000 000000 000000* -L0074256 100101 000000 000000 000000 000000 000000 000000 000000* -L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0074368 00010000 00000000 00000000 00000000 00000000 00001000 00011000 00000000* -L0074432 01000000 00000000 00000000 00000000 00000000 00001000 01011000 00000000* -L0074496 00000000 00000000 00000000 00000000 00000000 00000000 01011000 00000000* -L0074560 00010000 00000000 00000000 00000000 00000000 00000000 01011000 00000000* -L0074624 00000000 00000100 00000000 00010000 00000000 00000000 01000000 00000000* -L0074688 00000000 00011000 00000000 00000000 00000000 00010000 01101000 00000000* -L0074752 00000000 00010000 00000000 01000000 00000000 00000000 01101000 00000000* -L0074816 00000000 00000000 00100000 00000000 00000000 00000000 01111000 00000000* -L0074880 000100 000000 000000 000000 000000 000000 011000 000000* -L0074928 000000 000000 000000 000000 000000 000001 000001 000010* -L0074976 001001 000100 000001 000000 000000 000000 000100 000000* -L0075024 001100 000100 000100 000000 000000 000000 011100 000000* -L0075072 000101 000000 000000 000000 000000 000000 001100 000000* -L0075120 000101 000000 000000 000000 000000 000000 001100 000000* -L0075168 00010000 00000000 10000000 00000000 00000000 00001000 00000000 00000000* -L0075232 00001000 00000000 11000000 00000000 00000000 00010000 00000000 00000000* -L0075296 00011000 00000000 11000000 00000000 00000000 00010000 00000000 00000000* -L0075360 01011000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0075424 01001000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0075488 00000000 00000000 00000000 00000000 10000000 00010000 00100000 00000000* -L0075552 00000000 00000000 00000000 00000000 00000000 00000100 00010000 00000000* -L0075616 00010100 00000000 00000000 00000000 00000000 00000100 00010000 00000000* -L0075680 00010100 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0075744 000000 000000 000000 000000 000000 000000 000000 000000* -L0075792 001101 000000 000000 000000 000000 000000 000000 000000* -L0075840 000100 000000 000000 000000 000000 000000 000000 000000* -L0075888 000001 000000 000000 000000 000000 000000 000001 000000* -L0075936 100000 000000 000000 000000 000000 000001 010000 000000* -L0075984 100000 000000 000000 000000 000000 000000 010000 000000* -L0076032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076096 00000000 00011100 00000000 00000000 00000000 00000000 00000000 00000000* -L0076160 00000000 00001100 00000000 00000000 00000000 00000000 00000000 00000000* -L0076224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076608 000000 000000 000000 000000 000000 000000 000000 000000* -L0076656 000000 000000 000000 000000 000000 000000 000000 000000* -L0076704 000000 000010 000000 000000 000000 000000 000000 000000* -L0076752 000000 000010 000000 000000 000000 000000 000000 000000* -L0076800 000000 000000 000000 000000 000000 000000 000000 000000* -L0076848 000000 000000 000000 000000 000000 000000 000000 000000* -L0076896 00010000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0076960 00011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077024 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077088 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077152 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077216 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0077280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077344 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077408 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077472 000000 000000 000000 000000 000000 000000 000000 000000* -L0077520 001101 000000 000000 000000 000000 000000 000000 000000* -L0077568 001101 000000 000000 000000 000000 000000 000000 000000* -L0077616 000100 000000 000000 000000 000000 000000 000010 000000* -L0077664 100100 000000 000000 000000 000000 000000 000000 000000* -L0077712 000000 000000 000000 000000 000000 000000 000000 000000* -L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078336 000000 000000 000000 000000 000000 000000 000000 000000* -L0078384 000000 000000 000000 000000 000000 011000 000000 000000* -L0078432 000000 000000 000000 000000 000000 000000 000000 000000* -L0078480 000000 000000 000000 000000 000000 000000 000000 000000* -L0078528 000000 000000 000000 000000 000000 000000 000000 000000* -L0078576 000000 000000 000000 000000 000000 000000 000000 000000* -L0078624 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0078688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079008 00000000 00000000 00000000 00000000 10000000 00000000 10000000 00000000* -L0079072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079200 000000 000000 000000 000000 000000 000000 000000 000000* -L0079248 000000 000000 000000 000000 000000 000000 000000 000000* -L0079296 000000 000000 000010 000000 000000 000000 000000 000000* -L0079344 000000 000000 000000 000000 000000 000000 000000 000000* -L0079392 000000 000000 000000 000000 000000 000000 000000 000000* -L0079440 000000 000000 000000 000000 000000 000000 000000 000000* -L0079488 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0079552 00000000 00011000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079616 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079680 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079936 00000000 00000000 00000000 00100000 00000100 00000000 00000000 00000000* -L0080000 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0080064 000000 000000 000000 000000 000001 000000 000000 000000* -L0080112 000000 000000 000000 000000 000000 100000 000000 000000* -L0080160 000000 000010 000000 000000 000011 000000 000000 000000* -L0080208 000000 000010 000000 000000 000000 000000 000000 000000* -L0080256 000000 000000 000000 000000 000000 000000 000000 000000* -L0080304 000000 000000 000000 000000 000000 000000 000000 000000* -L0080352 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080416 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080480 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080544 01111000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080608 11111000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080672 01000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0080736 01000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0080800 01010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080864 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080928 000100 000000 000000 000000 000000 000000 000000 000000* -L0080976 101101 000000 000000 000000 000001 000000 000000 000000* -L0081024 101101 000000 000000 000000 000000 000000 000000 000000* -L0081072 101101 000000 000000 000000 000011 000000 000000 000000* -L0081120 110101 000000 000000 000000 000010 000000 000000 000000* -L0081168 110101 000000 000000 000000 000000 000000 000000 000000* -L0081216 00010000 00000000 10000000 00001000 00000000 00000000 00000000 00000000* -L0081280 01010000 00000000 11000100 00001000 00000000 00001000 00000000 00000000* -L0081344 01010000 00000000 11000000 00000100 00000000 00001000 00001000 00000000* -L0081408 00110000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0081472 10110000 00000000 01000000 00000100 00000000 00000000 00000000 00000000* -L0081536 01000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0081600 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081664 01010000 00000000 10000000 00000100 00000000 00000000 01000000 00000000* -L0081728 00010000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0081792 000100 000000 100000 000000 000000 000000 000000 000000* -L0081840 101101 000000 000000 000000 000100 000000 000000 000000* -L0081888 101101 000000 000000 000000 000100 000000 000100 000000* -L0081936 101101 000000 000000 000101 000000 000000 000000 000000* -L0081984 110101 000000 100000 001001 000000 000000 000000 000000* -L0082032 110101 000000 100000 000000 000000 000001 000000 000000* -L0082080 00000000 00000000 00000000 00100000 00000000 00010000 00000000 00000000* -L0082144 00000000 00000000 00000000 00100000 00000000 00000000 10000000 00000000* -L0082208 00000000 00000000 00000000 00100000 00000000 00000000 00010000 00000000* -L0082272 00000000 00000000 00000000 00100000 00000000 00000000 00010000 00000000* -L0082336 00000000 00000000 00000000 00100000 00000000 00000000 00010000 00000000* -L0082400 00010000 00000000 10000000 00010100 00000000 00000000 00001000 00000000* -L0082464 00000000 00000000 10000000 00010100 00000000 00000100 00010000 00000000* -L0082528 00000000 00000000 00000000 01110000 00000000 00000100 00010000 00000000* -L0082592 00000000 00000000 10000100 01110000 00000000 00000000 00010000 00000000* -L0082656 000000 000000 001000 000100 000000 000000 000010 000000* -L0082704 000000 000000 000000 000000 000000 000000 000000 010000* -L0082752 000000 000000 000000 000000 000000 000000 000000 000000* -L0082800 000000 000000 100000 000000 000000 000000 000010 000000* -L0082848 000000 000000 000000 000000 000000 000000 010000 000000* -L0082896 000000 000000 000100 000000 000000 000000 010000 000000* -L0082944 00011000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* -L0083008 10010000 00000000 11010000 00000000 00000000 00000000 00000000 00000000* -L0083072 01010100 00000000 11010100 00100000 00000000 00000000 00000000 00000000* -L0083136 10010100 00000000 11010000 00000000 00000000 00000000 00000000 00000000* -L0083200 00010100 00000000 01010000 00000000 00000000 00000000 00000000 00000000* -L0083264 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* -L0083328 00000100 00000000 10100000 00000000 00000000 00000000 00000000 00000000* -L0083392 00010000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* -L0083456 00010000 00000000 10100000 00010000 00000000 00000000 00000000 00000000* -L0083520 000100 000000 101000 000000 000000 000000 000000 000000* -L0083568 001101 000000 110000 000000 000000 000000 000000 000000* -L0083616 001101 000000 100000 000000 000000 000000 000000 000000* -L0083664 011101 000000 101000 000000 000000 000000 000000 000000* -L0083712 100101 000000 101000 000000 000000 000000 000000 000000* -L0083760 100101 000000 101000 000000 000000 000000 000000 000000* -L0083808 10000000 00000000 00001000 00000000 00000000 00000000 10000000 00000000* -L0083872 00101000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0083936 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084000 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084064 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084128 00000000 00000000 00000000 00000000 00000000 01000000 00001000 00000000* -L0084192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084256 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084320 00000100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0084384 000000 000000 000000 000000 000000 000000 000000 000000* -L0084432 000000 000000 001000 000000 000000 000000 100000 000000* -L0084480 000000 000000 001000 000000 000000 000000 000000 000000* -L0084528 000000 000000 000000 000000 000000 000000 000010 000000* -L0084576 000000 000000 000000 000000 000000 000000 000000 000000* -L0084624 000000 000000 000000 000000 000000 000000 000000 000000* -L0084672 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0084736 00010000 00000000 00000000 00000000 00000000 00000000 00010100 00000000* -L0084800 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084928 00010000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0084992 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0085056 00000000 00000000 00000000 00000100 00000000 00000000 00100000 00000000* -L0085120 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0085184 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0085248 000100 000000 000000 000000 000000 000000 001001 000000* -L0085296 000000 000100 000000 000001 000000 000000 000000 000000* -L0085344 001001 000000 000000 000001 000000 000000 000000 000000* -L0085392 001100 000000 000000 000000 000000 000000 001000 000000* -L0085440 000101 000000 000000 000000 000000 000000 001000 000000* -L0085488 000101 000000 000000 000000 000000 000000 001000 000000* -L0085536 00010000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0085600 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085664 00011000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0085728 01011000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0085792 01001000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0085856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085920 00000000 00000000 00000000 00000000 00000000 00000000 01010000 00000000* -L0085984 00010100 00000000 00000000 00000000 00000000 00000000 01010000 00000000* -L0086048 00010100 00000000 00000000 00000000 00000000 00000000 01010000 00000000* -L0086112 000000 000000 000000 000000 000000 000000 010000 000000* -L0086160 001101 000000 000000 000000 000000 000010 000000 000000* -L0086208 000100 000000 000000 000000 000000 000000 000000 000000* -L0086256 000001 000000 000000 000010 000000 000000 000000 000000* -L0086304 100000 000000 000000 000000 000000 000000 000000 000000* -L0086352 100000 000000 000000 000000 000000 000000 000000 000000* -L0086400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086464 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086528 00001000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0086592 01001000 00000000 00001000 00000000 00000000 00000000 00000100 00000000* -L0086656 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086720 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0086784 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0086848 00000100 00000000 00000100 00000000 00000000 00000000 00000100 00000000* -L0086912 00000100 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0086976 000000 000000 000000 000000 000000 000000 000000 000000* -L0087024 000000 000000 000000 000000 000100 000000 000000 000000* -L0087072 010000 000000 000000 000000 000100 000000 000000 000000* -L0087120 010000 000000 000000 000000 000000 000000 000000 000000* -L0087168 000000 000000 001000 000000 000000 000000 000001 000000* -L0087216 000000 000000 000000 000000 000000 000000 000001 000000* -L0087264 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0087328 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0087392 00000000 00000000 11000000 00000000 00000000 00000000 00001000 00000000* -L0087456 00000000 00000000 11000000 00000000 00000000 00000000 00001000 00000000* -L0087520 00000000 00000000 01000000 10000000 00000000 00000000 00001000 00000000* -L0087584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087648 00001000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0087712 10000000 00000000 10000000 00000100 00000000 00000000 00000000 00000000* -L0087776 00000000 00000000 10000000 10000100 00000000 00000000 00000000 00000000* -L0087840 000000 000000 100000 100001 000000 000000 000000 000000* -L0087888 000000 000000 000000 000010 000000 000000 000000 000000* -L0087936 000010 000000 000000 000000 000000 000000 000000 000000* -L0087984 000000 000000 000000 000110 000000 000000 000000 000000* -L0088032 000000 000000 000000 000110 000000 000000 000000 000000* -L0088080 000000 000000 000000 000110 000000 000000 000000 000000* -L0088128 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* -L0088192 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* -L0088256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088448 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0088512 00000000 00011000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088576 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088704 000000 000000 000000 000000 000000 000000 000000 000000* -L0088752 000000 000000 000000 000000 000000 000000 000000 000010* -L0088800 000000 000100 000000 000000 000000 000000 000000 000000* -L0088848 000000 000100 000000 000000 000000 000000 000000 000000* -L0088896 000000 000000 000000 000000 000000 000000 000000 000000* -L0088944 000000 000000 000000 000000 000000 000000 000000 000000* -L0088992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089184 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089312 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0089376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089440 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0089504 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0089568 000000 000000 000000 000000 000000 000000 000000 000000* -L0089616 000000 000000 000000 000000 000000 000000 000000 000000* -L0089664 000000 000000 000000 000000 000000 000000 000000 000000* -L0089712 000000 000000 000000 000000 000000 000000 000000 000000* -L0089760 000000 000000 000000 000000 000001 000000 000000 000000* -L0089808 000000 000000 000000 000000 000000 000000 000000 000000* -L0089856 00001000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* -L0089920 10000000 00000100 10000000 00000000 00000000 00000000 00110100 00000000* -L0089984 00000100 00000100 10000000 00000000 00000000 00000000 00010000 00000000* -L0090048 10000100 00000000 10000100 00000000 00000000 00000000 00000100 00000000* -L0090112 00000100 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0090176 00000000 00000000 00000000 00000000 10100000 10000000 00000000 00000000* -L0090240 00000000 00000000 01100000 00000000 00000000 00000000 00101100 00000000* -L0090304 00000000 00000000 00100000 00000000 00000000 00000000 00100000 00000000* -L0090368 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0090432 000000 000000 000000 000000 000000 000000 000000 000000* -L0090480 000000 000000 000100 000000 000000 000000 000000 000000* -L0090528 000000 000000 000100 000000 000000 000000 000000 000000* -L0090576 000000 000000 000000 000000 000000 000000 011000 000000* -L0090624 000000 000000 000000 000000 000000 000000 000000 000000* -L0090672 000000 000000 000000 000000 000000 000000 000100 000000* -L0090720 00000000 00000100 00000000 00000000 00000000 00000000 01000000 00000000* -L0090784 00000000 00000000 01000000 00000000 00000000 00000000 01000000 00000000* -L0090848 00000000 00000000 01000000 00000000 00000000 00000000 01100100 00000000* -L0090912 00000000 00000000 01000000 00000000 00000000 00000000 01010000 00000000* -L0090976 00000000 00000000 01010000 00000000 00000000 00000000 00010100 00000000* -L0091040 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0091104 00000000 00000000 10000000 00000000 10100000 00000000 00000000 00000000* -L0091168 00000000 00000000 11000000 00000000 00000000 00000000 00001000 00000000* -L0091232 00000000 00000000 10000000 00000000 00000000 00000000 00101000 00000000* -L0091296 000000 000000 100010 000000 000000 000000 001001 000000* -L0091344 000000 000000 000000 000000 000000 000000 000000 000000* -L0091392 000000 000000 000000 000000 000000 000000 000000 000000* -L0091440 000000 000000 000000 000000 000000 000000 000000 000000* -L0091488 000000 000000 000010 000000 000000 000000 001000 000000* -L0091536 000000 000000 000000 000000 000000 000000 001000 000000* -L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091648 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0091712 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0091776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092096 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0092160 000000 000000 000000 000000 000000 000000 000000 000000* -L0092208 000000 000000 000000 000000 000000 000001 000000 000000* -L0092256 000000 000000 000000 000000 000000 000001 000000 000000* -L0092304 000000 000000 000000 000000 000000 000000 000000 000000* -L0092352 000000 000000 000000 000000 000000 000000 000000 000000* -L0092400 000000 000000 000000 000000 000000 000001 000000 000000* -L0092448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092512 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0092576 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0092640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0092832 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0092896 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0092960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0093024 000000 000000 000000 000000 000000 000000 000000 000000* -L0093072 000000 000000 000000 000000 000000 000000 000000 000000* -L0093120 000000 000000 000000 000000 000000 000000 000000 000000* -L0093168 000000 000000 000000 000000 000000 000000 000000 000000* -L0093216 000000 000000 000000 000000 000000 000001 000000 000000* -L0093264 000000 000000 000000 000000 000000 000000 000000 000000* -C708B* -2DC2 diff --git a/cpld/XC95144XL/MXSE.nga b/cpld/XC95144XL/MXSE.nga deleted file mode 100644 index d0fe8bb..0000000 --- a/cpld/XC95144XL/MXSE.nga +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$73647<,Fz_t)HW_EA'254)L880(B~[x%D[[AE#>98%H=.E023>"Hx]r/JUQKC%436+B5WY^T<<94$NrW|!@_WMI/:="Hx]r/JUQKC%436+C_XV~xe`|jn`of`Zhb|V;:7)ATy&E\ZBD,?:9"\?<;%MsP}"APVNH(;>=.TKN54=#Gy^s(KVPDB&543(C9;1/C}Zw$GZ\@F"18?$O=<<4$NrW|!@_WMI/:=8!D334?!Iw\q.MTRJL$725*A4XX]U;=:5+OqV{ C^XLJ.=<; K2^RW[4703-E{Xu*IX^F@ 361&M8T\YQ=129'KuR,ORTHN*907,F\U7?3-E{Xu*IX^F@ 361&LR[S]ZP00:8 JvSp-LSSIM+614-A]VXX]U:=55+OqV{ C^XLJ.=<; JXQ]SPZ45<2.D|Yv+FY]GG!07>'OS\Rz|ilpfjdkblVdnxR?>;%MsP}"APVNH(;>9.P30?!Iw\q.MTRJL$725*POJ=2.Yi{*8;)294?6.n2";6=4?)!*3>5<7!8:0$<>?0;3345<689:"==5'1623>41783;<<='>0:*2<56=91:;6<6?0(33?-4?89094=>52923-46< ::;<7=?0180456.:81#?=>?:2234?5789#+$>;?0;1645<4=9:"==5'3423>637839><='k;)634?2783>;<$??;)6645<3=9:188>?)028,36783<;<=49012*55=/?9:;6:>?0;5345/682"2<=>59123><678 ;0<<5>2:336>76:2;9=?5>2;MVPUSS2[K7=<4?>39277=6<;1:9?5>639237=60;1:5?5=039167=5;8>0>>4FNQWW>DYA[K69?7?12:076>42:28<>6<62:106>52:292>6:=2:6:6>37:2?9>6;<2:776>32:2?=>6;72:7:5>053?:97;?=;711?3253??97;8=;751?3>63>80;=<47008374?57539;27=??;135<562:;36>?6:239>67<2:;76>?2:23=>6782:;:1>GKM9G<7LBJ0L,G<>GKM9G%H<64AMG3I+B5l2KGI=C!D3]SPZ6c3HFN<@ K2^RW[41GKM9Y%H?74AMG3W+C_Xl1J@H>\.DZS[URX8l1J@H>\.DZS[URX9l1J@H>\.DZS[URX:l1J@H>\.DZS[URX;8k0MAK?S/G[TZrtadxnblcjd^lfpZ10MAK>3:CM@<=FFGE_MYKK9:C\BVD;994o7LQISC>24;(WIM30MRH\B=32:a=FWOYI0GXNZH7=?0k;@]EWG:6:7$[MI74A^DPF9746m1JSK]M<01=*UGC12KTJ^L3152i5N_GQA8439&YKO56OPFR@?538c3HUM_O2>6?,SEA?e9B[CUE48=5"]OK9:C\BVD;914o7LQISC>2<;(WIM30MRH\B=3::a=FWOYI0<71.QCG<>GXNZH7=3m4A^DPF979&YKO56OPFR@?658c3HUM_O2=0?,SEA?>e9B[CUE4;;5"]OK9:C\BVD;:;4o7LQISC>16;(WIMh0MRH\B=00>48>3HUM_O2=3?f8EZ@TJ5882#^ND99B[CUE4;4h7LQISC>1:+VFL11JSK]M<2<`?DYA[K682#^ND99B[CUE4=4h7LQISC>7:+VFL11JSK]M<4<`?DYA[K6>2#^ND99B[CUE4?4h7LQISC>5:+VFL11JSK]M<6<`?DYA[K6<2#^ND99B[CUE414h7LQISC>;:+VFL11JSK]M<8<`?DYA[K622#^NDe9B[CUEW8:TEO[Id:C\BVDX98UBNXHk;@]EWGY6:VCIYKj4A^DPFZ74W@H^Ji5N_GQA[42XAK_Mh6OPFR@\50YNJ\Lo7LQISC]22ZOE]On0MRH\B^34[LDRNm1JSK]M_0:\MGSAl2KTJ^LP18]JFP@6:2KTJ^LP18]JFP@#J\L;=95N_GQA[4?XAK_M(O[I0/F21>GXNZHT=4QFBTD'FP@7&M;:96OPFR@\5GXNZHT=4QFBTD'FP@7&Xi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQC753HUM_OQ=1^KAQC"E]O::86OPFR@\64YNJ\L/NXH?.E36?DYA[KU9=RGMUG&AQC6)L8;>7LQISC]15ZOE]O.IYK>!D337?DYA[KU9=RGMUG&AQC6)Ym1JSK]M_30\MGSAl2KTJ^LP22]JFP@d3HUM_OQ=_H@VBf=FWOYIS>QFBTD`?DYA[KU?SDLZFb9B[CUEW3KOY^RG@BR`8F@TUW@EI_#Jl;CGQVZOHJZ$O=n5MESP\MJDT&M8:=6LJRS]JKGU)L;U[XR>>1:@FVWYNGKY%H?Q_T^325>DBZ[UBCO]!D3]SPZ4692HN^_QFOCQ-@7YW\V9i7OK]R^KLFV(Vl2HN^_QFOCQ-V@A6i2HN^_QFOCQ\pvojk{ojxhjPxnp\7>DRN11IY^QFNGM1?FC43JF@56MCK3Z\MJDc3JF@>UQFOC,SEA1EkgjaX|hm7;EcweVvbk<1Oiaova:G[T42X&LR[j6KWP06\*@^WWY^Tb:G[T40X&LR[Sy}fmsgmehccWgoSl5JXQ34[+C_Xo1NT]?8_/G[TZVSW9l0IU^>7^,F\UYW\V;m7HV_16]-A]VXX]U9=o5JXQ34[+C_XV~xe`|jn`of`Zhb|Vk0IU^>8^,F\U`8^,F\UYW\V>:n6KWP0:\*@^WW}ybakaalgg[kcsWh1NT]?6_/G[Tc=BPY;2S#KWP^RW[5`9^,F\UYW\V?:n6KWP0;\*@^WW}ybakaalgg[kcsWh1NT]=Q!EYR\TQY5n2OS\?>P.DZS[URX;o1NT]_/G[Tc=BPY8:S#KWP^RW[5`_/G[TZVSW=;i7HV_20]-A]VX|zcf~h`nmdf\j`rXi2OS\?C_X;8T"HV__QV\4c=BPY89S#KWP^RW[4`R JXQ]SPZ4a3LR[>?Q!EYR\TQY49k1NT]<=_/G[TZrtadxnblcjd^lfpZgC_X;9T"HV__QV\6c=BPY88S#KWP^RW[6`>Q!EYR\TQY29k1NT]<<_/G[TZrtadxnblcjd^lfpZgC_X;>T"HV__QV\6c=BPY8?S#KWP^RW[6`S#KWPg9F\U42W'OS\R^[_1d8A]V5=V$NT]Q_T^3e?@^W:C_X;?T"HV__QV\7c=BPY8>S#KWP^RW[1`V$NT]Q_T^3e?@^W:?U%IU^PPU]1b>C_X;U%IU^i;DZS62Y)MQZT\YQ?f:G[T71X&LR[S]ZP1g9F\U40W'OS\R^[_3d8A]V5?V$NT]Q_T^1e?@^W:>U%IU^PPU]75g=BPY8i;DZS6=Y)MQZT\YQ>f:G[T7>X&LR[S]ZP2g9F\U4?W'OS\R^[_2d8A]V50V$NT]Q_T^6e?@^W:1U%IU^PPU]65g=BPY83S#KWP^vpmhtbfhgnhR`jt^c8A]V51V$NT]h4EYR1=Z(BPYU[XR>i;DZS6f:G[T7?X&LR[S]ZP2g9F\U4>W'OS\R^[_2d8A]V51V$NT]Q_T^62f>C_X;3T"HV__uqjiwciidooSck{_`9F\U57W'OS\k5JXQ13[+C_XVZ_S=h4EYR04Z(BPYU[XR?i;DZS75Y)MQZT\YQ=f:G[T66X&LR[S]ZP3g9F\U57W'OS\R^[_5d8A]V48V$NT]Q_T^72f>C_X::T"HV__uqjiwciidooSck{_`9F\U56W'OS\k5JXQ12[+C_XVZ_S=h4EYR05Z(BPYU[XR?i;DZS74Y)MQZT\YQ=f:G[T67X&LR[S]ZP3g9F\U56W'OS\R^[_53a?@^W;8U%IU^Ptrknv`hfelnTbhzPa:G[T64X&LR[j6KWP20\*@^WWY^TOI9=>0EC?:4:KM532;2CE>95FN327?LH59=1BB?<;;HL171=NF;>?7D@=559JJ7033@D9;95FN3:7?LH51:1BB>:4IO130>OI;890EC:<;HL67>OI>:1BB:=4IO:0?LH>12CEEY][AUG6?LIFK]=0EBOLT/F;?LIFK]$O=55FO@AW*A4c3@EJOY K2^RW[5bOHIJ^%H?Q_T^1g?LIFK]$O>R^[_5f8MJGD\'N9S]ZP5e9JKDES&M8T\YQ97:KLEFR)Y01BCLM[.SGD2>OHJLXY46G@BDPQ*A?l;HMO4+B5WY^T=;5FOM2-U==NGE:%^HI:;HMQAT1R^[_1f8MJTBY'N9S]ZP1e9JKWCV&M8T\YQ=d:KLV@W)L;U[XR=k;HMQAT(C:VZ_S9j4INPFU+B5WY^T9i5FOSGR*A4XX]U=;6G@RDS-U<=NG[OZ"_KH5:KLVR603@EY[= K8:KLVR6)L820EB\X0/F1`>OHZ^:%H?Q_T^2g?LIU_9$O>R^[_0f8MJTP8'N9S]ZP2e9JKWQ7&M8T\YQ0EB[?7:KLQ5(DM?1BCX>!D69JKP6)L8=0EB[?.E0`?LIR8'N9S]ZP0b9JKP6)L;U[XR?9;HMV4+W?3@E^<#\JG99JjqBbdhs87AAL7:NLCLEFD=1FT^K7;LZPA+h`ij1Fmga}Vdppmjhd3DcecXjrrklj2=IM]]D^F=4OOG1?JT43F__?6^ND59SEWR03YCEBLCJa:RJJZDR[@NSn6^FN^@VWKGJM?1[ig`d99SkwrWi}b>7_O30?;8VD:76'ZJH;5]A=33:d=UI5;;2#^ND99QE976294=7_O310/RB@0=UI5>556\N<5<-TDB23[K79374R@>6:+VFL<1YM1819:PB838)XHN>7_O37?;8VD:06'ZJH85]A=:==>TF414%\LJ:;SC?=;?POCWE*A7d3[KTTFW9UDNXH!EYR\TQY49;1YMR>POCWE*@^WWY^T81:PB[4YHJ\L%H?Q_T^225>TFW8UDNXH!D3]SPZ7c3[KT=RAMUG,F\U753[KT=RAMUG,F\UYW\V::>6\N_0]LFP@)MQZT\YQ>139QEZ7XGK_M"HV__QV\64cTFW;UDNXH!EYR\TQY69l1YMR;SC\7ZIE]O$O>R^[_0`8VDY4WFH^J#_6;SC\0ZIE]Oh0^LQ;_N@VB+Bd3[KT8RAMUG,G5f=UIV>TCO[I.E025>TFW=UDNXH!D3]SPZ6692XJS9Q@BTD-@7YW\V;o7_OP4^MAQC(BPY;97_OP4^MAQC(BPYU[XR>>2:PB[1YHJ\L%IU^PPU]257=UIV>TCO[I.DZS[URX:8o0^LQ;_N@VB+C_XV~xe`|jn`of`Zhb|Vh0^LQ;_N@VB+W>3[KT9RAMUG`8VDY2WFH^J#Jl;SC\1ZIE]O$O=n5]A^7\KGSA&M8:=6\N_4]LFP@)L;U[XR>>1:PB[0YHJ\L%H?Q_T^3a?WGX=VEIYK ^9:PB[3YHJ\Li7_OP6^MAQC(Ck2XJS;Q@BTD-@4e6\N_7]LFP@)MQZT\YQ?139QEZ0XGK_M"HV__QV\544TFW>UDNXH!EYR26>TFW>UDNXH!EYR\TQY79;1YMR9POCWE*@^WWY^T=<<4R@]4[JDRN'OS\R^[_33f?WGX?VEIYK JXQ]wwlkumgkfiiQaeu]a?WGX?VEIYK ^9:PB[=YHJ\Li7_OP8^MAQC(Ck2XJS5Q@BTD-@4e6\N_9]LFP@)MQZT\YQ?139QEZ>XGK_M"HV__QV\544e:PB[=YHJ\L%IU^Ptrknv`hfelnTbhzPb:PB[=YHJ\L%]45]A^;\KGSAj2XJS4Q@BTD-@f=UIV3TCO[I.E3`?WGX1VEIYK K2038VDY>WFH^J#J=_QV\4470:Vji`ir|H$O>R^[_133?QojmfM#J=_QV\546<\`gncxzN.E0\TQY5i2^bahazt@,Rg>Rnele~xL ]EF3:?QojmfMRz|ilaqadrblVrd~R64ThofkprEi2^bahaztC,Gf>Rnele~xO K1c9Wmhch}}H%H???;UknajssJ'N9S]ZP0028Plkbg|~I"I7Zgkti0`?]E>=8>?TA#>0,VR556' Zznii.Iimg9$Scu{`ee,> ?)59[@HJ6l2RB@D@W-YFA$5(6(Z^^N->!1!CPGLO43QEY:6Vkb^Kgf>^c`VZye`Gavb9[`mYWz`g_u}ki;Yfk[Utne_oydaa8:ZglZRneln0TifPThofGmcdcm1SheQ[ilgGaig~991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybcc:4ZDPL`>ei|&XnjN`{<1e:amp*TbnJd0=0Ptrkngwcf|lnTtb|Pd:amp*TbnJd0<0i;blw+WcaKg~7=3 K119`jq)UmoIex1?1.E324>ei|&XnjN`{<0<-@7`2:+W692iex"\jfBlw848)ZLM:i6mat.PfbFhs484Tx~gbcsgbp`bXpfxTh6mat.PfbFhs4;4m7n`{/SgeGkr;:7$O==5lnu-QacEi|585"I?>0:amp*TbnJd0?0!D3d8gkr(ZllHby2=>/S25>ei|&XnjN`{<3<-V@A6m2iex"\jfBlw878X|zcfokntdf\|jtXl2iex"\jfBlw868a3jd#_kiCov?7;(C991hby!]egAmp959&M;:<6mat.PfbFhs4:4%H?h4cov,V``Df}682#_>1:amp*TbnJd0>0!RDE2a>ei|&XnjN`{<2<\pvojk{ojxhjPxnp\`>ei|&XnjN`{<5e:amp*TbnJd090Ptrkngwcf|lnTtb|Pd:amp*TbnJd080i;blw+WcaKg~793 K119`jq)UmoIex1;1.E324>ei|&XnjN`{<4<-@7763jd#_kiCov?1;(BPY897n`{/SgeGkr;=7$NT]Q{shoqakgjmmUeiyQi;blw+WcaKg~793 ^109`jq)UmoIex1;1.SGD5`=df}%YikMat=7=[qunejxnmykk_ymq[a=df}%YikMat=4=b>ei|&XnjN`{<7<-@465:+B6991hby!]egAmp909&M8:=6mat.PfbFhs4?4%IU^>6:amp*TbnJd0;0!EYR\TQY79?1hby!]egAmp909&LR[S]ZP1048gkr(ZllHby29>/G[TZVSW;;=7n`{/SgeGkr;>7$NT]Q_T^122>ei|&XnjN`{<7<-A]VXX]U?>?5lnu-QacEi|5<5"HV__uqjiwciidooSck{_g9`jq)UmoIex181.P32?fhs'[omOcz36?,QAB7b3jd#_kiCov?2;Ys{`gh~ho{ee]{kwYc3jd#_kiCov?3;`4:+B682iex"\jfBlw828)L8;;7n`{/SgeGkr;?7$O>/G[TZVSW9;=7n`{/SgeGkr;?7$NT]Q_T^322>ei|&XnjN`{<6<-A]VXX]U9=;5lnu-QacEi|5=5"HV__QV\7744:+C_XV~xe`|jn`of`Zhb|Vl0ocz Rdd@jq:06'[:=6mat.PfbFhs4>4%^HI>e:amp*TbnJd0:0Ptrkngwcf|lnTtb|Pb:amp*TbnMeeii5lnu-QacBhfl$Oi6mat.PfbAiim'N:i6mat.PfbAiim'N9=>5lnu-QacBhfl$O>R^[_130?fhs'[omHb`j.E0\TQY6l2iex"\jfEmma+Wa3jd#_kiDnlf*WC@n2iex"ZfmdmvpGVum8;0ocz ThofkprEX{o%H<<4cov,Plkbg|~I\k!D031?fhs']cfib{{BQpf*A46?2iex"ZfmdmvpGVum'N9S]ZP0058gkr(\`gncxzMPsg-@7YW\V;:;6mat.Vji`ir|KZyi#J=_QV\647!D337?fu(fF|n~aov0/F1[URX88>0o~!aOwgqhd7&M8T\YQ>119`w*hH~lxgmt>!EYR21>et'gE}ibny1,F\UYW\V::96m|/oMuawjfq9$NT]Q_T^321>et'gE}ibny1,F\UYW\V89=6m|/oMuawjfq9$NT]Q{shoqakgjmmUeiyQj;bq,jJpbzekr<#_>0:ap+kIqm{fju= ]EF3f?fu(fF|n~aov0^vpmheumh~nhR~}le]2`>et'gE}ibny1]wwlkdzlkiiQwos]`?fu(fF|n~aov1g9`w*hH~lxgmt?!CDg8gv)iGoy`lw>.Ed8gv)iGoy`lw>.E3e?fu(fF|n~aov1/F155=d{&dDzh|cax3-A]V6=2ix#cAyesnb}4(BPYU[XR>>5:ap+kIqm{fju< JXQ]SPZ7592ix#cAyesnb}4(BPYUdc}eocnaaYim}Un7n} nNtfvig~9'[:<6m|/oMuawjfq8$YIJ:4eeef<>`tj&KX~ko4fr`,EVta&Mh0j~l ARpe*A7e3oyi#L]}f/F1e>`tj&KX~k ^c:dpf*GTzo$YIJo4fr`,F@TU8{i0j~l BDPQ4w(Cl2lxn"LJRS2q*A7c3oyi#OK]R1p-@7753oyi#OK]R1p-@7YW\V::>6h|b.@FVW6u&M8T\YQ>139ewg)EM[X;~#J=_QV\6`=a{k%II_\?r/G[T45<=4fr`,V`gcq9x%H?Q_T^227>`tj&Xnmiw?r/F1[URX9890j~l Rdcg}5t)L;U[XR<=4fr`,V`gcq8x%H?Q_T^127>`tj&Xnmiw>r/F1[URX<890j~l Rdcg}4t)L;U[XR;k;gqa+Wcflp;y"\h4fr`,V`gcq8x%^HIm;gqa+Wcflp8yh6h|b.Pfea5z'Nn7k}m/Sgb`|4u&M;n7k}m/Sgb`|4u&M8:?6h|b.Pfea5z'N9S]ZP0018bvd(Zlkou?|!D3]SPZ76;2lxn"\jae{1v+B5WY^T><=4fr`,V`gcq;x%H?Q_T^127>`tj&Xnmiw=r/F1[URX<890j~l Rdcg}7t)L;U[XR;k;gqa+Wcflp8y"\h4fr`,V`gcq;x%^HI8;gqa+SVF12lxn"X_A/Fb?cue'_ZJ"I?n;gqa+SVF&M8m7k}m/WRB*A4XX]U;j6h|b.TSE+B5WY^T=k5isc-UTD(C:VZ_S?h4fr`,RUG)L;U[XR=i;gqa+SVF&M8T\YQ;f:dpf*PWI'N9S]ZP5g9ewg)QXH$O>R^[_7;8bvd(^YK%]o5isc-UTD(UMN8=7k}m/WRB[Ziu89:;="h|b.TSEZYhz9:;<`tj&\[MRQ`r12344)a{k%]\LQPos23457XL;$O=?94fr`,RUGXWfx;<=>>/gqa+SVFWVey<=>?1^F1*A45k2lxn"X_A^]lv56788%mo!YP@]\kw6789;TH? K2^RW[54d3oyi#[^N_^mq45679&lxn"X_A^]lv56788UO>#J=_QV\57e`tj&\[MRQ`r12344)a{k%]\LQPos23457XL;$O>R^[_50`?cue'_ZJSRa}01235*`tj&\[MRQ`r12344YC:'N9S]ZP5348bvd(^YKTSb|?0122+cue'_ZJSRa}01235ZB5&X837k}m/WRB[Ziu89:;="h|b.TSEZYhz9:;<i5foco,F@TUz{$Zj6g`bl-AAWTuz'XNKn5foco,@QGDC{ln7damm.FWEFMun'Nm7damm.FWEFMun'N:j6g`bl-GPDELzo$O>h5foco,@QGDC{l%]<>4in`n+ARFKBxm"_KHc:klfh)C\HI@~k4in`n+ARFKBxy"Ih4in`n+ARFKBxy"I?i;hmai*BSIJAy~#J=e:klfh)C\HI@~ ^119jkgk(L]KHG|!RDEb?liee&OX0=0l;hmai*CT494%Hi5foco,AV:76'N:h6g`bl-FW969&M8:>6g`bl-FW969&M8T\YQ?139jkgk(MZ6;2#J=_QV\5443:Zrtadiyilzjd^zlvZgohjd%N_1?1.E0\TQY69;1bcoc ER>2:+B5WY^T>n5foco,AV:66'[n7damm.GP848)ZLMj7damm.GP878d3`eia"K\<3<-@a=ngkg$I^2=>/F2`>ohjd%N_1<1.E026>ohjd%N_1<1.E0\TQY79;1bcoc ER>1:+B5WY^T=<<4in`n+@U;:7$O>R^[_331?liee&OX0?0!D3]SPZ56:2cdn`!JS=0=*A4XX]U?o6g`bl-FW949&Xo0eblb/DQ?6;(UMNk0eblb/DQ?7;eohjd%N_1=1.E3g?liee&OX0>0!D331?liee&OX0>0!D3]SPZ66:2cdn`!JS=1=*A4XX]U:=?5foco,AV:46'N9S]ZP2b9jkgk(MZ682#_j;hmai*CT4:4%^HI>b:klfh)B[595Sy}fmbpfeqccWqeySl5foco,AV:36j1bcoc ER>7:+Bc3`eia"K\<5<-@4b2:klfh)B[5>5"I/F1[URX;j1bcoc ER>7:+Wb3`eia"K\<5<-V@A6j2cdn`!JS=6=[qunejxnmykk_ymq[d=ngkg$IYOLKb9jkgk(M]KHG#Jk;hmai*CSIJA%Hh5foco,AQGDC'OS\<=4in`n+@RFKB$NT]Q_T^227>ohjd%NXLMD.DZS[URX9890eblb/DVBGN(BPYU[XR<>3:klfh)B\HI@"HV__QV\74`#Jm;hmai*Cu:'N:n6g`bl-Fv7(C:h1bcoc Es0-Uf=ngkg$I1:klfh)NGZUM_@QIFe327>ohjd%BC^QISL]EBa7)L8>0eblb/HMP[CUJWOLo=#J>159jkgk(AFYTJ^CPFGf2*A46;2cdn`!FOR]EWHYANm;%]<;4in`n+LITWOYFSKHk1/PFC47#J>4:klfh)NGZUM_@QIFe0-@4733`eia"G@S^DPIZ@Al;$O><74in`n+LITWOYFSKHk2/F1[URX8830eblb/HMP[CUJWOLo>#J=_QV\54?ohjd%BC^QISL]EBa4)ZLM:=6g`bl-JKVYA[DUMJi=>3:klfh)NGZUM_@QIFe1-@42ohjd%BC^QISL]EBa5)L;U[XR>>9:klfh)NGZUM_@QIFe1-@7YW\V;:56g`bl-JKVYA[DUMJi=!D3]SPZ4612cdn`!FOR]EWHYANm9%H?Q_T^12=>ohjd%BC^QISL]EBa5)L;U[XR:>3:klfh)NGZUM_@QIFe1-U43%Hohjd%BC^QISL]EBa36;2cdn`!FOR]EWHYANm?%H<:4in`n+LITWOYFSKHk5/F251=ngkg$EB]PFRO\BCb2&M8:?6g`bl-JKVYA[DUMJi;!Q078mjdj'@EXSK]B_GDg1+TBO8;0eblb/HMP[CUJWOLo:<=4in`n+LITWOYFSKHk6/F20>ohjd%BC^QISL]EBa0)L8;?7damm.KLWZ@TEVLMh; K2018mjdj'@EXSK]B_GDg2+W6=2cdn`!FOR]EWHYANm<%^HI>1:klfh)NGZUM_@QIFe527>ohjd%BC^QISL]EBa1)L8>0eblb/HMP[CUJWOLo;#J>159jkgk(AFYTJ^CPFGf4*A46;2cdn`!FOR]EWHYANm=%]<;4in`n+LITWOYFSKHk7/PFC474:klfh)NGZUM_@QIFe:-@4733`eia"G@S^DPIZ@Al1$O><74in`n+LITWOYFSKHk8/F1[URX8830eblb/HMP[CUJWOLo4#J=_QV\545n5foco,RUGuz'[n7damm.TSEwt)ZLMi7dams.Aoadt6l2cdn~!Lldcq5+Bb3`ei"Mce`p2*A7b3`ei"Mce`p2*A4c3`ei"Mce`p2*T`ohjz%BCLM[r/Ff?lie{&CDMNZ}.E3f?lie{&CDMNZ}.E0e?lie{&CDMNZ}.DZS51=ngky$EBOLTs,F\UYW\V::86g`br-JKDESz'OS\R^[_037?lie{&CDMNZ}.DZS[URX:8>0ebl|/HMBGQt)MQZT\YQ<219jkgu(AFKHX JXQ]wwlkumgkfiiQaeu]g?lie{&CDMNZ}.Pd8mjdt'@EJOY|!RDE:?lie{&CD@.Pf8mjdt'@EG=#\JG`9jkgu(AFX\=n5focq,MJTP9'No7dams.KLVR7)L8n0ebl|/HMQS4(C:880ebl|/HMQS4(C:VZ_S=?=;hmaw*OHZ^;%H?Q_T^326>ohjz%BC_Y>.E0\TQY59;1bco} INPT5+B5WY^T?<<4in`p+LIU_8$O>R^[_531?lie{&CD^Z?!D3]SPZ36:2cdn~!FOSU2*A4XX]U=o6g`br-JKWQ6&Xo0ebl|/HMQS4(UMN;i7dams.KLVR7X|zcfokntdf\|jtXk2cdn~!FOSgb`|c>4:klfv)NG[ojht K2^RW[4733`ei"G@Rdcg}+B5WY^T><:4in`p+LIUmhnr"Iohjz%BC_kndx,R55=ngky$EB\jae{-V@A6l2cdn~!FOSgb`|Ys{`gh~ho{ee]{kwY>3`ei"G@U0a8mjdt'@E^=#MJb:klfv)NG\;%Hn5focq,MJS6&M;h7dams.KLQ4(C:k1bco} INW2*Tb<<4in`p+Iifl8$O>R^[_131?lie{&Fdmi?!D3]SPZ76:2cdn~!Co`f2*A4XX]U9=?5focq,Hjgc9'N9S]ZP3008mjdt'Eejh< K2^RW[1753`ei"B`ae3-@7YW\V?:>6g`br-Okdb6&M8T\YQ9c:klfv)Kghn:"\k4in`p+Iifl8$YIJ74in`p+Jhdmk1bco} Ooaf*Aeohjz%Dbnk!D332?lie{&Eeoh K2^RW[5763`ei"Aacd,G6ZVSW8;:7dams.Mmg`(C:VZ_S??>;hmaw*Iikl$O>R^[_232?lie{&Eeoh K2^RW[1763`ei"Aacd,G6ZVSW<;:7dams.Mmg`(C:VZ_S;l4in`p+Jhdm'[o7dams.Mmg`(UMN;;7dams.RP[CUJWOLo=<<4in`p+UUXNZGTJKj>.E30?lie{&ZXSK]B_GDg5+B69:1bco} PR]EWHYANm;%H??;;hmaw*VTWOYFSKHk1/G[T4?.DZS[URX:830ebl|/QQ\BVKXNOn:"HV__QV\74?ohjz%[_RH\M^DE`7(C:820ebl|/QQ\BVKXNOn9"I8:klfv)W[VLXARHId3,G6ZVSW;;37dams.RP[CUJWOLo>#J=_QV\74>1:lB@jssDL:TCO[I.E325>hFLf@H>POCWE*A4682dJHb{{LD2\KGSA&X30bLJ`uuNF5a=iIMe~xAK>.QCGa>hFLf@H?POCWE55=iIMe~xAK>_N@VB+B692dJHb{{LD3\KGSA&M;:=6`NDnwwH@7XGK_M"I<>6:lB@jssDL;TCO[I.E0\TQY79?1eMIaztMG2[JDRN'N9S]ZP1008jDBh}}FN=RAMUG,F\U703gKOcxzCE0]LFP@)MQZT\YQ?169mEAir|EO:SBLZF/G[TZVSW8887cOKotvOA4YHJ\L%IU^Ptrknv`hfelnTbhzP119mEAir|EO:SBLZF/S26>hFLf@H?POCWE*WC@?2dJ_RH\Bc9mEVYA[K$[MIm4n@Q\BVDXAK_M;6`NS^KLFg=iIZUBCO _AEa8jDUXAFHTCO[Ie:lBWZOHJVEIYK Kf:lBWZOHJVEIYK K1g9mEVYNGKUDNXH!D3g8jDUXAFHTCO[I.P33?kGTW@EISBLZF/PFC2=iIfCHl4n@mvpJC)XHNn7cO`uuMF[JDRN-[n7cO`uuMF[JDRN'Nm7cO`uuMF[JDRN'N:j6`NotvLAZIE]O$O>h5aAnwwK@YHJ\L%]45aBDPQ[CUEl2dII_\PFR@-TDBb3gHN^_QISC]LFP@682dII_\PFR@\KGSA&M;:7cLJRS]EWGYHJ\L%H;o@FVWYA[KUDNXH!D335?kDBZ[UM_OQ@BTD-@7YW\V:::6`MESP\BVDXGK_M"I<<4nCGQVZ@TJVEIYK JXQ34?kDBZ[UM_OQ@BTD-A]VXX]U;=:5aBDPQ[CUEWFH^J#KWP^RW[4443gHN^_QISC]LFP@)MQZTx~gbrdlbi`bXfl~T==5aBDPQ[CUEWFH^J#_6;o@FVWYNGKn0bOK]R^KLF+VFLl1eNH\]_HMA[LDRN=1eOL]7;oABW+VFL01eOL]POCWEf>hDIZUDNXH!Db9mGDUXGK_M"I?l;oABWZIE]O$O>o5aC@Q\KGSA&Xn0bNO\_N@VB+TBOh1eHYOLK^DPF`=iL]KHGRH\B/RB@c=iL]KHGRH\B^MAQC763gN_MNEPFR@\KGSA&M;97cJ[ABI\BVDXGK_M"I?>2:lGPDELWOYISBLZF/F152=iL]KHGRH\B^MAQC(C:VZ_S=?8;oFWEFMXNZHTCO[I.E0\TQY69>1eHYOLK^DPFZIE]O$O>R^[_334?kBSIJATJ^LPOCWE*A4XX]U8=:5aDUC@OZ@TJVEIYK K2^RW[1703gN_MNEPFR@\KGSA&M8T\YQ:169m@QGDCVLXNRAMUG,G6ZVSW?;:7cJ[ABI\BVDXGK_M"\?<;oFWEFMXNZHTCO[I.SGD56=iL]KHGRH\B^MAQC(RADk0bIZNCJ]JKGc>4:lGmkIBWFH^J#KWP^RW[4733gNbbBKPOCWE*@^WWY^T><:4nEkmK@YHJ\L%IU^PPU]051=iL`dDIRAMUG,F\UYW\V>9<6`KioMF[JDRN'OS\Rz|ilpfjdkblVdnxRj4nEkmK@YHJ\L%]:5aDnwwK@dhCg|~DIRAMUG,G6ZVSW9;?7cJ`uuMF[JDRN'N9S]ZP1d9m@jssGLUDNXH!Q028jAir|FOTCO[I.SGD<>hKLZUM_Om4nMFP[CUE&YKOh6`CDR]EWGYNJ\L37cBKS^KLFf=iDMYTEBL!P@Fg?kJC[VCDNRAMUGd8jIBTW@EISBLZF/F24>hKLZUBCOQ@BTD-@4773gFO_RG@B^MAQC(C:8?0bAJ\_HMA[JDRN'N9S]ZP0078jIBTW@EISBLZF/F1[URX98?0bAJ\_HMA[JDRN'N9S]ZP2g9mHAUXAFHTCO[I.P32?kJC[VCDNRAMUG,QAB5hHMVEIYKo4nNG\KGSA&Mh0bBKPOCWE*A7e3gENSBLZF/F1g>hHMVEIYK JXQ32?kIBWFH^J#KWP^RW[5763gENSBLZF/G[TZVSW8;:7cAJ_N@VB+C_XVZ_S??>;oMF[JDRN'OS\R^[_23g?kIBWFH^J#KWP^vpmhtbfhgnhR`jt^c8jJCXGK_M"\94nSCNHRCe3gXJAAYJ.QCGg>hUIDF\IRAMUGg8jWGJD^OTCO[I.Ed8jWGJD^OTCO[I.E3e?kTFEE]NSBLZF/F1a>hUIDF\IRAMUG,R3>hUID_\Io5aR@OVS@(WIMi0b_OBUVG\KGSAm2dYM@[XE^MAQC(Cn2dYM@[XE^MAQC(C9o1e^LCZWD]LFP@)L;o0b_OBUVG\KGSA&X>0b_O\8:lQEV(WIM30b_O\_N@VBg=iZHYTCO[I.Ea8jWGTWFH^J#J>c:lQEVYHJ\L%H??>;oPBWZIE]O$O>R^[_132?kTF[VEIYK K2^RW[4763gXJ_RAMUG,G6ZVSW;h0b_O\_N@VB+W33gXN_55aRDQ-TDB>3gXN_RGMUG48jWIJKZk0b_ABCR,SEAdi5aRNOTAZIE]O$Z46`ZDR]EWGehRLZUM_OQFBTD;?kSC[VCDNn5aUEQ\MJD)XHNo7c[KS^KLFZIE]Ol0bXJ\_HMA[JDRN'N:<6`ZDR]JKGYHJ\L%H<;4nWOB[LIEWFH^J#J=_QV\443hQEHUBCOQ@BTD-A]VX|zcf~h`nmdf\j`rXn2d]ALQFOC]LFP@)Y8;0b[CN_HMA[JDRN'XNKhPMVLXNo5aWD]EWG(WIMi0bZKPFR@\MGSA<2djah94ndef`bc03yexiiij9:pbi*DFK]xi7ob/CC@Pw(Ck2xja"LNCUp-@4etfe&HJOY|!EYR26>tfe&HJOY|!EYR\TQY79;1ym`!MABVq*@^WWY^T=<<4r`o,FDESz'OS\R^[_331?wgj'KKHX JXQ]SPZ56m2xja"LNCUp-A]VX|zcf~h`nmdf\j`rXj2xja"LNCUp-Ua=uid%IMNZ}.SGD<>tfe&Eeoho4r`o,Kkeb&Mh0~lc Ooaf*A7e3{kf#B`le/F155=uid%Dbnk!D3]SPZ6682xja"Aacd,G6ZVSW8;;7ob/Nl`a+B5WY^T>n5}al-Ljfc)MQZ:=6|nm.Mmg`(BPYU[XR>>1:pbi*Iikl$NT]Q_T^325>tfe&Eeoh JXQ]SPZ46l2xja"Aacd,F\UYs{`gyicobee]maqYf3{kf#B`le/S`?wgj'Fdhi#\JG0;8vdk(GginSy}fmbpfeqccWqeySo5}al-QEHBN[8n0~lc R@OGMV7)Ll1ym`!]ALFJW4(C9l1ym`!]ALFJW4(C:890~lc R@OGMV7)L;U[XR>>3:pbi*TFEMCX=#J=_QV\545.Pd8vdk(ZHGOE^?!RDEa?wgj'[KFHD]=d:pbi*TFEMCX>#Jj;scn+WGJL@Y9"I?j;scn+WGJL@Y9"I<>3:pbi*TFEMCX>#J=_QV\445#\JG0a8vdk(ZHGOE^tfe&XJA_kndx,G6ZVSW<;?7ob/SCNV`gcq'N9S]ZP6d9qeh)UIDXnmiw!Q028vdk(ZHGYiljv.SGD=>tfe&XJ_HBm;scn+WGTME$Oo6|nm.PBW@J)L8i0~lc R@QFH+B5981ym`!]ARGO*A4XX]U;=<5}al-QEVCK&M8T\YQ>109qeh)UIZOG"Itfe&XJ_HB!Qe9qeh)UIZOG"_KHf:pbi*TTWOYFSKHk1038vdk(ZZUM_@QIFe3-@447:pbi*TTWOYFSKHk1/F1[URX88=0~lc RR]EWHYANm;%H?Q_T^323>tfe&XXSK]B_GDg5+B5WY^T><94r`o,VVYA[DUMJi?!D3]SPZ56?2xja"\\_GQN[C@c9'N9S]ZP4038vdk(ZZUM_@QIFe3-U451:pbi*TTWOYFSKHk2/F26>tfe&XXSK]B_GDg6+B69;1ym`!]S^DPIZ@Al;$O><94r`o,VVYA[DUMJi#J=_QV\7417:pbi*TTWOYFSKHk2/F1[URX=8=0~lc RR]EWHYANm8%H?Q_T^425>tfe&XXSK]B_GDg6+W6;2xja"\\_GQN[C@c:'XNK?>4r`o,VVYA[DUMJi7:pbi*TTWOYFSKHk3/F1[URX88=0~lc RR]EWHYANm9%H?Q_T^323>tfe&XXSK]B_GDg7+B5WY^T><94r`o,VVYA[DUMJi=!D3]SPZ56?2xja"\\_GQN[C@c;'N9S]ZP4058vdk(ZZUM_@QIFe1-@7YW\V?:;6|nm.PP[CUJWOLo?#J=_QV\247k0:BC|7652O096"5jh09n55rSd`96g1=910:?9890c82f24d3m8;>7>51;3xW`e=:k=1=54>35454g<6j>8h7{Z74;295?7=b60`?S4el38py?><:09v652=82w/>o=52128 76f2;h=7o:3y03?4d2tci>7>5$32g>d7o?n3:1(?>k:`38j76d2110e5j50;&14ad=h58j0i76g77;29 76c2h;0b?>l:b98m=3=83.97>5$32g>d7o>l3:1(?>k:`38j76d2;10e4l50;&14a1=h58j0>76g63;29 76c2h;0b?>l:798m<7=83.95;hg5>5<5<l:078?lg1290/>=j5a09m65e=9=10el650;&14a3:9jed<72-8;h7o>;o03g?7532cjo7>5$32g>d75<#:9n1m<5a21a955=5<5<5<5<5<#:9n1><:4n32`>d=5<#:9n1><:4n32`>==5<#:9n1><:4n32`>3=5<#:9n1><:4n32`>1=6=4+21f964254o304>5<#:9n1><:4n32`>7=5<5<6=44o433>5<5<#:9n1><:4n32`>5=5<86=44o46b>5<5<t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zjk;1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0:1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj1o1<7?50;2x 7dd2;:27E=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj1i1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj1k1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj121<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj1<1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zjh91<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zjh:1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0o1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0i1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj021<7?50;2x 7dd2;:27E2.9t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0<1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0>1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj081<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zjl?1<7?50;2x 7dd2;:27Ea29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:aa2<7280;6=u+2ca965?<@;h97d5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82`>"21h0:h6sr}|9~f4>e29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55G2c`8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64b4<729q/>om521;8L7d53`8;57>5$3``>76>3-;mj74H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4=1:&6=d<592wvqp5rb4;4>5<6290;w)N5j;1b>=750;&1ff<5801/=kh54c9'65d=<^;hn6i:32;?S7?i38p(87m:338 0?f2;;0qpsr;|`7ag<7280;6=u+2ca965?<@;h97d5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~f`?=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg80e>"58k08m65rbdc94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~f4>c29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55G2c`8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64b4<729q/>om521;8L7d53`8;57>5$3``>76>3-;mj78k;%03f?0c32wi98j50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4=1:&6=d<592wvqp5rb0;2>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811C>ol4V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2;90(87n:318yx{z3th>>44?:083>5}#:ki1>=74H3`1?l4713:1(?ll:32:?!7an3927){e=;k1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn88i:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6??4$4;b>778983>4<729q/>om521;8L7d53`8;57>5$3``>76>3-;mj7:?;%03f?2732wi=5750;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4=1:&6=d<592wvqp5rb4;7>5<6290;w)N5j;1b>=750;&1ff<5801/=kh5499'65d=<110qo;65;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:338 0?f2;;0qpsr;|`643<7280;6=u+2ca965?<@;h97d?76sm51594?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~ft$3``>76>3A8i>6g=0883>!4ek38;56*>fg851>"58k0=965rbb194?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fd3=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg804>"58k08<65rbbf94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fd1=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg86e>"58k0>m65rbbg94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fd?=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg872>"58k0?:65rbbd94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fdd=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg81b>"58k09j65rbe294?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fdb=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg807>"58k08?65rbe394?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fd`=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg800>"58k08865rbe094?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~f01c290:6=4?{%0ag?4712B9n?5`21;94?"5jj09<45+1gd97f=#:9h1?n54}c74g?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74`<,<3j66;%3eb?4f3-8;n7t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6n2.>5l4>f:~yx=zj=in6=4>:183!4ek38;56F=b39l65?=83.9nn4=089'5c`=:01/>=l52898yg2dl3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28l0(87n:0d8yx{z3th?ih4?:083>5}#:ki1>=74H3`1?j4713:1(?ll:32:?!7an3?<7){e{zut1vn8>6:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64`4<729q/>om521;8L7d53f8;57>5$3``>76>3-;mj7;l;%03f?3d32wi94H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>f:&6=d<6n2wvqp5rb40`>5<6290;w)N5j;1d>=750;&1ff<5801/=kh5489'65d=<010qo;=b;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0d8 0?f28l0qpsr;|`637<7280;6=u+2ca965?<@;h97b5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82b>"21h0:j6sr}|9~f776290:6=4?{%0ag?4712B9n?5`21;94?"5jj09<45+1gd927=#:9h1:?54}c024?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74`<,<3j66;%3eb?5c3-8;n7=k;:a641=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6n2.>5l4>f:~yx=zj;;j6=4>:183!4ek38;56F=b39l65?=83.9nn4=089'5c`=>?1/>=l56798yg4613:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28l0(87n:0d8yx{z3th9=n4?:083>5}#:ki1>=74H3`1?j4713:1(?ll:32:?!7an39n7){e:8h1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn??j:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64`4<729q/>om521;8L7d53f8;57>5$3``>76>3-;mj7:>;%03f?2632wi>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>f:&6=d<6n2wvqp5rb301>5<6290;w)N5j;1d>=750;&1ff<5801/=kh5429'65d=<:10qo<=1;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0d8 0?f28l0qpsr;|`161<7280;6=u+2ca965?<@;h97b>76sm23194?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82b>"21h0:j6sr}|9~f741290:6=4?{%0ag?4712B9n?5`21;94?"5jj09<45+1gd902=#:9h18:54}c011?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74`<,<3j66;%3eb?2f3-8;n7:n;:a671=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6n2.>5l4>f:~yx=zj=no6=4>:183!4ek38;56F=b39l65?=83.9nn4=089'5c`=:l1/>=l52d98yg2ck3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28l0(87n:0d8yx{z3th>?:4?:083>5}#:ki1>=74H3`1?j4713:1(?ll:32:?!7an39:7){e=:<1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn887:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64`4<729q/>om521;8L7d53f8;57>5$3``>76>3-;mj78?;%03f?0732wi95m50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>f:&6=d<6n2wvqp5rb5`5>5<6290;w)N5j;1d>=750;&1ff<5801/=kh5519'65d==910qo:m5;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0d8 0?f28l0qpsr;|`654<7280;6=u+2ca965?<@;h97b5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82b>"21h0:j6sr}|9~f035290:6=4?{%0ag?4712B9n?5`21;94?"5jj09<45+1gd97==#:9h1?554}c765?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74`<,<3j66;%3eb?2d3-8;n7:l;:a643=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6n2.>5l4>f:~yx=zj;;86=4>:183!4ek38;56F=b39l65?=83.9nn4=089'5c`==l54d98yg46:3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28l0(87n:0d8yx{z3th?ni4?:083>5}#:ki1>=74H3`1?j4713:1(?ll:32:?!7an39i7){e{zut1vn8:;:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64`4<729q/>om521;8L7d53f8;57>5$3``>76>3-;mj7;m;%03f?3e32wi99o50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>f:&6=d<6n2wvqp5rb47e>5<6290;w)N5j;1d>=750;&1ff<5801/=kh53g9'65d=;o10qo;:e;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0d8 0?f28l0qpsr;|`7`3<7280;6=u+2ca965?<@;h97b5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82b>"21h0:j6sr}|9~f01a29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1=d=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5209'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>4l4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b5<7s-8io753;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4:2>5<6290;w)N5j;1d>=650;&1ff<58110qo;73;293?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3?<3:1=<4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`961=#=0k1>95r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;>0(87n:368yx{z3`;i=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n?5Y19c96~"21k0986*:9`810>{zut1b=o=50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`95<=#=0k1=45r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6<74$4;b>4??2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0>529086=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi95850;794?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:0g8 0?f28o0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6<2<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm59:94?5=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4:j0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?>?50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb215>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg55m3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn>5<7s-8io753;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm32094?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8?>4?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?;4$4;b>73=2\:4l4={%7:f?7b3-?2m7?j;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb217>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e;;n1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6e=<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e=hl1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn8l9:180>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;;0(87n:338yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1g3=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm5`c94?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn8ol:180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6ea<72=0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2il0;644?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=i5+58c95a=zutw0e4g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82`>"21h0:h6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3fj3:187>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3e83:1=<4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;h3a6?6=,;hh6<7<;I0af>P5jl0:wAb39U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c194?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673>2\:4l4={%7:f?423-?2m7<:;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0;8 0?f2830qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5o4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=g=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;m1;2954<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`95`=#=0k1=h5r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m673{zut1b=o?50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e2;?0(87n:378yx{z3`;i>7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j;1]=5o52z&6=g<5=2.>5l4=5:~yx=n9k91<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d43_;3m773<,<3j6?;4}|~?l7>>3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c811>"21h0996sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1>85+58c960=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m773<,<3j6?;4}|~?l7>13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T29`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<612.>5l4>9:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>n?4?:0394?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;h3a6?6=,;hh6<7<;I0af>P5jl0:wAb39U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c194?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`960=#=0k1>85r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i6?;4$4;b>7312\:4l4={%7:f?423-?2m7<:;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>9:&6=d<612wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6f6<72=0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`04g<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e;881<7850;2x 7dd283;7E4?:%0ag?7>;2\9nh4>{M0`4?7|^8ln6?u+21d95<5<^82j6?u+58`95<=#=0k1=45r}|8m`4=83.9nn4j2:T1f`<6sE8h<7?tV0df>7}#:9l1i?5Y19c96~"21k0:56*:9`82=>{zut1bi>4?:%0ag?c43_8ii74}Q9oo1>v*=0g8f7>P60h09w);6b;3a?!3>i3;i7psrL3`e>1}Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2n6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5l5r$737>76v*:988f6>"4;>0>5o5r$737>4gom528`8R7db2;qG>n>51zT2b`<5s-8;j7<6b:T27?e3-98;7;6b:'242=:<1vqp5f28694?"5jj09595Y2cg96~J5k90:w[?ie;0x 76a2;3?7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/94752868 6502<3i7p*915811>{zu2e9<54?:%0ag?47021vn>>l:180>5<7s-8io71<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj::o6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg57n3:1?7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg5683:157>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m772<,<3j6?:4}|~?l7fn3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c810>"21h0986sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:368 0?f2;>0qpsr;h3a5?6=,;hh6<7<;I0af>P5jl0:wAb09U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb5:f>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2>i3:1:7>50z&1ff<6191C>o<4$0`7>71P60h09w);6b;3:?!3>i3;27psr}:kf6?6=,;hh6h<4V3`f>4}K:j:1=vX>fd81!47n3o97[?7a;0x 0?e2830(87n:0;8yx{z3`o86=4+2ca9a6=Q:ko1>vB=c182S7am38p(?>i:d18R4>f2;q/94l51c9'1k39pZhj52z&6=<544>929'761==0h0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/94751818 6502<3j7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:d08 6502<3i7p*91582e>{Q:9o1>vXjd;0x 0?>2l90(>=8:4;a?x"19=09:6sr}:k1=g<72-8io7<6b:T1f`<5sE8h<7?tV0df>7}#:9l1>4l4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=g=#;:=194l4}%420?423twv7d<64;29 7dd2;3?7[5l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<64:&072<21k1v(;?;:378yx{<3th?4k4?:283>5}#:ki1>o74H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3190;6<4?:1y'6ge=:j90D?l=;n037;:a0<4=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'12?7>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm48694?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?584?:583>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1<729q/>om5829K6g4<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95a=#=0k1=i5r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn978:187>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb5;;>5<3290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f1?629036=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82=>"21h0:56sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1=45+58c95<=zutw0e4ga3_;3m74?<,<3j6<74}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjm?1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vnih50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`ga?6=>3:1om51818R7db28qG>n>51zT2b`<5s-8;j7?63:T23twvq6gj2;29 7dd2l80Z?lj:0yO6f6=9r\:jh4={%03b?c53_;3m74?<,<3j6<74}|~?lc4290/>om5e29U6gc=:rF9o=4>{W3ea?4|,;:m6h=4V0:b>7}#=0h1=o5+58c95g=zutF9nk4;{W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031=4=4$214>0?f3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326h<4$214>0?e3t.==94>a:U65c=:r\nh7`5<,:9<687m;|&551<5>2wvq6g=9c83>!4ek382n6X=bd81I4d83;pZ544=9c9'761==0h0q)8>4;06?x{z3`8287>5$3``>7?33_8ii74}Q9oo1>v*=0g81=1=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>138286*<3686=g=z,?;?6?;4}|8k76?290/>om521:8?xdc>3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vni950;394?6|,;hh6?m<;I0a6>i5810;6)=zjm31<7:50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wihl4?:683>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a`g<7210;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)P5jl0:wAb19U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5<2.>5l4=4:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3tho47>55;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2g7<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e9jk1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;;0(87n:338yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5f`=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj8i86=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm1b694?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2g2<72?0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6l2.>5l4>d:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5f>=83<1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28n0(87n:0f8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0986*:9`810>{zut1b=lk50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?c883>2<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82`>"21h0:h6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>95+58c961=zutw0e4gb3_;3m772<,<3j6?:4}|~?l7fn3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8i>6=4::183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6kj0;644?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=nj50;194?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2a2<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e9o>1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`964=#=0k1><5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?f283>3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a5`>=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:i44?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e9lh1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?jc;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5`b=83<1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8on6=49:183!4ek3287E=h51868R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?jf;29=?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6l2.>5l4>d:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m772<,<3j6?:4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c810>"21h0986sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:368 0?f2;>0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2b5<7200;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`961=#=0k1>95r}|8m4d6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6?:4$4;b>723-?2m7?6;|~y>{e9o;1<7750;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`961=#=0k1>95r}|8m4d6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6?:4$4;b>723-?2m7?6;|~y>{e9lk1<7650;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6il0;6)P5jl0:wAad9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb200>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg55j3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;02?!3>i38:7psr}:m14=<72-8io7{zut1vn>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a77?=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj:;n6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm30d94?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn><>:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28n0(87n:0f8yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<6l2.>5l4>d:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm33094?1=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=i5+58c95a=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mk4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo==0;297?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f643290=6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m773<,<3j6?;4}|~?l7f83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?d083>7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5a?=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:328yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj8n86=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm1e694?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b3-?2m7?6;|~y>{e9m=1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2`0<72:0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f4`229096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<582w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl>f683>6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg7a03:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`2bd<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?ib;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8l26=4<:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm1g494?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th95h4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm2`594?5=83:p(?ll:3`:?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1><5+58c964=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5i?0;6;4?:1y'6ge=90:0D?l=;%3a0?403`;2?7>5$3``>4?43_8ii7?tL3a3>4}Q9oo1>v*=0g82=6=Q91k1>v*:9c82=>"21h0:56sr}|9ja7<72-8io7k=;W0aa?7|D;i;66X>8`81!3>j3;27);6a;3:?x{zu2cn?7>5$3``>`5<^;hn6?uC2b295~P6nl09w)9558`8yScb2;q/94751818 6502<3i7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2m6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;g1?!54?3?2n6s+60695d=z^;:n6?uYee81!3>13o87)=<7;7:f>{#>8>1>;5r}|9j6{W3ea?4|,;:m6?7m;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96!4ek38286X=bd81I4d83;pZ0Z<6n:3y'1544=959'761==0h0q)8>4;06?x{z3f8;47>5$3``>76?32wi>4h50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1e5<7280;6=u+2ca96f5<@;h97b5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a6d5=83;:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`961=#=0k1>95r}|8m4ga290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m1:T2b383>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o<4V0:b>7}#=0h1>95+58c961=zutw0e4d43_;3m74?<,<3j6<74}|~?l7>>3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?66:T23twvq6g>9683>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61>1]=5o52z&6=g<612.>5l4>9:~yx=n9021<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518:8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28327[?7a;0x 0?e2830(87n:0;8yx{z3`;2m7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=g<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5o5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4f<3:1=<4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=o<50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e2;>0(87n:368yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:56*:9`82=>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95<=#=0k1=45r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i6<74$4;b>4?12\:4l4={%7:f?7>3-?2m7?6;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>9:&6=d<612wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1e4<72=0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1e=<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e:k;1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`964=#=0k1><5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a6d?=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9ml4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e:hi1<7:50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>lj50;32>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1o6j;0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`961=#=0k1>95r}|8m4d4290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0986*:9`810>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95<=#=0k1=45r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i6<74$4;b>4?12\:4l4={%7:f?7>3-?2m7?6;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>9:&6=d<612wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1e`<728;1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1o6j:0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`961=#=0k1>95r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?:4$4;b>72?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f7ge290?6=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f67429096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?463-?2m7<>;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb23a>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg56<3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn>?::182>5<7s-8io754;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=>8;2954<729q/>om5829K6g4<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1o6j:0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`961=#=0k1>95r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6<74$4;b>4??2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f67>290:=7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2b183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o>4V0:b>7}#=0h1>95+58c961=zutw0e:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:n?4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k80Z<6n:3y'1o61?0;6)P5jl0:wA979U5=g=:r.>5o4>9:&6=d<612wvqp5f18594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90=0Z<6n:3y'1i:0;;?S7?i38p(87m:0;8 0?f2830qpsr;h3:=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5l4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=d=Q91k1>v*:9c82=>"21h0:56sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4l4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd49?0;694?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4;l0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?9;50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb26b>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg54n3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'17:18'6ge=:9207pl<4383>6<729q/>om5829K6g4i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0f8 0?f28n0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'154;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'154;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=;7;292?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m74c<,<3j6!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:>36=4<:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm35`94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f635290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg53l3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`00c<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=:0;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=;e;297?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f63429096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1n7>56;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl<5583>6<729q/>om52c;8L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8984?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e;<=1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`01=<72?0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e;<31<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg52>3:187>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg52k3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8::4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?8k50;394?6|,;hh6?m<;I0a6>i5810;6)=zj:<;6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>54;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`026<72?0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=i5+58c95a=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`021<728;1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0f8 0?f28n0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mk4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o>4V0:b>7}#=0h1=i5+58c95a=zutw0e:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:n?4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k80Z<6n:3y'1o61?0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`961=#=0k1>95r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6<74$4;b>4?02\:4l4={%7:f?7>3-?2m7?6;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>9:&6=d<612wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?;;50;32>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=i5+58c95a=zutw0e4d73_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c810>"21h0986sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:0f8 0?f28n0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?:4$4;b>72?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f63a290<6=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82=>"21h0:56sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1=45+58c95<=zutw0e4ga3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm37:94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f614290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg51i3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`02f<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74b<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6l2.>5l4>d:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28n0(87n:0f8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28n0(87n:0f8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:h6*:9`82`>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95a=#=0k1=i5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64b12\:4l4={%7:f?7c3-?2m7?k;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18`94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6?:4$4;b>72{zut1b=4j50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283o7[?7a;0x 0?e2;>0(87n:368yx{z3`;2i7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61l1]=5o52z&6=g<5<2.>5l4=4:~yx=n90l1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518d8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k:7[?7a;0x 0?e2830(87n:0;8yx{z3`;j>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0:56*:9`82=>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4>l0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=i5+58c95a=zutw0e4ge3_;3m74b<,<3j6ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6l2.>5l4>d:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m1:T2b383>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o<4V0:b>7}#=0h1=i5+58c95a=zutw0e4d43_;3m74b<,<3j6>3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?66:T29683>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61>1]=5o52z&6=g<6l2.>5l4>d:~yx=n9021<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518:8R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28327[?7a;0x 0?e28n0(87n:0f8yx{z3`;2m7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=g<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5o5Y19c96~"21k0986*:9`810>{zut1b=4m50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283h7[?7a;0x 0?e28n0(87n:0f8yx{z3`;2h7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61m1]=5o52z&6=g<5<2.>5l4=4:~yx=n90o1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?b3_;3m772<,<3j6?:4}|~?l7>n3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6f:T23twvq6g>a083>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i81]=5o52z&6=g<612.>5l4>9:~yx=n9h81<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`08R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k87[?7a;0x 0?e2830(87n:0;8yx{z3`;j87>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m85Y19c96~"21k0:56*:9`82=>{zut1b=l850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d0<^82j6?u+58`95<=#=0k1=45r}|8m4g0290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i00;6)P5jl0:wAa89U5=g=:r.>5o4>9:&6=d<612wvqp5f1`c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hk0Z<6n:3y'1i:0c`?S7?i38p(87m:0;8 0?f2830qpsr;h3b`?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f60a2909=7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1o6io0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`95a=#=0k1=i5r}|8m4d7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28n0(87n:0f8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:h6*:9`82`>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95a=#=0k1=i5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64b12\:4l4={%7:f?7c3-?2m7?k;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18`94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6?:4$4;b>72{zut1b=4j50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283o7[?7a;0x 0?e2;>0(87n:368yx{z3`;2i7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61l1]=5o52z&6=g<5<2.>5l4=4:~yx=n90l1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518d8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k:7[?7a;0x 0?e2830(87n:0;8yx{z3`;j>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0:56*:9`82=>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4?90;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95a=#=0k1=i5r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2f7<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e28n0(87n:0f8yx{z3`;2:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0:h6*:9`82`>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95a=#=0k1=i5r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i64bi2\:4l4={%7:f?7c3-?2m7?k;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18a94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i64b{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;>0(87n:368yx{z3`;2j7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m<5Y19c96~"21k0:56*:9`82=>{zut1b=l<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`95<=#=0k1=45r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:=:6=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82`>"21h0:h6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=i5+58c95a=zutw0e8`81!3>j3;o7);6a;3g?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1o6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`95a=#=0k1=i5r}|8m4d5290/>om51818R7db28qG>n>51zTfb?4|,;:m64bo61?0;6)P5jl0:wA979U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90=0Z<6n:3y'1i:0;;?S7?i38p(87m:0f8 0?f28n0qpsr;h3:=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:5l4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=d=Q91k1>v*:9c82`>"21h0:h6sr}|9j5929K6gd<^;hn6i:0;a?S7?i38p(87m:368 0?f2;>0qpsr;h3:g?6=,;hh6<7<;I0af>P5jl0:wA9b9U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18f94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7k;W3;e?4|,<3i6?:4$4;b>72{zut1b=4h50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<`<^82j6?u+58`95<=#=0k1=45r}|8m4g6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6i:0;6)P5jl0:wAa29U5=g=:r.>5o4>9:&6=d<612wvqp5f1`694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0c6?S7?i38p(87m:0;8 0?f2830qpsr;h3b2?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m:4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e2=Q91k1>v*:9c82=>"21h0:56sr}|9j5d>=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l64V0:b>7}#=0h1=45+58c95<=zutw0e4g>3_;3m74?<,<3j6<74}|~?l7fi3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?na:T23twvq6g>ab83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ij1]=5o52z&6=g<612.>5l4>9:~yx=n9hn1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`f8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8:o4?:683>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg50<3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th84=4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4??0;6<4?:1y'6ge=:j90D?l=;n037;:a72>=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm36c94?2=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a72d=83>1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e;>i1<7:50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?:j50;694?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0e4g73_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm36g94?2=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:=<6=47:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2830(87n:0;8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9;n:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1om51818R7db28qG>n>51zT2b`<5s-8;j7?63:T23twvq6gj2;29 7dd2l80Z?lj:0yO6f6=9r\:jh4={%03b?c53_;3m74?<,<3j6<74}|~?lc4290/>om5e29U6gc=:rF9o=4>{W3ea?4|,;:m6h=4V0:b>7}#=0h1=o5+58c95g=zutF9nk4;{W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031=4=4$214>0?f3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326h<4$214>0?e3t.==94=0:U65c=:r\nh7`5<,:9<687m;|&551<5>2wvq6g=9c83>!4ek382n6X=bd81I4d83;pZ544=9c9'761==0h0q)8>4;06?x{z3`8287>5$3``>7?33_8ii74}Q9oo1>v*=0g81=1=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>138286*<3686=g=z,?;?6?;4}|8k76?290/>om521:8?xd3=j0;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo::d;295?6=8r.9nn4=c29K6g4<3th?9h4?:283>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?9o4?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb542>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg21m3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;02?!3>i38:7psr}:m14=<72-8io7{zut1vn98k:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c656?6=;3:18`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:93;295?6=8r.9nn4=c29K6g4<3th?:84?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb545>5<4290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb544>5<4290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3>10;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn986:180>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:m14=<72-8io7{zut1vn98n:180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`72g<72=0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8;:50;:94?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82=>"21h0:56sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=45+58c95<=zutw0e4d73_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm47d94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f11>29086=4?{%0ag?4e12B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:338 0?f2;;0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8:650;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb553>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e<>;1<7?50;2x 7dd2;i87E43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj==?6=48:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn99::18;>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;>0(87n:368yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5<2.>5l4=4:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj===6=47:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5<2.>5l4=4:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm46094?3=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0e4g73_;3m74?<,<3j6<74}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj==j6=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:70;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=09<6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`73f<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd3?l0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=i5+58c95a=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3?k0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn8m;:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2l80;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c815>"21h09=6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;k0;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`6g0<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd2k10;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn8m6:187>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4ab>5<693:1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28n0(87n:0f8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`961=#=0k1>95r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m672{zut1b=o>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;>0(87n:368yx{z3`;i=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n?5Y19c96~"21k0986*:9`810>{zut1b=o=50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`961=#=0k1>95r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6<74$4;b>4??2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0ee290:=7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1i:0`2?S7?i38p(87m:368 0?f2;>0qpsr;h3a6?6=,;hh6<7<;W0aa?7|D;i;66X>8`81!3>j38?7);6a;07?x{zu2c:n>4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f6=Q91k1>v*:9c810>"21h0986sr}|9j5<0=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=45+58c95<=zutw0e<78:18'6ge=9090Z?lj:0yO6f6=9r\nj74?03_;3m74?<,<3j6<74}|~?l7>03:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?68:T23twvq6g>9883>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<612.>5l4>9:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283i7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1fe=83;:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c810>"21h0986sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:0f8 0?f28n0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1o6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`961=#=0k1>95r}|8m4d5290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f6<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c18R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283=7[?7a;0x 0?e2;>0(87n:368yx{z3`;2;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2==<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:555Y19c96~"21k0986*:9`810>{zut1b=4750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7n;W3;e?4|,<3i6<74$4;b>4?j2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4a4>5<1290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6g`<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=l<6=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;?4;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`646<72?0;6=u+2ca95<6<@;h97)?m4;04?l7>;3:1(?ll:0;0?S4em3;p@?m?:0yU5cc=:r.9929U5=g=:r.>5o4>9:&6=d<612wvqp5fe383>!4ek3o97[3-?2m7?6;|~y>ob;3:1(?ll:d18R7db2;qG>n>51zT2b`<5s-8;j7k<;W3;e?4|,<3i64doh54zT1=f<4s_oo6?u+58;9a6=#;:=194l4}Wgf>7}#=031=4=4$214>0?e3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>i2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257k=;%103?3>j2w/:<:51`9~R76b2;q]ii4={%7:=?c43-98;7;6b:'242=:?1vqp5f28`94?"5jj095o5Y2cg96~J5k90:w[?ie;0x 76a2;3i7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/947528`8 6502<3i7p*915811>{zu2c9594?:%0ag?4><2\9nh4={M0`4?7|^8ln6?u+21d96<2<^82j6?u+58`960=#=0k1>85r}|N1fc<6s_8i97{e{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4<729q/>om52b18L7d53f8;47>5$3``>76?32wi8kl50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e8`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7b`<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=lm6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f06629086=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm4gc94?>=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0e4g73_;3m74?<,<3j6<74}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T23twvq6g>ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<612.>5l4>9:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1a`=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj1b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo;j0;297?6=8r.9nn4=b89K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0c6290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c7f7?6=;3:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e=l>1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;j5;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0c?290<6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6a<<7210;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:368 0?f2;>0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0c529036=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82=>"21h0:56sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1=45+58c95<=zutw0e4ga3_;3m74?<,<3j6<74}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo8?5;297?6=8r.9nn4=b89K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38:7);6a;02?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f363290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3ai3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`6bf<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'154;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`545<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'155;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb721>5<2290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e=oh1<7650;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6il0;6)P5jl0:wAad9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi9hm50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4d4>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6??4$4;b>773-?2m7?6;|~y>{e=o<1<7850;2x 7dd283;7E4?:%0ag?7>;2\9nh4>{M0`4?7|^8ln6?u+21d95<5<^82j6?u+58`95<=#=0k1=45r}|8m`4=83.9nn4j2:T1f`<6sE8h<7?tV0df>7}#:9l1i?5Y19c96~"21k0:56*:9`82=>{zut1bi>4?:%0ag?c43_8ii74}Q9oo1>v*=0g8f7>P60h09w);6b;3a?!3>i3;i7psrL3`e>1}Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2n6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5l5r$737>76v*:988f6>"4;>0>5o5r$737>4gom528`8R7db2;qG>n>51zT2b`<5s-8;j7<6b:T27?e3-98;7;6b:'242=:<1vqp5f28694?"5jj09595Y2cg96~J5k90:w[?ie;0x 76a2;3?7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/94752868 6502<3i7p*915811>{zu2e9<54?:%0ag?47021vn8kk:180>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1`c=83;1<7>t$3``>7e43A8i>6a=0983>!4ek38;465rb4d3>5<4290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c810>"21h0986sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2n80;644?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=i5+58c95a=zutw0e4g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82`>"21h0:h6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3a:3:157>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m672{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;>0(87n:368yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5<2.>5l4=4:~yx=n9k;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d63_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm5g194?76290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1o6io0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`961=#=0k1>95r}|8m4d7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m2:T2b283>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j:1]=5o52z&6=g<612.>5l4>9:~yx=n90<1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51848R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283<7[?7a;0x 0?e2830(87n:0;8yx{z3`;247>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=<<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:545Y19c96~"21k0:56*:9`82=>{zut1b=4o50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e=o>1<7?>:183!4ek3287E=h51868R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28n0(87n:0f8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5<2.>5l4=4:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m772<,<3j6?:4}|~?l7e93:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c810>"21h0986sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:368 0?f2;>0qpsr;h3a7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82=>"21h0:56sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=45+58c95<=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74?<,<3j6<74}|~?l7>13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T23twvq6g>9`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<612.>5l4>9:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>ik4?:783>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn;>9:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2;%7:e?463twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj?;96=49:183!4ek3;2<6F=b39'5g2=:>1b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo8?7;297?6=8r.9nn4=b89K6g4<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd18h0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn;>m:180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`54f<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)P5jl0:wAad9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a246=83<1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj?:26=47:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2830(87n:0;8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9o7:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1om51818R7db28qG>n>51zT2b`<5s-8;j7?63:T23twvq6gj2;29 7dd2l80Z?lj:0yO6f6=9r\:jh4={%03b?c53_;3m74?<,<3j6<74}|~?lc4290/>om5e29U6gc=:rF9o=4>{W3ea?4|,;:m6h=4V0:b>7}#=0h1=o5+58c95g=zutF9nk4;{W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031=4=4$214>0?f3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326h<4$214>0?e3t.==94>a:U65c=:r\nh7`5<,:9<687m;|&551<5>2wvq6g=9c83>!4ek382n6X=bd81I4d83;pZ544=9c9'761==0h0q)8>4;06?x{z3`8287>5$3``>7?33_8ii74}Q9oo1>v*=0g81=1=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>138286*<3686=g=z,?;?6?;4}|8k76?290/>om521:8?xd3i00;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7ed<7280;6=u+2ca96f5<@;h97b5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2fl3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8lk50;694?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?mk4?:583>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0986*:9`810>{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a0g6=83?1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0986*:9`810>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2e93:1:7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?n?4?:783>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?mo4?:983>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:56*:9`82=>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a7db=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5209'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8mn4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?l850;394?6|,;hh6?m<;I0a6>i5810;6)=zj:k36=4;:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn>o6:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e4ga3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm3`c94?1=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5<2.>5l4=4:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?l7f83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c810>"21h0986sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:368 0?f2;>0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`d94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e;h=1<7:50;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e;ho1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn>l9:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c1bb?6=;3:18`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=m0;295?6=8r.9nn4=c29K6g4<3th8n?4?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2`0>5<4290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2`7>5<4290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?o?50;694?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8=;50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb52e>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg27>3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'17:18'6ge=:9207pl;0883>6<729q/>om5829K6g4<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1<729q/>om5829K6g4i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`74g<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm41a94?3=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn9>k:186>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1;47>56;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?o950;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2a2>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg5e03:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'17:18'6ge=:9207pl6<729q/>om5829K6g4<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'154;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:hm6=4;:183!4ek3287E=h51868R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a0t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=3m6=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:n7;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`7=f<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'12h7>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd31l0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9o?:185>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3i;0;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3i:0;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3i=0;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a7f4=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:ii6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m777<,<3j6??4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm3bc94?0=83:p(?ll:0;3?M4e:2.:n94=7:k2=6<72-8io7?63:T1f`<6sE8h<7?tV0df>7}#:9l1=4=4V0:b>7}#=0h1=45+58c95<=zutw0eh<50;&1ff{M0`4?7|^8ln6?u+21d9a7=Q91k1>v*:9c82=>"21h0:56sr}|9ja6<72-8io7k<;W0aa?4|D;i;68`81!3>j3;i7);6a;3a?x{zD;hm69uY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:f>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=d=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100n>6*<3686=g=z,?;?6v*:988f7>"4;>0>5o5r$737>70j2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7m;%103?3>j2w/:<:5249~yx=n:0>1<7*=bb81=1=Q:ko1>vB=c182S7am38p(?>i:3;7?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'10(>=8:4;a?x"19=0996sr}:m14=<72-8io75Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?n:50;394?6|,;hh6?m<;I0a6>i5810;6)=zj:i=6=4;:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn>m8:186>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6e?290>6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5<2.>5l4=4:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4l?0;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c815>"21h09=6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=k5;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`0ga<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd4l90;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8h<4?:783>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?i<50;494?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;>0(87n:368yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;>0(87n:368yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn>mi:186>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2683:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?=84?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd39;0;6<4?:1y'6ge=:j90D?l=;n037;:a045=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1::7>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a041=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?=54?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e<831<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:>c;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`764<72?0;6=u+2ca95<6<@;h97)?m4;04?l7>;3:1(?ll:0;0?S4em3;p@?m?:0yU5cc=:r.9929U5=g=:r.>5o4>9:&6=d<612wvqp5fe383>!4ek3o97[3-?2m7?6;|~y>ob;3:1(?ll:d18R7db2;qG>n>51zT2b`<5s-8;j7k<;W3;e?4|,<3i64doh54zT1=f<4s_oo6?u+58;9a6=#;:=194l4}Wgf>7}#=031=4=4$214>0?e3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>i2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257k=;%103?3>j2w/:<:51`9~R76b2;q]ii4={%7:=?c43-98;7;6b:'242=:?1vqp5f28`94?"5jj095o5Y2cg96~J5k90:w[?ie;0x 76a2;3i7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/947528`8 6502<3i7p*915811>{zu2c9594?:%0ag?4><2\9nh4={M0`4?7|^8ln6?u+21d96<2<^82j6?u+58`960=#=0k1>85r}|N1fc<6s_8i97{e<8n1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4<729q/>om52b18L7d53f8;47>5$3``>76?32wi8<3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3:>0;6;4?:1y'6ge=90:0D?l=;%3a0?403`;2?7>5$3``>4?43_8ii7?tL3a3>4}Q9oo1>v*=0g82=6=Q91k1>v*:9c82=>"21h0:56sr}|9ja7<72-8io7k=;W0aa?7|D;i;66X>8`81!3>j3;27);6a;3:?x{zu2cn?7>5$3``>`5<^;hn6?uC2b295~P6nl09w)9558`8yScb2;q/94751818 6502<3i7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2m6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;g1?!54?3?2n6s+60695d=z^;:n6?uYee81!3>13o87)=<7;7:f>{#>8>1>;5r}|9j6{W3ea?4|,;:m6?7m;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96!4ek38286X=bd81I4d83;pZ0Z<6n:3y'1544=959'761==0h0q)8>4;06?x{z3f8;47>5$3``>76?32wi8?=50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`761<7280;6=u+2ca96f5<@;h97b5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a6f2=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;ij6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m777<,<3j6??4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm2b;94?0=83:p(?ll:0;3?M4e:2.:n94=7:k2=6<72-8io7?63:T1f`<6sE8h<7?tV0df>7}#:9l1=4=4V0:b>7}#=0h1=45+58c95<=zutw0eh<50;&1ff{M0`4?7|^8ln6?u+21d9a7=Q91k1>v*:9c82=>"21h0:56sr}|9ja6<72-8io7k<;W0aa?4|D;i;68`81!3>j3;i7);6a;3a?x{zD;hm69uY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:f>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=d=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100n>6*<3686=g=z,?;?6v*:988f7>"4;>0>5o5r$737>70j2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7m;%103?3>j2w/:<:5249~yx=n:0>1<7*=bb81=1=Q:ko1>vB=c182S7am38p(?>i:3;7?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'10(>=8:4;a?x"19=0996sr}:m14=<72-8io75Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>n850;394?6|,;hh6?m<;I0a6>i5810;6)=zj;i<6=4>:183!4ek38;:6F=b39l65>=83.9nn4=0998yg4b?3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9io4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm2dd94?5=83:p(?ll:3`:?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1><5+58c964=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5ml0;6;4?:1y'6ge=90:0D?l=;%3a0?403`;2?7>5$3``>4?43_8ii7?tL3a3>4}Q9oo1>v*=0g82=6=Q91k1>v*:9c82=>"21h0:56sr}|9ja7<72-8io7k=;W0aa?7|D;i;66X>8`81!3>j3;27);6a;3:?x{zu2cn?7>5$3``>`5<^;hn6?uC2b295~P6nl09w)9558`8yScb2;q/94751818 6502<3i7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2m6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;g1?!54?3?2n6s+60695d=z^;:n6?uYee81!3>13o87)=<7;7:f>{#>8>1>;5r}|9j6{W3ea?4|,;:m6?7m;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96!4ek38286X=bd81I4d83;pZ0Z<6n:3y'1544=959'761==0h0q)8>4;06?x{z3f8;47>5$3``>76?32wi>h650;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1a<<7280;6=u+2ca96f5<@;h97b5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0986*:9`810>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m672i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3g`>5<2290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:378 0?f2;?0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1b1<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e:on1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`964=#=0k1><5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a6c7=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9j?4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e:o91<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3d5>5<0290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1o6io0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?2<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`d94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>{e:o21<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?;4$4;b>73o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9j44?:983>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0996*:9`811>{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a01c=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=?96=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo::9;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`70c<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1><7>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd3=80;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn9;<:1825?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0:i6*:9`82a>{zut1b=lk50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`95`=#=0k1=h5r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`960=#=0k1>85r}|8m4d5290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f6<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c18R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?66:T29683>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1>85+58c960=zutw0e<77:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:544?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=<=Q91k1>v*:9c811>"21h0996sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4o4V0:b>7}#=0h1>85+58c960=zutw0e<7m:18'6ge=9090Z?lj:0yO6f6=9r\nj74?e3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm44694?76290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<6m2.>5l4>e:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=n9k81<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m3:T29783>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1>85+58c960=zutw0e<78:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:554?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:378 0?f2;?0qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:5o4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=g=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo::5;2954<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=h5+58c95`=zutw0e4gb3_;3m74c<,<3j6b183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<6m2.>5l4>e:~yx=n9k;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d63_;3m773<,<3j6?;4}|~?l7e:3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g5=83.9nn4>929K6gd<^;hn6i:0`0?S7?i38p(87m:0g8 0?f28o0qpsr;h3:2?6=,;hh6<7<;I0af>P5jl0:wA979U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18594?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6?;4$4;b>73{zut1b=4750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?f290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7n;W3;e?4|,<3i6?;4$4;b>73j2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb575>5<693:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:0g8 0?f28o0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1i:0`1?S7?i38p(87m:378 0?f2;?0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?;4$4;b>73{zut1b=4650;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2;?0(87n:378yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0996*:9`811>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?0<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e:jh1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn?j>:180>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;;0(87n:338yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a6a6=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj;ih6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm2bf94?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn?mj:180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1`7<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e:m21<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`964=#=0k1><5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a6a5=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9h94?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e:m?1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1`c<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=1:&6=d<592wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl=d`83>6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4cj3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`1`f<72=0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5m?0;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c815>"21h09=6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`1a4<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd5m:0;684?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a7ad=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:o96=49:183!4ek3;2<6F=b39'5g2=:>1b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo=k8;297?6=8r.9nn4=b89K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6b>290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c1ge?6=?3:1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6bd290=6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6bc290=6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6bb290<6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0g8 0?f28o0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`0`c<72>0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m773<,<3j6?;4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c811>"21h0996sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4m90;684?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0e4ge3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm3dd94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6`3290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2d2>5<6290;w)N5j;1d>=650;&1ff<58110qo=i2;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a07>=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=8m6=49:183!4ek3;2<6F=b39'5g2=:11b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo:=9;297?6=8r.9nn4=b89K6g4<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'19m7>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd3:j0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3:m0;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm43`94?5=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3080;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi85j50;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb5:1>5<4290;w)N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn96<:182>5<7s-8io7397>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm49494?5=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5<2.>5l4=4:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm49594?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2?03:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi85750;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi85o50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd30k0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd30=0;654?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0e4ge3_;3m74?<,<3j6<74}|~?l7fm3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?ne:T23twvq6g>ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<612.>5l4>9:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>5n4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm5`294?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0g0290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3>m3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`6=c<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;n1;290?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2i;0;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74c<,<3j63twvq6sm5`194?2=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=h51868R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:n84?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm1b394?0=83:p(?ll:0;3?M4e:2.:n94=7:k2=6<72-8io7?63:T1f`<6sE8h<7?tV0df>7}#:9l1=4=4V0:b>7}#=0h1=45+58c95<=zutw0eh<50;&1ff{M0`4?7|^8ln6?u+21d9a7=Q91k1>v*:9c82=>"21h0:56sr}|9ja6<72-8io7k<;W0aa?4|D;i;68`81!3>j3;i7);6a;3a?x{zD;hm69uY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:f>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=d=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100n>6*<3686=g=z,?;?6v*:988f7>"4;>0>5o5r$737>70j2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7m;%103?3>j2w/:<:5249~yx=n:0>1<7*=bb81=1=Q:ko1>vB=c182S7am38p(?>i:3;7?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'10(>=8:4;a?x"19=0996sr}:m14=<72-8io75G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb0`4>5<6290;w)N5j;1d>=650;&1ff<58110qo?m9;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5gg=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'158;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?l7f83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c810>"21h0986sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:368 0?f2;>0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`d94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg7em3:147>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;>0(87n:368yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`961=#=0k1>95r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6j10;654?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0e4ge3_;3m74?<,<3j6<74}|~?l7fm3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?ne:T23twvq6g>ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<612.>5l4>9:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9jh4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm31094?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f66f29086=4?{%0ag?4e12B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:338 0?f2;;0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?=750;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb3de>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e;9:1<7?50;2x 7dd2;i87E43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5<2.>5l4=4:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0986*:9`810>{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`961=#=0k1>95r}|8m4d7290/>om51818R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e;991<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg57<3:147>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;?0(87n:378yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj::=6=46:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0996*:9`811>{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2f5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n=5Y19c96~"21k0996*:9`811>{zut1b=o?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?0<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e;l91<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn>k7:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c1f0?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:o>6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg5b>3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>4;50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3;b>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg4>>3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?78:182>5<7s-8io753;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6c>29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg5bj3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`0af<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:li6=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:?4;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`0bf<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd4no0;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28n0(87n:0f8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2783:197>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:?1;291?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0986*:9`810>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg27:3:197>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=ie;291?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82=>"21h0:56sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3;j0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi89?50;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb51g>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e<:o1<7?50;2x 7dd2;i87E43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f12529096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1?;7>56;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl;4283>6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg23<3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`700<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`5a?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd0=3:1:7>50z&1ff<6191C>o<4$0`7>71P60h09w);6b;3:?!3>i3;27psr}:kf6?6=,;hh6h<4V3`f>4}K:j:1=vX>fd81!47n3o97[?7a;0x 0?e2830(87n:0;8yx{z3`o86=4+2ca9a6=Q:ko1>vB=c182S7am38p(?>i:d18R4>f2;q/94l51c9'1k39pZhj52z&6=<544>929'761==0h0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/94751818 6502<3j7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:d08 6502<3i7p*91582e>{Q:9o1>vXjd;0x 0?>2l90(>=8:4;a?x"19=09:6sr}:k1=g<72-8io7<6b:T1f`<5sE8h<7?tV0df>7}#:9l1>4l4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=g=#;:=194l4}%420?423twv7d<64;29 7dd2;3?7[5l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<64:&072<21k1v(;?;:378yx{<3th=j7>53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg17290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c51>5<0290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj>91<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;>0(87n:368yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5<2.>5l4=4:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm7083>6<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg1129096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo6>:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c54>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e?10;6<4?:1y'6ge=:j90D?l=;n037;:a3<<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo9m:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm7b83>2<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'15<0290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0g8 0?f28o0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m773<,<3j6?;4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c811>"21h0996sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd0n3:197>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?d`83>7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5`0=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj8ni6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f4bd290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c3ga?6=;3:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e9ml1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?j0;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8o:6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?e383>1<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2a6<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'155;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=ij50;:94?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82=>"21h0:56sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=45+58c95<=zutw0e4d73_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm32:94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f65c290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb21b>5<6290;w)N5j;1d>=650;&1ff<58110qo=1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=7c;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`0=4<72?0;6=u+2ca95<6<@;h97)?m4;04?l7>;3:1(?ll:0;0?S4em3;p@?m?:0yU5cc=:r.9929U5=g=:r.>5o4>9:&6=d<612wvqp5fe383>!4ek3o97[3-?2m7?6;|~y>ob;3:1(?ll:d18R7db2;qG>n>51zT2b`<5s-8;j7k<;W3;e?4|,<3i64doh54zT1=f<4s_oo6?u+58;9a6=#;:=194l4}Wgf>7}#=031=4=4$214>0?e3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>i2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257k=;%103?3>j2w/:<:51`9~R76b2;q]ii4={%7:=?c43-98;7;6b:'242=:?1vqp5f28`94?"5jj095o5Y2cg96~J5k90:w[?ie;0x 76a2;3i7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/947528`8 6502<3i7p*915811>{zu2c9594?:%0ag?4><2\9nh4={M0`4?7|^8ln6?u+21d96<2<^82j6?u+58`960=#=0k1>85r}|N1fc<6s_8i97{e;1n1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4<729q/>om52b18L7d53f8;47>5$3``>76?32wi?5h50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?4<50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2;4>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg5>;3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn>7;:182>5<7s-8io753;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a7t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th85l4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e;0h1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b3-?2m7?6;|~y>{e;0o1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn>o<:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c1:b?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:k;6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg5f93:1?7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg5a=3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8jl4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4n>0;6<4?:1y'6ge=:j90D?l=;n037;:a7c>=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'18<7>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a067=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th???4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e<:91<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b3-?2m7?6;|~y>{e<:<1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn9=m:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c603?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=936=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg2413:1?7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2303:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?8i4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd37;:a01d=83>1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn8l8:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1om51818R7db28qG>n>51zT2b`<5s-8;j7?63:T23twvq6gj2;29 7dd2l80Z?lj:0yO6f6=9r\:jh4={%03b?c53_;3m74?<,<3j6<74}|~?lc4290/>om5e29U6gc=:rF9o=4>{W3ea?4|,;:m6h=4V0:b>7}#=0h1=o5+58c95g=zutF9nk4;{W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031=4=4$214>0?f3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326h<4$214>0?e3t.==94>a:U65c=:r\nh7`5<,:9<687m;|&551<5>2wvq6g=9c83>!4ek382n6X=bd81I4d83;pZ544=9c9'761==0h0q)8>4;06?x{z3`8287>5$3``>7?33_8ii74}Q9oo1>v*=0g81=1=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>138286*<3686=g=z,?;?6?;4}|8k76?290/>om521:8?xd2j10;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;m9;295?6=8r.9nn4=c29K6g4<3th>no4?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4``>5<4290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2jm0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn8li:187>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3d83:1:7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7c3-?2m7?k;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>o<4?:783>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>nl4?:983>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:56*:9`82=>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1ac=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj929K6gd<^;hn6i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0b3290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c7g2?6=;3:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e=m=1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;k8;293?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6l2.>5l4>d:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m772<,<3j6?:4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c810>"21h0986sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi9i750;594?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1ag=83=1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6l2.>5l4>d:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m772<,<3j6?:4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c810>"21h0986sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi9il50;594?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1o6io0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?=<729q/>om5829K6g4<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`95a=#=0k1=i5r}|8m4ga290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>h84?:983>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:56*:9`82=>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a0a2=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj=n;6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm4e394?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn9j=:185>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3mo0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8k:50;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb5d3>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f06f29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl:0c83>6<729q/>om52c;8L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e=9n1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>723-?2m7?6;|~y>{e=;:1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn8<8:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c715?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<896=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg35<3:1?7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg35=3:1;7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m772<,<3j6?:4}|~?l7fn3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;=3;297?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f04c29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl:2d83>6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg35n3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`674<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`677<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a166=83>1<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a125=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<=i6=49:183!4ek3;2<6F=b39'5g2=:>1b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo;84;297?6=8r.9nn4=b89K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f012290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c743?6=;3:1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<=26=49:183!4ek3287E=h51868R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`633<72=0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`16<<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e:;n1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn?=;:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l51b9'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;8i6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg45k3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>?k50;:94?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`16c<7210;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;9;6=47:183!4ek3287E=h51868R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5=2.>5l4=5:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m773<,<3j6?;4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c811>"21h0996sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:378 0?f2;?0qpsr;h3a4?6=,;hh6<7<;I0af>P5jl0:wAb19U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'159;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`960=#=0k1>85r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m673o6j80;6)P5jl0:wAb09U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>59;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mk4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c811>"21h0996sr}|9j5g6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o>4V0:b>7}#=0h1>85+58c960=zutw0e:18'6ge=9090Z?lj:0yO6f6=9r\nj74d63_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm22194?0=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0e4g73_;3m74?<,<3j6<74}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T23twvq6g>ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5;k0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>9>50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>c:&6=d<6k2wvqp5rb315>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e::=1<7?50;2x 7dd2;i87E29086=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm22c94?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:h6*:9`82`>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4403:1?7>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?=l:18:>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:378 0?f2;?0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=o>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3`;i=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5;l0;644?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>>h50;694?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>9?50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb364>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg43j3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28i0(87n:0a8yx{z3th98?4?:283>5}#:ki1>o74H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'17:18'6ge=:9207pl=4483>6<729q/>om5829K6g4i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74b<,<3j63twvq6sm25694?5=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5<10;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>9750;494?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd54?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<;c;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`117<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b{e:=n1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4<729q/>om52b18L7d53f8;47>5$3``>76?32wi>8>50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5=80;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:m14=<72-8io7{zut1vn?:i:180>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a605=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;?26=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<:e;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0a8 0?f28i0qpsr;|`111<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'197>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd5=>0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28n0(87n:0f8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b3-?2m7?6;|~y>{e:<<1<7=50;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?=<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lk50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`960=#=0k1>85r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m673i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb37a>5;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<:c;291<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c811>"21h0996sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:378 0?f2;?0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:378 0?f2;?0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f73c290?6=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f73a29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64e6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4193:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`126<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<94;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28n0(87n:0f8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a634=8391<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9:;4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm27a94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f71629096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb34;>5<6290;w)N5j;1d>=650;&1ff<58110qo<9a;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95a=#=0k1=i5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg41l3:1:7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;?0(87n:378yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5=2.>5l4=5:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm27g94??=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;?0(87n:378yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2f4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n<5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg41n3:157>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:378 0?f2;?0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5?90;694?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5?;0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>:650;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb35g>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95f=#=0k1=n5r}|8yg40;3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?9;:182>5<7s-8io753;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm26594?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9;84?:283>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;?0(87n:378yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>:o50;32>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>85+58c960=zutw0e6X>8`81!3>j38>7);6a;06?x{zu2c:n>4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f6=Q91k1>v*:9c82=>"21h0:56sr}|9j5<0=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=45+58c95<=zutw0e<78:18'6ge=9090Z?lj:0yO6f6=9r\nj74?03_;3m74?<,<3j6<74}|~?l7>03:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?68:T23twvq6g>9883>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<612.>5l4>9:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283i7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a62d=83;:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e4gb3_;3m773<,<3j6?;4}|~?l7fn3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c811>"21h0996sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:378 0?f2;?0qpsr;h3a5?6=,;hh6<7<;I0af>P5jl0:wAb09U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c094?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>o61?0;6)P5jl0:wA979U5=g=:r.>5o4>9:&6=d<612wvqp5f18594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90=0Z<6n:3y'1i:0;;?S7?i38p(87m:0;8 0?f2830qpsr;h3:=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5l4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=d=Q91k1>v*:9c82=>"21h0:56sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4l4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5?j0;694?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5?l0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>5:50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3:b>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95f=#=0k1=n5r}|8yg40n3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?6?:182>5<7s-8io77>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm29194?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th94<4?:283>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd50?0;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>5950;494?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3:;>5<0290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a6=?=83?1<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2830(87n:0;8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?6m:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd51:0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;h7);6a;3`?x{zu2wi>5m50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`15$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0986*:9`810>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4>83:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3:f>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e:081<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c811>"21h0996sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>85+58c960=zutw0e4ge3_;3m773<,<3j6?;4}|~?l7fm3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3m<0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8h750;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>c:&6=d<6k2wvqp5rb5fe>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?i>4?:483>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3m=0;654?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6l2.>5l4>d:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74b<,<3j6ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7a4<72=0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7a3<728;1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6il0;6)P5jl0:wAad9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0g8 0?f28o0qpsr;h3a5?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n?4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c811>"21h0996sr}|9j5g5=83.9nn4>929K6gd<^;hn6i:0`0?S7?i38p(87m:0g8 0?f28o0qpsr;h3:2?6=,;hh6<7<;I0af>P5jl0:wA979U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18594?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6?;4$4;b>73{zut1b=4750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7n;W3;e?4|,<3i6<74$4;b>4?j2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb5g4>5<693:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95`=#=0k1=h5r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6j90;6)P5jl0:wAb19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c394?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1i:0`1?S7?i38p(87m:378 0?f2;?0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?;4$4;b>73{zut1b=4650;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2;?0(87n:378yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:56*:9`82=>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3403:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>?n4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm55094?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82g>"21h0:o6sr}|9~f05>29086=4?{%0ag?4e12B9n?5f18694?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb41b>5<6290;w)N5j;1d>=650;&1ff<58110qo;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<9o6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a16c=838:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0:i6*:9`82a>{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`95`=#=0k1=h5r}|8m4d7290/>om51818R7db28qG>n>51zTfb?4|,;:m64c{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`95`=#=0k1=h5r}|8m4d4290/>om51818R7db28qG>n>51zTfb?4|,;:m64c>2\:4l4={%7:f?7b3-?2m7?j;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0g8 0?f28o0qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5o4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1k2\:4l4={%7:f?7b3-?2m7?j;|~y>o61m0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?b290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=c<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5k5Y19c96~"21k0:56*:9`82=>{zut1b=l?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d7<^82j6?u+58`95<=#=0k1=45r}|8m4g5290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i=0;6)P5jl0:wAa59U5=g=:r.>5o4>9:&6=d<612wvqp5f1`794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h?0Z<6n:3y'1i:0c5?S7?i38p(87m:0;8 0?f2830qpsr;h3b3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m54?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e==Q91k1>v*:9c82=>"21h0:56sr}|9j5d?=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l74V0:b>7}#=0h1=45+58c95<=zutw0e4gf3_;3m74?<,<3j6<74}|~?l7fk3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nc:T23twvq6g>ae83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6im1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n=5Y19c96~"21k0:i6*:9`82a>{zut1b=o?50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i>7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j;1]=5o52z&6=g<6m2.>5l4>e:~yx=n9k91<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c18R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283=7[?7a;0x 0?e28o0(87n:0g8yx{z3`;2;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2==<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:555Y19c96~"21k0:i6*:9`82a>{zut1b=4750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7n;W3;e?4|,<3i64cj2\:4l4={%7:f?423-?2m7<:;|~y>o61j0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518g8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283m7[?7a;0x 0?e2830(87n:0;8yx{z3`;j=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m?5Y19c96~"21k0:56*:9`82=>{zut1b=l=50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d5<^82j6?u+58`95<=#=0k1=45r}|8m4g3290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i?0;6)P5jl0:wAa79U5=g=:r.>5o4>9:&6=d<612wvqp5f1`594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h=0Z<6n:3y'1i:0c;?S7?i38p(87m:0;8 0?f2830qpsr;h3b=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:ml4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ed=Q91k1>v*:9c82=>"21h0:56sr}|9j5de=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lm4V0:b>7}#=0h1=45+58c95<=zutw0e4gc3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm55294?46290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=o<50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28o0(87n:0g8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:i6*:9`82a>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95`=#=0k1=h5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64c12\:4l4={%7:f?7b3-?2m7?j;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1k2\:4l4={%7:f?7b3-?2m7?j;|~y>o61m0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?b290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=c<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5k5Y19c96~"21k0:56*:9`82=>{zut1b=l?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d7<^82j6?u+58`95<=#=0k1=45r}|8m4g5290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i=0;6)P5jl0:wAa59U5=g=:r.>5o4>9:&6=d<612wvqp5f1`794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h?0Z<6n:3y'1i:0c5?S7?i38p(87m:0;8 0?f2830qpsr;h3b3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m54?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e==Q91k1>v*:9c82=>"21h0:56sr}|9j5d?=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l74V0:b>7}#=0h1=45+58c95<=zutw0e4gf3_;3m74?<,<3j6<74}|~?l7fk3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nc:T23twvq6g>ae83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6im1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>:44?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm57f94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82g>"21h0:o6sr}|9~f00f29086=4?{%0ag?4e12B9n?5f18694?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb44a>5<6290;w)N5j;1d>=650;&1ff<58110qo;9c;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;7e;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6=7<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b{e=1l1<7=50;2x 7dd2;h27E=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1<6=83;1<7>t$3``>7e43A8i>6a=0983>!4ek38;465rb4;2>5<4290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8o950;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb5`a>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95f=#=0k1=n5r}|8yg2e03:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9l6:182>5<7s-8io7im7>53;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a14b=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6k2.>5l4>c:~yx=zj<;86=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm50694?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn8?::180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`652<7200;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`960=#=0k1>85r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn8?7:18:>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m673{zut1b=o>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3`;i=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?;4$4;b>73{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;?0(87n:378yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3`;i=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn8?m:18:>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a105=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=n5+58c95f=zutw0qo;:4;297?6=8r.9nn4=b89K6g4<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'197>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd2=>0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn8;7:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28n0(87n:0f8yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5<2.>5l4=4:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm54;94?>=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28n0(87n:0f8yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c810>"21h0986sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi98850;694?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2winh4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6smc383>7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3`?!3>i3;h7psr}:afc<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1:183!4ek38h?6F=b39l65>=83.9nn4=0998yge629086=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3thh:7>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?<^;hn6i:32;?S7?i38p(87m:0a8 0?f28i0qpsr;|``3?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjj21<7?50;2x 7dd2;i87Et$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1ii7>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7g1<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e4?:%0ag?7>;2\9nh4>{M0`4?7|^8ln6?u+21d95<5<^82j6?u+58`95<=#=0k1=45r}|8m`4=83.9nn4j2:T1f`<6sE8h<7?tV0df>7}#:9l1i?5Y19c96~"21k0:56*:9`82=>{zut1bi>4?:%0ag?c43_8ii74}Q9oo1>v*=0g8f7>P60h09w);6b;3a?!3>i3;i7psrL3`e>1}Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2n6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5l5r$737>76v*:988f6>"4;>0>5o5r$737>4gom528`8R7db2;qG>n>51zT2b`<5s-8;j7<6b:T27?e3-98;7;6b:'242=:<1vqp5f28694?"5jj09595Y2cg96~J5k90:w[?ie;0x 76a2;3?7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/94752868 6502<3i7p*915811>{zu2e9<54?:%0ag?47021vn9li:180>5<7s-8io71<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=i;6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg2d:3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8n=50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c810>"21h0986sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8n?50;194?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7g0<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m773<,<3j6?;4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=i=6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg33=3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>844?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j7;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi99950;394?6|,;hh6?m<;I0a6>i5810;6)=zj<>36=49:183!4ek3287E=h51868R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=i5+58c95a=zutw0e8`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`60f<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e=<:1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn8:k:180>5<7s-8io71<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<>n6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg33n3:1:7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28n0(87n:0f8yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6l2.>5l4>d:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm57294?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f00129096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg31:3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`621<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4>d:&6=d<6l2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'155;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64b{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg31;3:1?7>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9j8:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74e<,<3j64?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:k9;295?6=8r.9nn4=c29K6g4<3th?hl4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e;1h1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn>6n:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l51b9'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:296=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg5?<3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?5;50;02>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=i5+58c95a=zutw0e4d73_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:0f8 0?f28n0qpsr;h3a7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=i5+58c95a=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74b<,<3j613:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T29`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<6l2.>5l4>d:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6c:T29e83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4j4V0:b>7}#=0h1>95+58c961=zutw0e<7j:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:5k4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=c=Q91k1>v*:9c82=>"21h0:56sr}|9j5d7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l?4V0:b>7}#=0h1=45+58c95<=zutw0e4g53_;3m74?<,<3j6<74}|~?l7f;3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n3:T23twvq6g>a583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i=1]=5o52z&6=g<612.>5l4>9:~yx=n9h?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`78R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k=7[?7a;0x 0?e2830(87n:0;8yx{z3`;j;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e=<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m55Y19c96~"21k0:56*:9`82=>{zut1b=l750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d?<^82j6?u+58`95<=#=0k1=45r}|8m4gf290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6im0;6)P5jl0:wAae9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b=2\:4l4={%7:f?7c3-?2m7?k;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95a=#=0k1=i5r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64b{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28n0(87n:0f8yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<6l2.>5l4>d:~yx=n9k;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d63_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o=4V0:b>7}#=0h1=i5+58c95a=zutw0e<79:18'6ge=9090Z?lj:0yO6f6=9r\nj74?13_;3m74b<,<3j6?3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?67:T29983>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6111]=5o52z&6=g<6l2.>5l4>d:~yx=n9031<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518;8R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283j7[?7a;0x 0?e28n0(87n:0f8yx{z3`;2n7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=f<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518a8R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6d:T29d83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4k4V0:b>7}#=0h1>95+58c961=zutw0e<7i:18'6ge=9090Z?lj:0yO6f6=9r\nj74?a3_;3m74?<,<3j6<74}|~?l7f93:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n1:T23twvq6g>a383>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i;1]=5o52z&6=g<612.>5l4>9:~yx=n9h91<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`18R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k?7[?7a;0x 0?e2830(87n:0;8yx{z3`;j97>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m;5Y19c96~"21k0:56*:9`82=>{zut1b=l950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d1<^82j6?u+58`95<=#=0k1=45r}|8m4g?290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6ih0;6)P5jl0:wAa`9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`a94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hi0Z<6n:3y'1i:0cg?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?5950;02>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=i5+58c95a=zutw0e4d73_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g4=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o<4V0:b>7}#=0h1=i5+58c95a=zutw0e4d43_;3m74b<,<3j6>3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?66:T29683>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61>1]=5o52z&6=g<6l2.>5l4>d:~yx=n9021<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518:8R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28327[?7a;0x 0?e28n0(87n:0f8yx{z3`;2m7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=g<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6c:T29e83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4j4V0:b>7}#=0h1>95+58c961=zutw0e<7j:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:5k4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=c=Q91k1>v*:9c82=>"21h0:56sr}|9j5d7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l?4V0:b>7}#=0h1=45+58c95<=zutw0e4g53_;3m74?<,<3j6<74}|~?l7f;3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n3:T23twvq6g>a583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i=1]=5o52z&6=g<612.>5l4>9:~yx=n9h?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`78R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k=7[?7a;0x 0?e2830(87n:0;8yx{z3`;j;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e=<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m55Y19c96~"21k0:56*:9`82=>{zut1b=l750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d?<^82j6?u+58`95<=#=0k1=45r}|8m4gf290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6im0;6)P5jl0:wAae9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b=2\:4l4={%7:f?7c3-?2m7?k;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0f8 0?f28n0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:0f8 0?f28n0qpsr;h3a6?6=,;hh6<7<;I0af>P5jl0:wAb39U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1c194?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k90Z<6n:3y'1i:0;5?S7?i38p(87m:0f8 0?f28n0qpsr;h3:3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:554?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82===Q91k1>v*:9c82`>"21h0:h6sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=474V0:b>7}#=0h1=i5+58c95a=zutw0e<7n:18'6ge=9090Z?lj:0yO6f6=9r\nj74?f3_;3m74b<,<3j6j3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6b:T29b83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4m4V0:b>7}#=0h1=i5+58c95a=zutw0e<7k:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:5h4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90o0Z<6n:3y'1i:0;e?S7?i38p(87m:0;8 0?f2830qpsr;h3b5?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m?4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e7=Q91k1>v*:9c82=>"21h0:56sr}|9j5d5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l=4V0:b>7}#=0h1=45+58c95<=zutw0e4g33_;3m74?<,<3j6<74}|~?l7f=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n5:T23twvq6g>a783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i?1]=5o52z&6=g<612.>5l4>9:~yx=n9h=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`58R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k37[?7a;0x 0?e2830(87n:0;8yx{z3`;j57>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ed<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:ml5Y19c96~"21k0:56*:9`82=>{zut1b=lm50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95de<^82j6?u+58`95<=#=0k1=45r}|8m4gc290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e;131<7<>:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95a=#=0k1=i5r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0:h6*:9`82`>{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e28n0(87n:0f8yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6l2.>5l4>d:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:0f8 0?f28n0qpsr;h3a7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=i5+58c95a=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74b<,<3j613:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T29`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<6l2.>5l4>d:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6c:T29e83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4j4V0:b>7}#=0h1>95+58c961=zutw0e<7j:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:5k4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=c=Q91k1>v*:9c82=>"21h0:56sr}|9j5d7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l?4V0:b>7}#=0h1=45+58c95<=zutw0e4g53_;3m74?<,<3j6<74}|~?l7f;3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n3:T23twvq6g>a583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i=1]=5o52z&6=g<612.>5l4>9:~yx=n9h?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`78R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k=7[?7a;0x 0?e2830(87n:0;8yx{z3`;j;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e=<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m55Y19c96~"21k0:56*:9`82=>{zut1b=l750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d?<^82j6?u+58`95<=#=0k1=45r}|8m4gf290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6im0;6)P5jl0:wAae9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0;8 0?f2830qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~fd<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e=3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th26=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=n5+58c95f=zutw0qo>50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2>5<6290;w)N5j;1d>=650;&1ff<58110qo=50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c810>"21h0986sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi87>55;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0f8 0?f28n0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>7>53;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb783>77=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6io0;6)P5jl0:wAag9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1i:0`2?S7?i38p(87m:0g8 0?f28o0qpsr;h3a6?6=,;hh6<7<;W0aa?7|D;i;66X>8`81!3>j3;n7);6a;3f?x{zu2c:n>4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f6=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<0=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=h5+58c95`=zutw0e<78:18'6ge=9090Z?lj:0yO6f6=9r\nj74?03_;3m74c<,<3j603:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?68:T29883>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<6m2.>5l4>e:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283i7[?7a;0x 0?e28o0(87n:0g8yx{z3`;2o7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=a<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518f8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6e:T29g83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4h4V0:b>7}#=0h1>85+58c960=zutw0e:18'6ge=9090Z?lj:0yO6f6=9r\nj74g63_;3m773<,<3j6?;4}|~?l7f:3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e7=Q91k1>v*:9c811>"21h0996sr}|9j5d5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l=4V0:b>7}#=0h1>85+58c960=zutw0e4g33_;3m74?<,<3j6<74}|~?l7f=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n5:T23twvq6g>a783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i?1]=5o52z&6=g<612.>5l4>9:~yx=n9h=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`58R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k37[?7a;0x 0?e2830(87n:0;8yx{z3`;j57>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ed<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:ml5Y19c96~"21k0:56*:9`82=>{zut1b=lm50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95de<^82j6?u+58`95<=#=0k1=45r}|8m4gc290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e?3:1><4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95`=#=0k1=h5r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6j90;6)P5jl0:wAb19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c394?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1i:0`1?S7?i38p(87m:0g8 0?f28o0qpsr;h3a7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=h5+58c95`=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74c<,<3j613:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T29`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<6m2.>5l4>e:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283h7[?7a;0x 0?e2;?0(87n:378yx{z3`;2h7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61m1]=5o52z&6=g<5=2.>5l4=5:~yx=n90o1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?b3_;3m773<,<3j6?;4}|~?l7>n3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=c=Q91k1>v*:9c811>"21h0996sr}|9j5d7=83.9nn4>929K6gd<^;hn6i:0c2?S7?i38p(87m:378 0?f2;?0qpsr;h3b6?6=,;hh6<7<;W0aa?7|D;i;66X>8`81!3>j38>7);6a;06?x{zu2c:m>4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h90Z<6n:3y'1i:0c7?S7?i38p(87m:0;8 0?f2830qpsr;h3b1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e3=Q91k1>v*:9c82=>"21h0:56sr}|9j5d1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l94V0:b>7}#=0h1=45+58c95<=zutw0e4g?3_;3m74?<,<3j6<74}|~?l7f13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n9:T23twvq6g>a`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ih1]=5o52z&6=g<612.>5l4>9:~yx=n9hi1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`a8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ko7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a4?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8=1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb0494?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82g>"21h0:o6sr}|9~fg<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjo0;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28n0(87n:0f8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6l2.>5l4>d:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51e9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:<7>51083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b=2\:4l4={%7:f?7c3-?2m7?k;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0f8 0?f28n0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:368 0?f2;>0qpsr;h3a6?6=,;hh6<7<;I0af>P5jl0:wAb39U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1c194?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k90Z<6n:3y'1i:0;5?S7?i38p(87m:0;8 0?f2830qpsr;h3:3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:554?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82===Q91k1>v*:9c82=>"21h0:56sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=474V0:b>7}#=0h1=45+58c95<=zutw0e<7n:18'6ge=9090Z?lj:0yO6f6=9r\nj74?f3_;3m74?<,<3j6<74}|~?l7>j3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6b:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjm0;694?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6:3:1><4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28o0(87n:0g8yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<6m2.>5l4>e:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:0g8 0?f28o0qpsr;h3a6?6=,;hh6<7<;W0aa?7|D;i;66X>8`81!3>j3;n7);6a;3f?x{zu2c:n>4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f6=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<0=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=h5+58c95`=zutw0e<78:18'6ge=9090Z?lj:0yO6f6=9r\nj74?03_;3m74c<,<3j603:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?68:T29883>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<6m2.>5l4>e:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6b:T29b83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4m4V0:b>7}#=0h1=h5+58c95`=zutw0e<7k:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:5h4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90o0Z<6n:3y'1i:0;e?S7?i38p(87m:378 0?f2;?0qpsr;h3b5?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m?4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e7=Q91k1>v*:9c82=>"21h0:56sr}|9j5d5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l=4V0:b>7}#=0h1=45+58c95<=zutw0e4g33_;3m74?<,<3j6<74}|~?l7f=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n5:T23twvq6g>a783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i?1]=5o52z&6=g<612.>5l4>9:~yx=n9h=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`58R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k37[?7a;0x 0?e2830(87n:0;8yx{z3`;j57>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ed<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:ml5Y19c96~"21k0:56*:9`82=>{zut1b=lm50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95de<^82j6?u+58`95<=#=0k1=45r}|8m4gc290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e9:0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m74c<,<3j6ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6m2.>5l4>e:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n>5Y19c96~"21k0:i6*:9`82a>{zut1b=4850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`95`=#=0k1=h5r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i64c02\:4l4={%7:f?7b3-?2m7?j;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:0g8 0?f28o0qpsr;h3:g?6=,;hh6<7<;I0af>P5jl0:wA9b9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18f94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7k;W3;e?4|,<3i6?;4$4;b>73{zut1b=4h50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<`<^82j6?u+58`960=#=0k1>85r}|8m4g6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6?;4$4;b>733-?2m7?6;|~y>o6i:0;6)P5jl0:wAa29U5=g=:r.>5o4>9:&6=d<612wvqp5f1`694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0c6?S7?i38p(87m:0;8 0?f2830qpsr;h3b2?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m:4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e2=Q91k1>v*:9c82=>"21h0:56sr}|9j5d>=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l64V0:b>7}#=0h1=45+58c95<=zutw0e4g>3_;3m74?<,<3j6<74}|~?l7fi3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?na:T23twvq6g>ab83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ij1]=5o52z&6=g<612.>5l4>9:~yx=n9hn1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`f8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:87>52083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1=h5+58c95`=zutw0e4d53_;3m74c<,<3j69783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61?1]=5o52z&6=g<6m2.>5l4>e:~yx=n90=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51858R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e28o0(87n:0g8yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:i6*:9`82a>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=a<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518f8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6e:T29g83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61o1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h;1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`38R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k97[?7a;0x 0?e2830(87n:0;8yx{z3`;j?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e1<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m95Y19c96~"21k0:56*:9`82=>{zut1b=l;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d3<^82j6?u+58`95<=#=0k1=45r}|8m4g1290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i10;6)P5jl0:wAa99U5=g=:r.>5o4>9:&6=d<612wvqp5f1`;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h30Z<6n:3y'1i:0cb?S7?i38p(87m:0;8 0?f2830qpsr;h3bg?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mi4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ea=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?::187>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vnk>50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rbg394?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;01?!3>i3897psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k09>6*:9`816>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg`5290>6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m773<,<3j6?;4}|~?l7f83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xda;3:1;7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:ab1<72>0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wij84?:983>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2b183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'15<693:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:0g8 0?f28o0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1o6j:0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`960=#=0k1>85r}|8m4?1290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0996*:9`811>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95<=#=0k1=45r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i6<74$4;b>4?i2\:4l4={%7:f?7>3-?2m7?6;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:ab=<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{en00;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;80(87n:308yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;01?!3>i3897psr}:m14=<72-8io7{zut1vnko50;32>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0g8 0?f28o0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=h5+58c95`=zutw0e4d73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c811>"21h0996sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:0g8 0?f28o0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?;4$4;b>73?2\:4l4={%7:f?423-?2m7<:;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~fcd=83;:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n<5Y19c96~"21k0:i6*:9`82a>{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`960=#=0k1>85r}|8m4d4290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=3<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51848R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?67:T29983>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=464V0:b>7}#=0h1>85+58c960=zutw0e<76:18'6ge=9090Z?lj:0yO6f6=9r\nj74?>3_;3m773<,<3j6?;4}|~?l7>i3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6a:T23twvq6g>9c83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61k1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'15<693:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95`=#=0k1=h5r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6j90;6)P5jl0:wAb19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c394?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1i:0`1?S7?i38p(87m:378 0?f2;?0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?;4$4;b>73{zut1b=4650;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2;?0(87n:378yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:56*:9`82=>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=h5+58c95`=zutw0e4gb3_;3m74c<,<3j6b183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<6m2.>5l4>e:~yx=n9k;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d63_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o=4V0:b>7}#=0h1=h5+58c95`=zutw0e<79:18'6ge=9090Z?lj:0yO6f6=9r\nj74?13_;3m74c<,<3j6?3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?67:T29983>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6111]=5o52z&6=g<6m2.>5l4>e:~yx=n9031<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518;8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283j7[?7a;0x 0?e28o0(87n:0g8yx{z3`;2n7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=f<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518a8R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6d:T29d83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4k4V0:b>7}#=0h1>85+58c960=zutw0e<7i:18'6ge=9090Z?lj:0yO6f6=9r\nj74?a3_;3m773<,<3j6?;4}|~?l7f93:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n1:T23twvq6g>a383>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i;1]=5o52z&6=g<612.>5l4>9:~yx=n9h91<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`18R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k?7[?7a;0x 0?e2830(87n:0;8yx{z3`;j97>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m;5Y19c96~"21k0:56*:9`82=>{zut1b=l950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d1<^82j6?u+58`95<=#=0k1=45r}|8m4g?290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6ih0;6)P5jl0:wAa`9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`a94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hi0Z<6n:3y'1i:0cg?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wijh4?:3394?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28o0(87n:0g8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:i6*:9`82a>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f7<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e28o0(87n:0g8yx{z3`;2:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0:i6*:9`82a>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95`=#=0k1=h5r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i64ci2\:4l4={%7:f?7b3-?2m7?j;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18a94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i64c{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;?0(87n:378yx{z3`;2j7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m<5Y19c96~"21k0:56*:9`82=>{zut1b=l<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`95<=#=0k1=45r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjol1<7950;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6il0;6)P5jl0:wAad9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`245<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e99;1<7?>:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6il0;6)P5jl0:wAad9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0g8 0?f28o0qpsr;h3a5?6=,;hh6<7<;I0af>P5jl0:wAb09U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c094?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c3-?2m7?6;|~y>o61?0;6)P5jl0:wA979U5=g=:r.>5o4>9:&6=d<612wvqp5f18594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90=0Z<6n:3y'1i:0;;?S7?i38p(87m:0;8 0?f2830qpsr;h3:=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5l4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=d=Q91k1>v*:9c82=>"21h0:56sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4l4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd68;0;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0g8 0?f28o0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=h5+58c95`=zutw0e4d73_;3m74c<,<3j6b383>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j;1]=5o52z&6=g<5=2.>5l4=5:~yx=n9k91<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d43_;3m74c<,<3j6>3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c811>"21h0996sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=45+58c95<=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74?<,<3j6<74}|~?l7>13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T23twvq6g>9`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<612.>5l4>9:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:<>4?:0394?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<6m2.>5l4>e:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n?5Y19c96~"21k0996*:9`811>{zut1b=o=50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e28o0(87n:0g8yx{z3`;2:7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61?1]=5o52z&6=g<5=2.>5l4=5:~yx=n90=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51858R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2830(87n:0;8yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:56*:9`82=>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?0583>1<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?0483>7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a550=838:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0:i6*:9`82a>{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`95`=#=0k1=h5r}|8m4d7290/>om51818R7db28qG>n>51zTfb?4|,;:m64c{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`95`=#=0k1=h5r}|8m4d4290/>om51818R7db28qG>n>51zTfb?4|,;:m64c>2\:4l4={%7:f?7b3-?2m7?j;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0g8 0?f28o0qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5o4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1k2\:4l4={%7:f?7b3-?2m7?j;|~y>o61m0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?b290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=c<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5k5Y19c96~"21k0:56*:9`82=>{zut1b=l?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d7<^82j6?u+58`95<=#=0k1=45r}|8m4g5290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i=0;6)P5jl0:wAa59U5=g=:r.>5o4>9:&6=d<612wvqp5f1`794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h?0Z<6n:3y'1i:0c5?S7?i38p(87m:0;8 0?f2830qpsr;h3b3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m54?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e==Q91k1>v*:9c82=>"21h0:56sr}|9j5d?=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l74V0:b>7}#=0h1=45+58c95<=zutw0e4gf3_;3m74?<,<3j6<74}|~?l7fk3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nc:T23twvq6g>ae83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6im1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:0g8 0?f28o0qpsr;h3a4?6=,;hh6<7<;I0af>P5jl0:wAb19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c394?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i64co6j:0;6)P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90<0Z<6n:3y'1i:0;4?S7?i38p(87m:0g8 0?f28o0qpsr;h3:8`81!3>j3;n7);6a;3f?x{zu2c:544?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=<=Q91k1>v*:9c82a>"21h0:i6sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4o4V0:b>7}#=0h1=h5+58c95`=zutw0e<7m:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:5n4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90i0Z<6n:3y'1l2\:4l4={%7:f?423-?2m7<:;|~y>o61l0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?a290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7i;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6i;0;6)P5jl0:wAa39U5=g=:r.>5o4>9:&6=d<612wvqp5f1`194?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h90Z<6n:3y'1i:0c7?S7?i38p(87m:0;8 0?f2830qpsr;h3b1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e3=Q91k1>v*:9c82=>"21h0:56sr}|9j5d1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l94V0:b>7}#=0h1=45+58c95<=zutw0e4g?3_;3m74?<,<3j6<74}|~?l7f13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n9:T23twvq6g>a`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ih1]=5o52z&6=g<612.>5l4>9:~yx=n9hi1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`a8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ko7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a55>=838:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:0g8 0?f28o0qpsr;h3a5?6=,;hh6<7<;I0af>P5jl0:wAb09U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c094?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k80Z<6n:3y'1i:0`0?S7?i38p(87m:0g8 0?f28o0qpsr;h3:2?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5:4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=2=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<>=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=464V0:b>7}#=0h1=h5+58c95`=zutw0e<76:18'6ge=9090Z?lj:0yO6f6=9r\nj74?>3_;3m74c<,<3j6i3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6a:T29c83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4l4V0:b>7}#=0h1>85+58c960=zutw0e<7l:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5i4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90n0Z<6n:3y'1m2\:4l4={%7:f?423-?2m7<:;|~y>o61o0;6)P5jl0:wA9g9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`394?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h;0Z<6n:3y'1i:0c1?S7?i38p(87m:0;8 0?f2830qpsr;h3b7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m94?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e1=Q91k1>v*:9c82=>"21h0:56sr}|9j5d3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l;4V0:b>7}#=0h1=45+58c95<=zutw0e4g13_;3m74?<,<3j6<74}|~?l7f?3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n7:T23twvq6g>a983>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i11]=5o52z&6=g<612.>5l4>9:~yx=n9h31<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`;8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kj7[?7a;0x 0?e2830(87n:0;8yx{z3`;jo7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ea<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mi5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg7713:187>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg77i3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0996*:9`811>{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=n9k;1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:<3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28o0(87n:0g8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:i6*:9`82a>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i64co6j:0;6)P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90<0Z<6n:3y'1i:0;4?S7?i38p(87m:0g8 0?f28o0qpsr;h3:8`81!3>j3;n7);6a;3f?x{zu2c:544?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=<=Q91k1>v*:9c82a>"21h0:i6sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4o4V0:b>7}#=0h1=h5+58c95`=zutw0e<7m:18'6ge=9090Z?lj:0yO6f6=9r\nj74?e3_;3m74c<,<3j6k3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=f=Q91k1>v*:9c82a>"21h0:i6sr}|9j5929K6gd<^;hn6i:0;g?S7?i38p(87m:378 0?f2;?0qpsr;h3:a?6=,;hh6<7<;I0af>P5jl0:wA9d9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18d94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7i;W3;e?4|,<3i6?;4$4;b>73o6i;0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`960=#=0k1>85r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8:o6=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n<5Y19c96~"21k0:i6*:9`82a>{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`95`=#=0k1=h5r}|8m4d4290/>om51818R7db28qG>n>51zTfb?4|,;:m64c>2\:4l4={%7:f?7b3-?2m7?j;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0g8 0?f28o0qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5o4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=g=Q91k1>v*:9c82a>"21h0:i6sr}|9j5929K6gd<^;hn6i:0;`?S7?i38p(87m:0g8 0?f28o0qpsr;h3:`?6=,;hh6<7<;I0af>P5jl0:wA9e9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7j;W3;e?4|,<3i6?;4$4;b>73{zut1b=l?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d7<^82j6?u+58`960=#=0k1>85r}|8m4g5290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0996*:9`811>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd68l0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m74c<,<3j6ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6m2.>5l4>e:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n>5Y19c96~"21k0:i6*:9`82a>{zut1b=4850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`95`=#=0k1=h5r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i64c02\:4l4={%7:f?7b3-?2m7?j;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:0g8 0?f28o0qpsr;h3:g?6=,;hh6<7<;I0af>P5jl0:wA9b9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18f94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7k;W3;e?4|,<3i6?;4$4;b>73{zut1b=4h50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283m7[?7a;0x 0?e2;?0(87n:378yx{z3`;j=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i81]=5o52z&6=g<5=2.>5l4=5:~yx=n9h81<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`08R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n3:T2a583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i=1]=5o52z&6=g<612.>5l4>9:~yx=n9h?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`78R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k=7[?7a;0x 0?e2830(87n:0;8yx{z3`;j;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e=<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m55Y19c96~"21k0:56*:9`82=>{zut1b=l750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d?<^82j6?u+58`95<=#=0k1=45r}|8m4gf290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6im0;6)P5jl0:wAae9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1=h5+58c95`=zutw0e4d53_;3m74c<,<3j69783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61?1]=5o52z&6=g<6m2.>5l4>e:~yx=n90=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51858R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e28o0(87n:0g8yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:i6*:9`82a>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i6?;4$4;b>73{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;?0(87n:378yx{z3`;2j7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61o1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h;1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`38R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n2:T2a283>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i:1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`68R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k>7[?7a;0x 0?e2830(87n:0;8yx{z3`;j:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m:5Y19c96~"21k0:56*:9`82=>{zut1b=l650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d><^82j6?u+58`95<=#=0k1=45r}|8m4g>290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6ij0;6)P5jl0:wAab9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`f94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hn0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`255<72?0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0;8 0?f2830qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f47629096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>?5+58c967=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m774<,<3j6?<4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm10194?2=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0996*:9`811>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1583>1<729q/>om5829K6g4i:0;7?S7?i38p(87m:378 0?f2;?0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?>5;290?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=<950;694?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm10:94?1=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0e4g73_;3m74?<,<3j6<74}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T23twvq6g>ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<612.>5l4>9:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:=44?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm10c94?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;01?!3>i3897psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k09>6*:9`816>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg76j3:1:7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;?0(87n:378yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg76k3:1:7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?423-?2m7<:;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m673i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb03g>5;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0996*:9`811>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a54`=8331<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64c{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m773<,<3j6?;4}|~?l7e93:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m1:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj88;6=48:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a577=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8896=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28o0(87n:0g8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:i6*:9`82a>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95`=#=0k1=h5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64c12\:4l4={%7:f?7b3-?2m7?j;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18`94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6?;4$4;b>73{zut1b=4j50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283o7[?7a;0x 0?e2;?0(87n:378yx{z3`;2i7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61l1]=5o52z&6=g<5=2.>5l4=5:~yx=n90l1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518d8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k:7[?7a;0x 0?e2830(87n:0;8yx{z3`;j>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0:56*:9`82=>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6::0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0g8 0?f28o0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f7<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e28o0(87n:0g8yx{z3`;2:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0:i6*:9`82a>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95`=#=0k1=h5r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i64ci2\:4l4={%7:f?7b3-?2m7?j;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18a94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i64c{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;?0(87n:378yx{z3`;2j7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m<5Y19c96~"21k0:56*:9`82=>{zut1b=l<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`95<=#=0k1=45r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj88?6=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28o0(87n:0g8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:i6*:9`82a>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95`=#=0k1=h5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64c12\:4l4={%7:f?7b3-?2m7?j;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18`94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6?;4$4;b>73{zut1b=4j50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283o7[?7a;0x 0?e2;?0(87n:378yx{z3`;2i7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61l1]=5o52z&6=g<5=2.>5l4=5:~yx=n90l1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518d8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k:7[?7a;0x 0?e2830(87n:0;8yx{z3`;j>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0:56*:9`82=>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6:<0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0g8 0?f28o0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n?5Y19c96~"21k0:i6*:9`82a>{zut1b=o=50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`95`=#=0k1=h5r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i64c?2\:4l4={%7:f?7b3-?2m7?j;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0g8 0?f28o0qpsr;h3:f?6=,;hh6<7<;I0af>P5jl0:wA9c9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18a94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i64c{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;?0(87n:378yx{z3`;2j7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m<5Y19c96~"21k0:56*:9`82=>{zut1b=l<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`95<=#=0k1=45r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj88=6=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1o6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`95`=#=0k1=h5r}|8m4d5290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n>5Y19c96~"21k0:i6*:9`82a>{zut1b=4850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`95`=#=0k1=h5r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i64c02\:4l4={%7:f?7b3-?2m7?j;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:378 0?f2;?0qpsr;h3:g?6=,;hh6<7<;I0af>P5jl0:wA9b9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18f94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7k;W3;e?4|,<3i6?;4$4;b>73{zut1b=4h50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<`<^82j6?u+58`960=#=0k1>85r}|8m4g6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6i:0;6)P5jl0:wAa29U5=g=:r.>5o4>9:&6=d<612wvqp5f1`694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0c6?S7?i38p(87m:0;8 0?f2830qpsr;h3b2?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m:4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e2=Q91k1>v*:9c82=>"21h0:56sr}|9j5d>=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l64V0:b>7}#=0h1=45+58c95<=zutw0e4g>3_;3m74?<,<3j6<74}|~?l7fi3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?na:T23twvq6g>ab83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ij1]=5o52z&6=g<612.>5l4>9:~yx=n9hn1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`f8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:>:4?:783>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn<<7:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74c<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m74c<,<3j6ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6m2.>5l4>e:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2f6<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c18R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?66:T29683>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1>85+58c960=zutw0e<77:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:544?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:378 0?f2;?0qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f44f290:=7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1=h5+58c95`=zutw0e4d53_;3m773<,<3j6?;4}|~?l7e;3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m3:T29783>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=h5+58c95`=zutw0e<78:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:554?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'112\:4l4={%7:f?423-?2m7<:;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`26g<728;1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6il0;6)P5jl0:wAad9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0g8 0?f28o0qpsr;h3a5?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n?4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c811>"21h0996sr}|9j5g5=83.9nn4>929K6gd<^;hn6i:0`0?S7?i38p(87m:0g8 0?f28o0qpsr;h3:2?6=,;hh6<7<;I0af>P5jl0:wA979U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18594?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6?;4$4;b>73{zut1b=4750;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28327[?7a;0x 0?e2;?0(87n:378yx{z3`;2m7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=g<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5o5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg75k3:1=<4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28o0(87n:0g8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:i6*:9`82a>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i64co6j:0;6)P5jl0:wAb29U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i64c{zut1b=4650;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2;?0(87n:378yx{z3`;257>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<5=2.>5l4=5:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283i7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a57b=83?1<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2830(87n:0;8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn<5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`967=#=0k1>?5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?<4$4;b>743-?2m7?6;|~y>{e9::1<7750;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c811>"21h0996sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)P5jl0:wAb19U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c394?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6?;4$4;b>733-?2m7?6;|~y>{e9:;1<7?>:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m773<,<3j6?;4}|~?l7e93:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c811>"21h0996sr}|9j5g4=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o<4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82=>"21h0:56sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=45+58c95<=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74?<,<3j6<74}|~?l7>13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T23twvq6g>9`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<612.>5l4>9:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:??4?:0394?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`960=#=0k1>85r}|8m4d4290/>om51818R7db28qG>n>51zTfb?4|,;:m673>2\:4l4={%7:f?7>3-?2m7?6;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4>9:&6=d<612wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0;8 0?f2830qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5o4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=g=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?<3;2954<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1o6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`960=#=0k1>85r}|8m4d5290/>om51818R7db28qG>n>51zTfb?4|,;:m6735Y19c96~"21k0996*:9`811>{zut1b=4850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`95<=#=0k1=45r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6<74$4;b>4?02\:4l4={%7:f?7>3-?2m7?6;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>9:&6=d<612wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=>:50;32>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=o>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3`;i=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=n9k81<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e2;?0(87n:378yx{z3`;2:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0:56*:9`82=>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95<=#=0k1=45r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i6<74$4;b>4?i2\:4l4={%7:f?7>3-?2m7?6;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0;8 0?f2830qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f45129096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`960=#=0k1>85r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>{e9:21<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg7413:1;7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a56g=8321<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>85+58c960=zutw0e4d73_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm12`94?>=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2b183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a56b=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj89n6=4<:183!4ek3287E6*:9`816>{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`967=#=0k1>?5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3g83>6<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:378 0?f2;?0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>54;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c3-?2m7?6;|~y>{e9=91<7:50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64c{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg73<3:1;7>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95<=#=0k1=45r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb066>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg73>3:187>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28o0(87n:0g8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a511=83>1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:844?:583>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4`83>0<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e9=h1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn<:l:187>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64c{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg73l3:187>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4d83>1<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c3-?2m7?6;|~y>{e9=l1<7;50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64c{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95`=#=0k1=h5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?5183>0<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=8?50;494?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?:2;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`216<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m774<,<3j6?<4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8??6=4;:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn<;::187>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`960=#=0k1>85r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?;4$4;b>73{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg72>3:187>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?;4$4;b>73=2\:4l4={%7:f?423-?2m7<:;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?5683>0<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:954?:483>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6=00;6:4?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0e4ge3_;3m74?<,<3j6<74}|~?l7fm3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?ne:T23twvq6g>ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6=k0;684?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?:c;291?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f43c290>6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=8k50;794?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`21c<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f407290=6=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82=>"21h0:56sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6>80;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=;<50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5:2.>5l4=2:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f403290<6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`220<72>0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb045>5<0290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>85+58c960=zutw0e4g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn<86:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;?0(87n:378yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg71j3:1;7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5=2.>5l4=5:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn<8k:184>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=;k50;:94?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?9f;292?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82=>"21h0:56sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=45+58c95<=zutw0e4gb3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm16294?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f41629086=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5:2.>5l4=2:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5239'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:;?4?:983>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;?0(87n:378yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m773<,<3j6?;4}|~?l7fn3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c811>"21h0996sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=:=50;:94?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:0g8 0?f28o0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>{e9>>1<7650;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8=>6=47:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f41129036=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb054>5<0290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=:650;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb05:>5<0290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c811>"21h0996sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:;l4?:683>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?;4$4;b>73=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f41e290<6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>85+58c960=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e4gb3_;3m773<,<3j6?;4}|~?l7fn3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8=h6=48:183!4ek3287E=h51868R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn<9k:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`960=#=0k1>85r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m673i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb05f>5<1290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`23c<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e91:1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3897);6a;01?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c816>"21h09>6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?71;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8296=4<:183!4ek3287E=h51868R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5=5=8391<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?8583>3<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5=3=83<1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5=0=83=1<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2830(87n:0;8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0:56*:9`82=>{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?;2wxh94?:04x9a2=:9201>8k:0;7?851m3;2863<6g82=1=:;>:1=4:4=4af>4?3349397?64:?0<3<61=16:7?64:?4>4?334;96<7;;<30>4?334;?6<7;;4?334;;:7?64:?242<61=16==m51868946c283?70??e;3:0>;68o0:595213095<2<58886<7;;|qa4?6=;r7i=7;c;3;2?6s|d283>40|5m91>=64=252>4?3348<:7?64:?67`<61=169>h518689027283?70=77;3:0>;4010:595239;95<2<5?0:58527;3:1>;6;3;2963>4;3:1>;am3;2863>0982=1=:99i1=4;4=02g>4?234;;i7?65:?24c<61<16=?:518689442283?70?=6;3:0>{t0o0;6>u291814<=Y0o16n44>929~wg?=8389w0l6:32;?851l3;2963<6d82=0=:;?l1=4;4=253>4?2349<=7?65:?126<61=169>k51878905a283>70;;0;3:1>;40<0:585239495<3<5:2<6<7:;<1;=278444>949>2?7f827<64?234;864g734lo6<7:;4?234;;:7?65:?242<61<16==651878946d28k;70??d;3b4>;68l0:m=5211d95d6<58896<7:;<317?7>=27:>94>949>573=90?01<<9:0;6?xu?l3:1?v37e;03=>X?l27i47?63:pf=<72:;p1o6521:890>1283?70=94;3:0>;4>m0:m=5237g95d6<5:a19>005=90>019;;:0;7?85cm3;2863=4982=1=::<=1=4:4=5g5>4?334?8i7?n0:?67c<6i91699>51`2896>228k;70=76;3b4>;40>0:m=5239:95d6<5:2260:mo521382e5=:9:0:mo521582eg=:n?0:5952fc82=1=:nm0:m=52fd82e5=:99;1=4:4=021>4?334;;:7?n0:?242<6i916==651`28946d28ki70??d;3bf>;68l0:mo5211d95dd<5889694>a19>573=9h:01<<9:0c3?87513;2863>2`82=1=:9:k1=4:4=06e>4?33ty3n7>53z?;g?4712T3n63m7;3:7>{tj>0;6>?t=c5965><5<2=6<7:;<151?7><278:i4>ac9>73c=9hh01>8i:0ca?85083;jn63<7082eg=:<4?3349oi7?65:?10=<61<16>8>5186891c0283?70;;2;o0:mo5255295dd<5:2>6ac9>7=>=9hh01>66:0ca?80=9ho01:4>ad9>55<61=16=?4>ac9>56<6il16=94>ad9>bd<61=16jn4>959>ba<6ik16jh4>ac9>555=90>01<>9:0ca?877?3;jn63>0982eg=:99i1=lk4=02g>4gb34;;i7?ne:?24c<6il16=?<51``8944428ki70?=4;3bf>;6:<0:mo5213495dd<588i6<7;;<31g?7><27:?l4>949>51`=90?0q~66:1808>f2;:27S66;<`5>4?43tyi:7>523y>f3<58116?;j51`g8960b28kn70=9f;3ba>;4?90:mh5236395dc<5;>>6<7;;<70a?7fm27>?k4>ad9>116=9ho01>6::0cf?85?>3;ji63<8682e`=:;121=lk4=2::>4gb34<1=lh4=682ec=:9;0:mh521282ec=:9=0:mk52fe82e`=:nl0:mh5211495dc<58:<6ag9>55b=9hl01<>j:0ce?877n3;jj63>2382e`=:9;91=lk4=007>4gb34;997?ne:?263<6il1v5950;1x9=>=:930R594=c795<56=4=2z?a1?470278:i4>ag9>73c=9hl01>8i:0ce?85083;jj63<7082ec=:::31=4:4=41f>4ga34?8j7?nf:?605<6io16?5;51`d896>128km70=77;3bb>;4010:mk5239;95d`<5?0:n=527;3a4>;6:3;jj63>3;3a4>;6<3;i<63id;3bb>;am3;jj63>0782ec=:99=1=lh4=02;>4ga34;;o7?m0:?24a<6j916==k51c28946a28h;70?=2;3bb>;6::0:mk5213695d`<588>6<3<5801U4852b582=6=z{k>1<7<={<`7>76?3498>7?64:?02a<6j916?;k51c28960a28h;70=80;3a4>;4?80:n=5252g95g6<5<9m6b19>7=0=9k:01>68:0`3?85?03;i<63<8882f5=:>3;i=638:0`2?87528h;70?<:0`2?87328h:70hk:0`3?8`b28h;70??6;3a4>;68>0:n=5211:95g6<58:h6;<33`?7e927:

    b09>55`=9k;01<<=:0`3?875;3;i<63>2582f5=:9;?1=o>4=005>4d73tyj>7>53z?b7?4712Tj>63lc;3:7>{tkj0;65kt=ba965><5<2=6<27>mh4>959>1g6=90>018l>:0;7?83e:3;2863;9482=1=:<0<1=4:4=0ge>4?334;m<7?64:?2b4<61=16???518689645283?70=;4;3:0>;4<278:i4>b09>73c=9k;01>8i:0`2?85083;i=63<7082f4=:4?334?ho7?64:?6a2<61=169h65186890c>283?70;i1;3:0>;2n;0:59525g195<2<5<27?n<4>959>0d6=90>019o>:0;7?82f:3;2863;a282=1=:1=4:4=3d6>4?3348m:7?64:?1b<<61=16?im5186896bc283?70=ke;3b4>;4lo0:595249;95<2<5<27>m>4>959>1d2=90>01>><:0;7?857<3;2863<0482=1=:?k0:59527b82=1=:?m0:59527d82=1=:9l91=4:4=4a3>4?334?h=7?64:?6`f<61=16>9651`28972>283?70<89;3:0>;5?h0:595226`95<2<5;236<7;;<6f0?7><27>?h4>b09>16`=9k;018:?:0`2?836?3;2863:1982=1=:=<21=4:4=47:>4?334?=87?64:?620<61=16?5;51c3896>128h:70=77;3a5>;4010:n<5239;95g7<5=0:59526;3a6>;028h970?=:0`2?87428h970?;:0`1?8`5283?70h<:0;7?8`2283?70hk:0`2?8`b28h:70??6;3a5>;68>0:n<5211:95g7<58:i6<7;;<33g?7e:27:b39>55c=9k801<>i:0`1?876l3;2863>1d82=1=:98l1=4:4=001>4d634;9?7?m1:?261<6j816=?;51c38944128h:70?<0;3:0>;6;>0:595212:95<2<58926<7;;<30e?7f827:?o4>959>514=90>01<:<:0;7?873>3;2863>4682=1=:9=21=4:4=06:>4?334;?o7?64:?20a<61=16=9k51868942a28k;70?:0;3:0>;6==0:595214595<2<58?36<7;;<36f?7><27:9n4>959>50b=90>01<;j:0;7?872n3;2863>6`82=1=:9>81=4:4=050>4?334;387?64:?2<0<61=1v4h50;1x9d6=:930R4h4=b`95<54:4>949>1dc=90?018l?:0;6?83e93;2963:b382=0=:<0=1=4:4=5;;>4?33499=7?65:?067<61<16??:518689642283?70=;4;3:1>;4<>0:595234:95<3<5:?26<7:;<157?7><278:94>949>733=90?01>8k:0`1?851m3;i>63<6g82f7=:;>:1=o<4=252>4d534?ho7?65:?6b4<61<169k<5187890`4283>70;i4;3:1>;3i90:58524`395<3<5;l>6<7:;<0e2?7>=279j:4>959>6c>=90>01?h6:0;6?822;3;2963;5582=0=:<4?2349oj7?65:?046<61<16?=:518789662283>709m:0;6?81d283>70;k8;3:0>;2l00:59525ea95<3<5;8n6<7;;<01b?7><279?=4>959>61?=90?01?;n:0;7?842j3;2863=5b82=1=::?n1=4:4=35:>4?2348565187891c3283>70:j6;3:1>;3m>0:585252g95g4<5<9m6=:4>949>14>=90?018?6:0;7?836i3;2863:1c82=1=:=<21=4;4=47:>4?234?=87?65:?0<0<6j;16?5851c0896>028h970=78;3a6>;4000:n?524;3:1>;128h870951c189c<61=16==4>949>57<6j;16=>4>b29>51<6j:16j>4>949>b1<61=16j84>949>b3<61<16jl4>949>bg<61<16jn4>949>ba<6j;16jh4>b39>557=90?01<>=:0;6?877;3;2963>0782f7=:99=1=o<4=02;>4d534;;n7?65:?24f<6j:16==j51c18946b28h870??f;3a7>;69m0:585210g95<3<58;m6<7:;<316?7e:27:>>4>b39>572=9k801<<::0`1?875>3;i>63>2882=0=:9;k1=4;4=00a>4?234;9o7?65:?274<61=16=><518689454283?70?<4;3:0>;6;k0:585215095<3<58>86<7:;<372?7>=27:8:4>949>506=90?01<;8:0;6?87203;2963>6282=1=:9?>1=4:4=046>4?334;=:7?64:?222<61=16=;l518689415283>70?83;3:1>;6?=0:595216795<2<58==6<7;;|q:`?6=;r72i7;d=3;2?6s|c483>2c|5j?1>=64=4:5>4ge34?3;7?n0:?6f4<6i9169o<51`28964628k;70==2;3b4>;4:=0:585235695d6<5:><6<7:;<16=?7f8278:>4>949>73b=9k901>8j:0`0?851n3;i?63<7182f6=:;>;1=o=4=54a>4?234?m?7?n0:?6b1<6i9168l>51`2891g5283>70:n3;3:1>;3i=0:58522g;95d6<5:nh6<7:;<1g`?7>=278hh4>ac9>7a`=9h:018o>:0;6?83f:3;2963:a282=0=:=h>1=4;4=227>4g7349;97?n0:?4f?7f827=27>hl4>959>1ad=90>018jl:0c3?84303;jn63=4882e5=::4g7348;2;o0:n>5255295g5<5<;<6=44>949>10?=9h:01n7518689f?=90?0188;:0c3?831=3;2963<8482f6=:;1<1=o=4=2:4>4d4349347?m3:?0<<<6j:1687?n0:?5>4?134=1=484=g82=0=:9;0:n>521282=3=:9=0:5;52f582=0=:n<0:m=52fc82e5=:nj0:m=52fe82f6=:nl0:n>5211095d6<58:86b29>55>=9k901<>m:0c3?877k3;2:63>0e82=3=:99o1=484=02e>4?134;:j7?n0:?267<6j:16=?=51c18944328h870?=5;3a7>;6:?0:n>5213;95d6<588j6n4>a19>567=90?01<==:0;6?874;3;2963>3582=0=:9:=1=4;4=01;>4?234;857?65:?27d<6ik16=>l51`28942528k;70?;6;3b4>;6<10:585215;95<3<58>h6<7:;<37`?7>=27:8h4>949>51`=9hh01<;?:0c3?872?3;j<63>5c82=0=:94?234;>i7?65:?21c<61<16=;=518789403283>70?84;3:1>;6?<0:585rs8`94?5|50i1>=74^8`89f2=9090q~m;:187e~;d<38;463;9782=0=:;;;1=ll4=201>4ge349?87?nb:?002<6i916?;=51`28960328k;70=95;3b4>;4>m0:5;5237g95<0<5:>278;<4>979>03?=90?019l>:0;6?822;3;j<63;5582e5=:<4=575>4g734>357?65:?2a6<61<16>5h5186891c328ki70:j6;3bf>;3m>0:mo5252g95<0<5<9m6<79;<774?7>>27>:94>ac9>133=9h:01>6::0;5?85?>3;2:63<8682=3=:;121=484=2::>4?134>1=ll4=782=2=:?3;2;63i:0c3?87728k;70?=:0;5?874283<70?;:0;4?8`328k;70h9:0c3?8`f28k;70hm:0ca?8`d28ki70hk:0;5?8`b283=70??1;3b4>;68;0:mo5211195dd<58:=6<79;<333?7>>27:<54>979>55e=90=01<>k:0;4?877m3;2;63>0g82=2=:9;81=484=000>4?134;987?66:?260<61?16=?851848944>28ki70?=a;3bf>;6:k0:mo5213a95dd<589:64>a19>562=9h:0q~78:1808??2;:27S78;<`g>4?43tyih7>53ey>fa<58116?;:51``8960228ki70=9d;3:3>;4>l0:5:5237d95<1<5:=;6<78;<145?7>?27?m?4>a19>005=9hh019;;:0ca?822=3;jn63;5782eg=:=h;1=l>4=6f95d6<5;3;6<7;;<6f2?7fm27?i:4>ad9>16c=90=018=i:0;4?83383;2;63l1;3:0>;d93;2963<8482=2=:;1<1=494=2:4>4?0349347?67:?0<<<61>16:7?68:?4>4??34;;64?034;86<77;<37>4??34l=64ge34li64gb34lo6<78;4?034;;=7?nb:?247<6il16===51`g89461283<70??7;3:3>;6810:5:5211a95<><58:o6<77;<33a?7>027:999>574=90=01<<<:0;4?875<3;2;63>2482=2=:9;<1=494=00:>4gb34;9m7?ne:?26g<6il16=?m51`g8942?28k;70?:b;3b4>{t1<0;6>u297814<=Y1<16nn4>929~wge=839iw0ll:32;?851<3;ji63<6482e`=:;?n1=464=24f>4??349=j7?68:?035<61116?:?518:8913428kn70::4;3ba>;3=<0:mh5244495dc<5:nh64>959>0`0=9hl019k8:0ce?834m3;2463:3g82===:==:1=464=2:6>4??3493:7?68:?0<2<61116?56518:896>>2833708518;892<61016==4>ad9>57<61116=>4>989>51<61016j;4>ad9>bd<6il16jo4>ag9>bf<6io16ji4>999>b`<61116==?51`g8946528km70??3;3bb>;68?0:555211595<><58:36<77;<33g?7>127:989>55c=90301<>i:0;:?875:3;2463>2282===:9;>1=464=006>4??34;9:7?68:?26<<6io16=?o51`d8944e28km70?=c;3bb>;6;>0:m=5215a95d6<58?h6;ej3;2?6s|bc83>6d|5kh1>=64=247>4ga349=97?nf:?02a<61016?;k518;8960a283270=80;3:=>;4?80:545244195d`<5=??6ag9>7ab=9h:018o<:0c3?840?3;2863;e782f5=:4=41f>4?>34?8j7?69:?605<61016?5;518;896>1283270=77;3:=>;4010:545239;95;683;jj63>2;3:=>;6;3;2m63>4;3:e>;a>3;jj63ia;3bb>;aj3;i<63ic;3a4>;al3;2563ie;3:=>;6880:mk5211095g6<58:86127:<:4>989>55>=90301<>l:0;b?877l3;2m63>0d82=d=:99l1=4o4=001>4?>34;9?7?69:?261<61016=?;518;89441283270?=9;3a4>;6:h0:n=5213`95g6<588h6a19>50b=9h:0q~7>:1808?52;:27S7>;<`b>4?43tyim7>53cy>fd<58116?;:51c28960228h;70=9d;3:e>;4>l0:5l5237d95i27?m>4>a19>005=9k:019;;:0`3?822=3;i<63;5782f5=:=h>1=l>4=34b>4?334>n:7?m1:?7a2<6j8169>k518c8905a283j70;;0;3:e>;40<0:5l5239495i278444>9`9>2?7>j27<6<7m;<33>4d734;96<7n;<30>4?e34;?6<7m;4d734lj64d634lh6;4?f34ln6<7n;<335?7e827:b09>555=9k;01<>9:0;b?877?3;2m63>0982=d=:99i1=4l4=02g>4?e34;;i7?6b:?24c<61k16=?<518c89444283j70?=4;3:e>;6:<0:5l5213495;<31e?7e927:>o4>b09>57e=9k;01<=6:0c3?873m3;j<63>5d82e5=z{l>1<7:t=d7965?01<6i:0;0?87?k3;2?6s|19d94?7>s4;3j7:4j3:?0a7k4j3:?2g4:d1896?62l901>7k:d1891522l9019j;:d18906a2l9018=::d18901e2l90q~k9:1878c02;:27Sk9;<3;a?7>;27:4o4>929~w4>b2908=v3>8d814==:;:<1i>525c79a6=:;881i>5248c9a6=:ll0n?63>f28f7>;4:00n?63>d88f7>;6nm0n?63=a78f7>;5j90n?63<1c8f7>;4;4=k0n?63<668f7>;4?:0n?63<818f7>;3>90n?63;6e8f7>;3?10n?63;818f7>;2l90n?63:028f7>;2mk0n?639058f7>;2n?0n?639138f7>;3j=0n?63;a68f7>;5k00n?63=ed8f7>;5nj0n?63;588f7>;5l90n?63=d68f7>;5ll0n?63=e48f7>;30m0n?63:a68f7>;4800n?63=9`8f7>;?93o870?j6;g0?823l3o870;l3;g0?83cm3o870:l9;g0?xu60k0;6>u219`965><5:9o6h=4=5d7>`5hm7>53z?7gg<5801U8no4=5a`>4?43ty?on4?:4fx91ed2;:370==d;3:0>;2im0:59525`g95dd<5<27o57?64:?gf?7><27:ik4>949>5c6=90?01><>:0cf?855>3;2863=a382=1=::h91=4:4=3c`>4?3348jh7?64:?052<61=16?<6518689625283?70=;6;3:1>;4<>0:mo5235d95<2<5:?<6<7;;<155?7><278;l4>959>72d=90>01>9l:0;7?850l3;2863<7d82=1=:<>91=4:4=556>4?334?h57?64:?6gd<61=169nm51``891`c283?70;j7;3:1>;2n80:mo525g195dc<5=h96<7;;<0e1?7fj27?9>4>b39>003=9k80196n:0;7?83>n3;2863<0582e`=:;9<1=4:4=0g7>4?33498n7?64:?07g<61<169n>5187890b?28k;70;k9;3b4>;2lh0:m=525ea95dc<5;8m6<279??4>959>63c=90>01?9n:0cf?84?=3;2863=8782=1=::1=1=4:4=3:;>4ge34>n>7?64:?7a7<61<169>l51868905c283?70;9c;3:0>;2180:595250595dc<5854>959>11`=90>01<>l:0;`?877l3;2o63>0d82=f=:98h1=4:4=03g>4ge34;:j7?ne:?26<<6j:16=?l51c18945628ki70?<2;3bf>;6;o0:595217195d6<58<>6959>524=9hh01<9;:0c3?870>3;j<63>8582=0=z{<3=6=4<{<7:3?4712T>5;5258:95<5540y>1<>=:9201f082e5=:;=<1=l>4=264>4gb349=87?m2:?020<6j;16?;j518a8960b283h70=9f;3:g>;4?90:5n52363954>b29>002=9k9019;::0`0?822>3;i?638e;3b4>;3m?0:n>524d595g5<5<9i6<7:;<70a?7>k27>?k4>9b9>116=90i0188l:0;6?83303;j<63:4g82e5=:;1?1=4m4=2:5>4?d3493;7?6c:?0<=<61j16?57518a8946=9k801<<518a89c0=9k801ko51c089cd=9k901km51c189cb=90i01kk518a8946628h970??2;3a7>;68:0:n>5211495k27:>?4>9b9>575=90i01<<;:0;`?875=3;2o63>2782=f=:9;31=484=00b>4?134;9n7?66:?26f<61?16=>>51`28945628kn70?<2;3ba>;6;:0:mh5212695dc<58>;6<7;;<37=?7f827:9k4>a19~w1cf2908w0:jb;03=>X3mh168hm51818yv2bk3:1hv3;eb814==:9j=1=4;4=0a;>4?234;h57?65:?0;6?00:585216c95<3<58=i6<7:;<34g?7>=27:;i4>949~w`>=83>p1h7521;8Z`><5lk1=4=4=0:g>4?43tynm7>51cy>ad<58116=n951`2894e?28k;70?l9;3b4>;6kk0:59521ba95<2<5=:j6<7;;<63f?7><27?959>05b=90>019<::0;7?825l3;2863>be82=1=:9ko1=4:4=0`e>4?3349mj7?64:?745<61=168=?518689165283?70<;5;m0:595222g95<2<58=26a19>52e=9h:01<9k:0c3?xu60m0;6?u219f965><5:o36h=4}r76f?6=9n4=089]10d<5;2wx98j50;7x903c2;:370:<3;3:0>;3;:0:585242;95<2<5=926<7:;|q2=4<72;q6=4?521:8964f283?7p}:2983>6}:=;31>=74^40;?835i3;2?6s|53c94?3|5<8j6?>7;<3g2?7>=27?9h4>959>00c=90?018:7:0ca?xu2>l0;6>u257d965?{t=>:1<7;t=453>76?34;mm7?65:?73`<61=168:k51878902a28ki7p}>8683>6}:9121>=74^0:4?87?13;2?6s|19;94?5|58226?>7;<1f2?7><278i;4>949~w0?42908w0;64;03=>X21:1694;51818yv3>=3:19v3:94814==:<:l1=4:4=51e>4?234>?97?64:?700<61<1v8>::180837>38;56P:049>151=9090q~;?7;291~;28>09<55238`95<2<5:3i6<7:;<1b5?7><278m<4>949~w5<5s4i86?>7;<107?7>=2wxm94?:2y>e0<5801Um952ce82=6=z{jn1<7<5;9j6<7:;|qb2?6=;r7j;7;dm3;2?6s|cd83>7}:kl09<55225495<376>3Wk370mi:0;0?xudn3:1>v3lf;03<>;5=80:585rs`c94?5|5hh1>=74^`c89a6=9090q~j?:1818b72;:370<:8;3:1>{tij0;6>u2ae814<=Yij16h<4>929~wa7=838p1i?521:89703283>7p}ne;297~;fn38;56Pne:?g6?7>;2wxh?4?:3y>`7<58116>;l51878yv30k3:1?v3:7e814<=Y=>i0189l:32;?xu2?o0;6?u256a95<5<5<=m6?>7;|q7b0<72:q68k8521;8Z1`234>m976;_6``>;3km09<55rs5ae>5<5s4>hh7?63:?7gc<5811v9kk:18082bm38;56P;ee9>0`b=:920q~:jf;296~;3mm0:5>524dd965>53z?64<<5801U9=64=42;>76?3ty>15>=909018>n:32;?xu29l0;6>u250d965?e;03<>{t=;:1<74?434?9<7>o4=099~w04c2909w0;=b;3:7>;2:m09<55rs452>5<4s4?<>7;1>=64}r747?6=:r7>;<4>929>125=:920q~<>0;297~;59809<45Q202897772;:37p}=2883>7}::8:1=4=4=30:>76?3ty9=:4?:2y>64>=:930R??8;<023?4702wx>>;50;0x9770283870<<5;03<>{t:831<7=t=33b>76>3W8:563=18814==z{;>:6=4={<02=?7>;2798<4=099~w77e2908w0<>c;03=>X59k16>v3=1c82=6=::=i1>=64}r02`?6=;r79=h4=089]64b<5;;o6?>7;|q116<72;q6>6}::;:1>=74^33e?846n38;46s|24d94?4|5;;m6<7<;<06b?4702wx>??50;1x97452;:27S<=1:?164<5811v?89:18184593;2?63=67814==z{;886=4<{<010?4712T9>>52231965>7>52z?166<61:16>:<521:8yv45=3:1?v3=27814<=Y:;?01?<::32;?xu5?l0;6?u223795<5<5;=n6?>7;|q162<72:q6>?6521;8Z7403489;76;_6gg>;3lj09<55rs5ff>5<5s4>oo7?63:?7``<5811v8=9:180834?38;56P:379>160=:920q~;<8;296~;2;?0:5>5252:965>53z?62=<5801U9;94=444>76?3ty>:44?:3y>131=90901886:32;?xu20j0;6>u259f965?{t=1o1<74?434?3i7;3j>09<55rs433>5<4s4?:=7=64}r726?6=:r7>==4>929>144=:920q~;:1;297~;2=;09<45Q543890362;:37p}:5283>7}:=<;1=4=4=470>76?3ty9=84?:2y>640=:930R??:;<021?4702wxnh4?:3y>643=90901ok521:8yv46:3:1?v3=12814<=Y:8801??=:32;?xud>3:1>v3=1382=6=:k?09<55rs5``>5<4s4>ih7=64}r6aa?6=:r7?nn4>929>0gc=:920q~;;3;297~;2<=09<45Q551890242;:37p}:4483>7}:==91=4=4=466>76?3ty>8l4?:2y>11d=:930R8:n;<77e?4702wx99m50;0x902f283870;;c;03<>{t=76>3W?>i63:5d814==z{<<;6=4={<76a?7>;27>:=4=099~w1b22908w0:k6;03=>X3l<168i;521:8yv2c?3:1>v3;d482=6=:=64}r7;=?6=;k4>929>12c=9090186m:0;6?83?i38;46s|56g94?2|5<=n6?>7;<7;7?7><27>494>959>7ag=90>0q~;78;296~;20<0:5>5259:965>53z?6<0<58116=>k51868945b283>7p}:8183>7}:=1h1=4:4=4:3>76?3ty>4o4?:3y>1=d=:920186n:0;0?xu61;0;6l7t=0;1>76?34?3m7<6b:?68528`896512;3?70;m5;0:f>;2j<09595230096j27?5l4=959>``<51k16hh4=959>5f`=:0h01f281=1=:;;k1=4;4=20:>7?e34;o57<6b:?2`<<51=16=kj528`894`c2;3?70;5i?0959522c296j278=o4=959>71g=:0h01>:n:3;7?852:382n63<5381=1=:;

    4l4=27a>7?3349=;7<6b:?022<51=16?:=528`896142;3?70=70;0:f>;40909595247296j27?:i4=959>02>=:0h01997:3;7?82?8382n63;8181=1=:=m:1>4l4=4f3>7?334?;?7<6b:?646<51=169hl528`890ce2;3?708?4;0:f>;18=0959525g496j27==?4=959>0g2=:0h019l;:3;7?85fk382n634l4=2`5>7?334>;j7<6b:?74c<51=16?n?528`896e62;3?70:n7;0:f>;3i>0959523bc96j278h84=959>043=:0h019?::3;7?826j382n63;1c81=1=:<;;1>4l4=502>7?334>9;7<6b:?762<51=16>n7528`897e>2;3?70;5ml0959522ga96j27?944=959>6a6=:0h01?j?:3;7?84c?382n63=d681=1=::mo1>4l4=3ff>7?3348n97<6b:?1a0<51=16?h<528`896c52;3?70=i4;0:f>;4n=09595243d96j27?4i4=959>1d1=:0h018o8:3;7?87d9382n63>c081=1=:;931>4l4=22:>7?3349n47<6b:?0a=<51=16>4o528`897?f2;3?70=je;0:f>;4ml09595241696j27?8<4=959>011=:0h019:8:3;7?8122;3i709::3;7?8>62;3i706>:3;7?87b>382n63>e781=1=:;:n1>4l4=21g>7?33492=7<6b:?0=4<51=16?49528`896?02;3?70=6d;0:f>;41m0959523`196j278jl4=959>063=:0h019=::3;7?824j382n63;3c81=1=:<=n1>4l4=56g>7?334?h?7<6b:?6g6<51=169ik528`890bb2;3?70:k4;0:f>;3l=0959524g696j27>171=:0h018<8:3;7?834=382n63:3481=1=:=>h1>4l4=45a>7?334>h57<6b:?7g<<51=1v?l;:1813<}::k>1>=64=4:b>`4<5<2?6>27>494>969>1=2=9020186;:0;:?83?<3;2m63:8582=g=:=k?1i?525c295j27>n<4>9`9>1g7=90h018l=:0;b?83e:3;2n63<138f6>;31h0n>63ke;g1?87dn3o970?i3;g1?85513o9704??348j?7?69:?1e6<61h16>l=518`897g328h870;5i=0:5:522`695<><5;k?6<76;<0b0?7>i279m94>9c9>6g6=m;16>lj5185897gc283370;5im0:5l522`f950279mh4>989>6dc=90k01?oj:0;a?856j3o970=>8;3:2>;4910:5:5230:95<><5:;36<76;<12i278=54>9c9>74?=90<01>?6:0;4?85613;2463<1882=<=:;831=4o4=23:>4?e349?m7k=;<166?c5349>n7k=;<153?c5349=87?67:?021<61116?;:518;89603283j70=94;3:f>;4><0:5:5237795<><5:<>6<76;<151?7>i278:84>9c9>725=m;16?;j518d8960c28k:70=9d;3b6>;4>m0:m>5237f95d2<5:278:i4>a69>73b=9h201>8k:0c:?851l3;jm63<6e82ef=:;?n1=lj4=24f>4?a349=i7?n1:?02`<6i;16?;k51`18960b28k?70=9e;3b1>;4>l0:m;5237g95d1<5:a`9>73c=9hi01>8j:0cg?851n3;2j63<6g82e4=:;?l1=l<4=24e>4g4349=j7?n4:?02c<6i<16?;h51`48960a28k<70=9f;3b<>;4>o0:m45237d95dg<5:9g9>726=9h;01>9?:0c1?85083;j?63<7182e1=:;>:1=l;4=253>4g1349<<7?n7:?035<6i116?:>51`;8961728kj70=80;3bg>;4?90:mi5236395<`<5:=:6;<145?7f:278;<4>a29>727=9h>01>9>:0c6?85093;j:63<7082e2=:;>;1=l64=252>4g>349<=7?na:?034<6ij16?:?51`f896>72l80198k:d08911?2l8018j?:d0890ef283=70;la;3:3>;2kh0:55525bc95j27>oo4>979>1fd=90=018mm:0;;?83dj3;2563:cc82=d=:=jh1=4l4=4a`>4?>34?ho7?6a:?6gf<61k169==5e39>1`d=m;16:=:5e39>1c0=m;169k=51c1890`4283=70;i3;3:3>;2n:0:55525g195j27>j94>b29>1c2=90<018h;:0;4?83a<3;2463:f582=<=:=o>1=4o4=4d7>4?e34<:>7k=;<6a0?c5349jo7k=;<1a2?c534>;j7k=;<1`5?c534>j;7k=;<1`e?c5349o97k=;<621?c534>:n7k=;<615?c534>9;7k=;<0`=?c5348ni7k=;<0eg?c534>>57k=;<667?7>j27?994>9c9>003=90h019;9:0;a?84c83o970i3o970=je;g1?827<3o970:;1;g1?823?3o9709::d089=7=m;16=h85e39>76b=m;16?4?5e39>7<1=m;16?4j5e39>7d5=m;16?ko5e39>063=m;168>l5e39>01b=m;169n=5e39>1ac=m;168i:5e39>0c2=m;169=h5e39>171=m;169>;5e39>12d=m;16>:o51c18971f283=70<8a;3:3>;5?h0:555226c95j279;o4>b29>62d=90<01?9m:0;4?840j3;2463=7c82=<=::>h1=4o4=35a>4?e34>n:7?69:?7a3<61h168h8518`891c0283270:j7;3:e>;3m>0:5o5252g95<`<5<9n6;<70a?7f:27>?h4>a29>16c=9h>018=j:0c6?834m3;j:63:3d82e2=:=:o1=l64=41f>4g>34?8i7?na:?67`<6ij169>k51`f8905a283m70;;2;o0:m?5252d95d5<5<9m6?k4>a79>16`=9h=018=i:0c;?834n3;j563:3g82ed=:=:l1=lm4=41e>4gc34??<7?6f:?605<6i81699>51`08902728k870;;0;3b0>;2<90:m85255295d0<5<>;68=4>a89>116=9hk018:?:0c`?83383;jh63;c88f6>;40<0:5k5239795d7<5:2>6a59>7=3=9h?01>6::0c5?85?=3;j;63<8482e==:;1?1=l74=2:6>4gf349397?nc:?0<0<6im16?58518d896>128k:70=76;3b6>;40?0:m>5239495d2<5:2=62784;4>a69>7=0=9h201>69:0c:?85?>3;jm63<8782ef=:;1<1=lj4=2:4>4?a3493;7?n1:?0<2<6i;16?5951`1896>028k?70=77;3b1>;40>0:m;5239595d1<5:2<6a`9>7=1=9hi01>68:0cg?85?03;2j63<8982e4=:;121=l<4=2:;>4g4349347?n4:?0<=<6i<16?5651`4896>?28k<70=78;3b<>;4010:m45239:95dg<5:2369g9>7=?=9h;01>66:0c1?85?13;j?63<8882e1=:;131=l;4=2::>4g1349357?n7:?0<<<6i116?5751`;896>>28kj70=79;3bg>;4000:mi526;3b0>;128k>70851`4893<6i>16:7?n8:?5>4g>34<1=lo4=782ef=:>3;jh638:0c7?81=9h?01:4>a79>3?7f?27<60:ml527;3bg>;028ko70??:0`0?877283=70??:0;4?877283370??:0;:?877283j70??:0;a?87528k:70?=:0c1?87528k870?=:0c7?87528k>70?=:0c5?87528k<70?=:0c;?87528k270?=:0cb?87528kh70?=:0cg?87428k970?<:0c0?87428k?70?<:0c6?87428k=70?<:0c4?87428k370?<:0c:?87428kj70?<:0c`?87428ko70?;:0c1?87328k870?;:0c7?87328k>70?;:0c5?87328k<70?;:0c;?87328k270?;:0cb?87328kh70?;:0cg?8`1283370h9:0;:?8`1283j70h9:0;a?8`f283370hn:0;:?8`f283j70hn:0;a?8`e283j70hm:0;a?8`d283j70hl:0;a?8`c28k:70hk:0c1?8`c28k870hk:0c7?8`c28k>70hk:0c5?8`c28k<70hk:0c;?8`c28k270hk:0cb?8`c28kh70hk:0cg?8`b28k:70hj:0c1?8`b28k870hj:0c7?8`b28k>70hj:0c5?8`b28k<70hj:0c;?8`b28k270hj:0cb?8`b28kh70hj:0cg?87793;i?63>0082=3=:99;1=494=022>4??34;;=7?69:?244<61h16==?518`89465283<70??2;3:<>;68;0:545211095?27:<>4>999>555=90301<><:0;b?877;3;2n63>0782=c=:99<1=l?4=025>4g534;;:7?n3:?243<6i=16==851`78946128k=70??6;3b3>;68?0:m55211495d?<58:=6ae9>551=90l01<>8:0c2?877?3;j>63>0682e6=:99=1=l:4=024>4g234;;;7?n6:?242<6i>16==951`:8946028k270??7;3be>;68>0:mn5211595db<58:36<7i;<33a39>55>=9h901<>7:0c7?87703;j963>0982e3=:9921=l94=02;>4g?34;;47?n9:?24=<6ih16==651`a8946?28ko70??c;3b0>;68j0:m85211a95d0<58:h6a89>55e=9hk01<>l:0c`?877k3;jh63>0e82e1=:99n1=l;4=02g>4g134;;h7?n7:?24a<6i116==j51`;8946c28kj70??d;3bg>;68m0:mi5211g95d2<58:n627:

    a69>55c=9h201<>j:0c:?877m3;jm63>0d82ef=:99o1=lj4=02e>4g334;;j7?n5:?24c<6i?16==h51`58946a28k370??f;3b=>;68o0:ml5211d95de<58:m6?4>a39>574=9h901<<=:0c7?875:3;j963>2382e3=:9;81=l94=001>4g?34;9>7?n9:?267<6ih16=?<51`a8944528ko70?=3;3b5>;6::0:m?5213195d5<58886>4>a79>575=9h=01<<<:0c;?875;3;j563>2282ed=:9;91=lm4=000>4gc34;987?n1:?261<6i;16=?:51`18944328k?70?=4;3b1>;6:=0:m;5213695d1<588?694>a`9>572=9hi01<<;:0cg?875=3;j=63>2482e7=:9;?1=l=4=006>4g334;997?n5:?260<6i?16=?;51`58944228k370?=5;3b=>;6:<0:ml5213795de<588>6;4>a39>570=9h901<<9:0c7?875>3;j963>2782e3=:9;<1=l94=005>4g?34;9:7?n9:?263<6ih16=?851`a8944128ko70?<1;3:2>;6;80:5:5212395<><589:6<76;<305?7>i27:?<4>9c9>564=90<01<==:0;4?874:3;2463>3382=<=:9:81=4o4=011>4?e34;8?7?66:?276<61>16=>=518:89454283270?<3;3:e>;6;:0:5o5212695<0<589?6<78;<300?7>027:?94>989>562=90k01<=;:0;a?xu2080;6?u259295<2<5<2:6?>7;|q6<7<72;q695>5187890>52;:37p}46|5<286<7:;<7;0?7>=278m94=099>7d>=90>01>o6:0;7?85fi3;28634?3349ii7?64:?0g2<61=16?n65186896b6283?70=k2;3:0>;4l:0:59523ec95<35fz?6<6<6i91695:51`2896g>283>70=na;3:1>;4il09<5523c095<3<5:h86<7:;<1ag?7><278nh4>949>7f1=90?01>m7:0;6?85c93;29634g73ty8n:4?:gy>1=5=9hh0186;:0ca?85f13;j<63=64=2`a>4?2349io7?65:?0f`<6i916?oh5186896e028k;70=l8;3b4>;4l80:m=523e095d6<5:n86428kn70;74;3ba>;4i00:mo523`c95dd<5:hm6<7:;<1`6?470278o;4>959>7a7=9hh01>j=:0ca?85c;3;jn63494>ag9>7d?=9ho01>on:0cf?85en3;j<634gb349om7?nf:p1=5=838p186<:32;?83?:3;286s|1c794?2|5<2?6<27?9<4>949>5g3=:920q~:?>7>52z?6<1<6j;1689<521:8yv3?<3:1>v3:85814==:=181=4;4}r7;2?6=:r7>4;4=099>1=>=90>0q~==c;292`}:=1=1=ll4=20`>76?34?ji7?n0:?6f5<6i9169o?51``890d528ki70==5;3:1>;4=10:m=5234;95dd<5:;<151?7e9278:i4>9c9>73c=90h01>8i:0;a?85083;2n63<7082=g=:=ji1=l>4=4d2>4g734?m>7?n0:?6b6<6ik169k:51``891g628k;70;5n?0:m=522g595<3<5;l36<7:;<0e=?7fj27?9>4>b09>002=9k;019;::0`2?822>3;i=634=227>4ge349;97?nb:?4g?7f827>h54>949>1a?=90?018jn:0;6?83cj3;2963:db82eg=::;o1=4;4=30e>4?23488<7?65:?10<<6ik16>8l51878973d283>70<9d;3:1>;5?00:m=5226c95dd<5;=i6b39>0`1=9k8018=j:0;a?834n3;2n63:4182=g=:=8=1=ll4=43;>4ge34?:m7?65:?65g<61<1698651`28903>28ki70;95;3bf>;40<0:5o5239495j278444>9c9>55<6j816=?4>9c9>b6<6i916j84>ac9>b3<6j816jl4>b09>bg<6j;16jn4>b39>ba<61k16jh4>9c9>557=9k;01<>=:0`1?877;3;i>63>0782=g=:99=1=4l4=02;>4?e34;;n7?nb:?25a<6i916=;6::0:5o52136956<7m;<312?7>j27:>44>b39>57g=9k801<63>3c82eg=:9=91=l>4=064>4g734;><7?nb:?21=<6i916=;;518789401283>70?97;3:1>;6>k0:585216095d6<58=86=2wx95950;0x90>02;:370;78;3:1>{t;:?1<74?43498:7=>:0;0?854<38;46s|32394?5|5:9:6?>7;<01g?7><279>n4>949~w64b2909w0=<6;3:7>;4:l09<55rs20g>5<5s498:7k=;<11`?4702wx??h50;0x964b283?70==f;03<>{t;::1<74?23498<7=?:0;7?85483;2963<1e814==:;;;1=lh4=201>4gb3ty>hk4?:05x9655283>70=<3;3:0>;2kl0:58525ed965><5=l96<7;;<6e6?7>=279?44>949>66g=90>01?:::0;6?843>3;2863=5182=0=::<;1=4:4=374>4?2348>47?64:?126<61<16>;:51868970f283>70<9b;3:0>;5??0:585226595<3<5;286<7:;<0;b?7>=2795=4>949~w6552909w0=<2;03<>;4;=0:595rs210>5<5s498?7=7:1864~;4:m0:58525`f95<3<5949>`<<61<16hn4>959>5c7=90?01><=:0ce?855>3;2963=a382=0=::h>1=4:4=3c`>4?2348ji7?64:?052<61<16?<7518689625283>70=;f;3:1>;4=>0:585237395<3<5==86<7:;<642?7><27>o44>949>1fd=90>019hk:0;6?83b03;2963:f382eg=:=o>1=lk4=5`1>4?2348m:7?nb:?1b2<6i916>k651`2897`>28kn70::4;3a6>;3=?0:n?5249c95<3<5<3m6<7:;<137?7fj278<84>ad9>750=90?014=30f>4g73488<7?n0:?174<61<16>><51878970a283?70<89;3bf>;5?k0:mh5229795<3<5;2=6<7:;<0;3?7>=27>?i4>949>14>=9ho01;4>9b9>3?7>k27:ad9>55`=90i011482=1=:98<1=4:4=034>4?334;:o7?64:?25`<6ik16=?o51c18944d28h870?<0;3:1>;6;:0:mo5212695dd<589m6<7:;<350?7f827::;4>a19>53d=9h:01<8j:0;6?870;3;jn63>7482e5=:91?1=4;4}r7a0?6=;r7>m54>929>1g0=90?018l::32;?xu2i10;676?34?jh7?n0:?6e`<6il169o>51`g890d628km70;m2;3bb>;2kh0:58525b`95<3<5j?4>ad9>1c5=9hl018h;:0ce?807l3;286390d82=1=:>9l1=4:4=733>4?3348m97?ne:?1b3<6il16>k751`d890bd28km70<89;3ba>;5?h0:mk5226`95d`<5<;<6959>524=9ho01<9<:0cf?xu2j:0;6?u25`d95<5<57;|q6ec<72:q69lh521:890e?283?70;l8;3:1>{t=h31<74?334?j57283>70;nb;03<>{t:>21<7=t=4c`>4?334?jo7?65:?13=<5811v8ol:18183fk38;463:ac82=1=z{mo4>949~w0`?2908:v3:ad82ec=:=k:1=lh4=4`2>4d734?i>7?m0:?061<6i916??;51`28964128k;70;la;3b4>;2kk0:m=525ba95d`<56<7;;<7f2?7><27>i:4>a19>1`>=9h:018k6:0;6?83a038;463:fd82=1=:=o:1=4:4=4d2>4ga34?m>7?nf:?6b6<6j9169k:51c28936d283>708?d;3:1>;18l0:585261d95<3<5?;;6<7:;<132?7f8279554>959>1gb=90>018li:0;7?845m3;jn63=2g82eg=::::1=ll4=312>4g7348=h7?n0:?12`<61<16>;h51878971>28km70<8a;3a4>;5?k0:n=5250595g6<5<;36=l4>a19>14d=9h:01<8k:0;7?870:3;jj63>7282ec=:9>>1=ll4=056>4ge34;<:7?nb:?2<4<61=16=5<51868yv3bk3:194u25`g95g6<5n?4>b09>772=9hh01><::0ca?855>3;jn63:c`82eg=:=jh1=ll4=4a`>4d734?n:7?65:?6a2<6ik169h651``890c>28k;70;if;3:0>;1890:595261395<2<5?:96<7;;<7fg?47027>j<4>b19>1c4=9k:018h<:0`2?83a<3;i=6390b82e5=:>9n1=l>4=72f>4g734<;j7?n0:?555<6i916>k;51`d897`128km70;5n10:mo522g;95g6<5::86ag9>750=9hh01?77:0;6?83el3;2963:bd82=1=:=kl1=4;4=4a3>4g734?h=7?n0:?6`=<6ik169i751``890bf28ki70;kb;3bf>;2lj0:n=5223g95dc<5;8m6ac9>664=9h:01?;n:0c3?842j3;j<63=5b82e5=::?n1=ll4=34f>4g7348=j7?n0:?13<<6j916>:o51c38971e28h:70<75;3b4>;50?0:m=5229595d6<5;236=54>b09>14?=9hh018?n:0ca?836j3;jn63>6282eg=:9?>1=ll4=046>4ge34;=:7?nb:?222<6ik16=;o51878940e28ki70?9c;3:1>;6>l0:m=5216095g6<58=86ad9>520=9ho01<6=:0;6?87?;3;2863>8582e5=:91?1=l>4}r432?6=:oq69lk51c3890d728h:70;m1;3a6>;2j;0:n?5233695dc<5:8>6ol4>ad9>1fd=9ho018ml:0`2?83b=3;2963:e782e5=:=oo1=4;4=4de>4?234<;<7?65:?544<61<16:=<5187890`7283>70;i1;3a5>;2n;0:n<525g195g4<5ac9>25b=9hh01;>j:0ca?807n3;jn6391182eg=:;991=lh4=227>4d7349;97?m0:?6f`<61<169oh51`28975528ki70<9d;3ba>;5>l0:mo5227d95dd<5;=26;<04e?7e:279;o4>b39>6=3=9hh01?69:0ca?84??3;jn63=8982ec=:9?n1=4;4=0:2>4?234;3?7?65:p1dc=838p18oj:32;?83fj3;j<6s|31`94?54s4?i<7?m2:?6f4<6j:169o<51c18966e2;:370=?f;3:0>;2kh0:mk525b`95d`<5i54>ad9>1`?=9hh018hi:0c3?807l3;ji63=eb82=1=::o=1=lk4=3d;>4gb349;:7?ne:?6g5<6ik169n?51``890b?28kn70;k9;3ba>;2lh0:mh525e`95dc<5;8n6ag9>667=9ho01?==:0cf?842i3;jn63=5c82eg=::4gb348=j7?ne:?65<<6il169;6>=0:mh5217795dc<58<=6a19>53d=9ho01<8l:0c3?871l3;j<63>6d82eg=:9>>1=lh4=056>4ga34;<:7?nf:?2<1<6ik16=5;51``8yv3d<3:1ov3:b182f6=:=k;1=484=4`1>4?134?h87;2=10:mh5254;95d`<5<>36<7:;<77b?7>=2wx>h950;0783e83;2:63:b082=2=:=k81=494=233>4?3348j?7?65:?1e1<61<16>lj5187897gb283>70=>8;3:1>;4900:58525bc95g7<5;<7`g?7>>27>i44>ad9>256=9h:01;>j:0cf?84b?38;463=eb82=0=:;9;1=4:4=225>4ga3488=7?nf:?177<6io16>8o51`g8973e28kn70<:c;3ba>;5>l0:mk5227d95d`<5;2>6<27>=44>ag9>14g=9hl018?m:0ce?871i3;jn63>6b82eg=:9?n1=ll4=04f>4gb3ty9j=4?:31x90d7283<70;m1;3:<>;2j;0:555230295<3<5;k86a19>6dc=9h:01>?7:0c3?85613;j<63:c`82f7=:=jh1=o<4=4a`>4?034?n57?nf:?544<6i916:=h51`g897cd28k;70;4880:585231495g6<5;9:6ag9>60d=9hl01?;l:0ce?841m3;i<63=6g82f5=::1<1=lk4=43:>4d734?:m7?m0:?65g<6j916=;o51`g8940d28kn70?9d;3ba>;6>l0:mk5rs3df>5<58r7>n=4>999>1g7=903018l=:0;:?85683;j<63=ae82eg=::ho1=ll4=23;>4ge349:57?nb:?6gd<6j:169nl51c1890ed283370;j9;3a4>;18;0:m=5260295dc<5;oh6b09>667=9k;01?==:0`2?842i3;i<63=5c82f5=::4=34f>4d6348=j7?m1:?1<2<6il169<751c38907f28h:70;>b;3a5>;6>h0:mk5217a95d`<5850;0x90d72;:370;m3;3:0>{t=k;1<776?34?i?7?65:p1g4=838p18l=:32;?83e;3;j<6s|30394?4|5::i6<7<;<126?4702wx?=m50;0x9675283870=?c;03<>{t;9n1<74?3349;h7>l:0;6?857m38;46s|28794?2|5::m6<7:;<0:1?47027>9:4>959>101=90?0q~=?f;296~;48o09<55231g95<2511y>746=9hh01?o<:0ca?84f<3;jn63=ae82e`=::ho1=lk4=23;>4gb349:57?ne:?1g1<58116>ho5186897eb283?70;5l<0:59522ea95<2<5;o86<7;;<135?7f82795?4>949~w7ee290nw0=>0;3ba>;5i:0:mh522`695dc<5;ko6ag9>74?=9hl01?kn:0;6?84dj38;463=d482=0=::mi1=4;4=3g0>4?2349;=7?nb:?1=7<6i91v?j=:18g85683;jj63=a282ec=::h>1=lh4=3cg>4d7348ji7?m0:?05=<6j916?<751c2897cf28k;70;5lj0:m=522d195d6<5:::6i750;ax967728h;70;5i=0:n=522`f95g7<5;kn6;<12b09>6`g=9hh01?j6:32;?84b;3;jn63<0082ec=::081=lk4}r0f4?6=jr78==4>b09>6d5=9k;01?o;:0`2?84fl3;i>63=ad82f7=:;821=o<4=23:>4d5348nm7?ne:?1a5<58116?=?51c2897?528km7p}<1183>7}:;8:1>=64=22f>4?23ty?544?:3y>0=c=9090197n:32;?xu30l0;6?jt=5:f>76?34>297?65:?7=3<6i9168495187891??283>70jn:0;7?8be283>70jl:0;6?87bn3;jn63>f182eg=:9o;1=ll4=546>4?334>=97?65:?731<61=168:;518789111283>70:m0;3:0>;3=:0:5;5244695<0<5=?>6<79;<662?7>>27?484>959>0=3=90?014?034;9n7?67:?26f<61>16=>>51``8945628km70?<2;3bb>;6;:0:mk5212695d`<58??6<7:;<361?7><27:9;4>959>501=9hh01<;7:0ca?872j3;jn63>5b82eg=:94ge34;>j7?nb:p0=`=838p197n:0;0?82?n38;46s|48294?4|5=2m6<7;;<6:4?4702wx84?50;0x91>a283>70:61;03<>{t9<81<7=t=5;1>4?334>2>7?65:?217<5811v97=:18182>:38;463;9082=1=z{8?j6=4<{<6:7?7><27?5>4>949>50g=:920q~:63;296~;31:09<55248395<3287>52z?7=1<5811684?51`28yv2f03:1?ku248795d6<5=3<64?234ni64g734;nh7?64:?2a`<61=16=hh51`g894`728kn70?i1;3ba>;6l;0:59521g495<2<5==?6<7:;<641?7f827?;;4>a19>0d>=:92019oj:0;7?82fn3;2863;b182=0=:4=5`1>4g734>j<7?nb:?7e4<6ik168l<51``891g428ki70:n4;3bf>;3=:0:5:5244695<1<5=?>6<78;<662?7>?278hn4>ac9>7ab=9hh01>jj:0cf?85cn3;ji63;8c82=1=:?00:59527c82eg=:?j0:mo527e82eg=:?l0:mo521d295<2<58o96<7:;<3f7?7f827:i94>a19>01d=90>019m::0;7?82d=3;2963>2882===:9;k1=464=00a>4??34;9o7?68:?275<6il16=>?51c28945528h;70?<3;3a4>;6;=0:n=5212595dd<58936ad9>56d=9ho01<:>:0;7?872=3;296s|48794?4|5=3>6?>7;<6:5?7fj2wx84850;0x91?12;:370:61;3ba>{t<0=1<776?34>2=7?nf:p0;6mm0:58521dg95<3<58om6ag9>5a4=90?014=5`2>4ge34>i>7?nb:?7=g<5811688=518:89133283370::5;3:<>;3=?0:555249`95<3<5>31=4;4=6`95dc<5>i1=lk4=6f95dc<5>o1=lk4=0g3>4?234;n=7?64:?70g<61<168n851868944>283270?=a;3:=>;6:k0:545213a95b09>565=9k;01<=;:0`2?874?3;ji63>3982e`=:9:31=lk4=01b>4ga34;8n7?nf:?204<61<16=8:51`289431283>7p};9983>7}:<021>=64=5;2>4d73tyoh7>53z?g1?7>;27oj7?65:?ga?4702wxh84?:01x9a3=:9201i751`289ag=9h:01il51``89ae=9hh01>;?:0;7?850i3;2963;fd82=1=:4gb34<1=4j4=682=a=:9:0:5n521582=f=:99i1=4j4=02g>4?c34;;i7?6d:?24c<61m16=<=51878yvb12909w0ji:0;7?8b12;:37p}kf;296~;cn38;463ke;3:7>{tl>0;6?u2d782=1=:l>09<55rse:94?4|5m<1=4;4=e:965>76?34n36<7;;|q2g7<72oq6hl4>ac9>`g<6il16hn4>ad9>5f4=:9201c882=1=:<>>1=l>4=556>4ge34><:7?nb:?23<<61=16=:o51868941e283?70?8c;3:0>;6?m0:595rs56f>54ga34nh6ad9>020=9ho019oj:0c3?82fn3;j<63;4d814==:9l;1=4;4=5a5>4?23ty3>7>542y>`d<6io16ho4>b19>`f<6j916=hj51`2894cb28k;70?jf;3a4>;6n90:n=521g395g6<58n=6<7;;<3g3?7><27:jl4>959>5cd=90>01989:0;7?821>3;2963;7582e`=:<>?1=lh4=555>4ga34>i<7?nb:?7f4<6il168o<51`g891g728kn70:n1;3ba>;3i;0:mh524`195dc<5=k?6127?994>989>003=903019;9:0;:?85ck3;ji634ga34>3:7?64:?7<3<61<16;o4>ag9>3f<6io16;i4>ag9>3`<6io16=h<51`2894c428ki70?j4;3bf>;376?34>h?7?64:?ef?7>>27mo7?66:?247<61?16===51848944>283j70?=a;3:e>;6:k0:5l5213a95b39>565=9k801<=;:0`1?874?3;jj63>3982ec=:9:31=lh4=01b>4d734;8n7?m0:?210<6i916=8851`28yvbf2909w0jn:32;?8b?283>7p}kb;296~;cj38;463k8;3b4>{tlj0;6?u2db814==:l10:mo5rs0af>5<4s4;h>7?63:?2`5<61<16=nh521:8yv7dl3:1>v3>c`82=6=:9jn1>=64}r3`e?6=;r7:ol4=099>5g?=90>017;|q2`5<72;q6=i>521:894ea28387p}>c583>7}:9j91=4:4=0a7>76?3ty:o84?:3y>5f5=90?01u21b495<2<58i=6<7:;<34{t<9?1<7?6{<3`3?7fj27:o54>ac9>5f?=9hh019>::32;?82713;2863>bc82=1=:9ki1=4:4=2de>4?234>;<7?65:?744<61<168=<51878924=90>01:=5186891b5283?70;?d;3:0>;2:<0:595252095<2<5<986<7;;<74<27>;44>959>52?=9hh01<9n:0ca?870j3;jn63>7b82eg=:9>n1=ll4}r1g3?6=?r7:o:4>ad9>5f>=9ho019>n:0;6?85c?38;463>be82=0=:9ko1=4;4=2de>4g73ty:o:4?:3y>5f1=:9201<58i>6q6=n751`g8916e283>70?mf;3:1>;3890:m=5239a965><5;9h6<7:;<34f?7fm2wx?4<50;5x94e>28km70:?b;3b4>;6jo0:m=5241295dd<5:396?>7;<00g?7f827:;o4>ag9~w4e>2909w0?l9;03<>;6k<0:mo5rs533>5<61r7:oo4>949>5fe=90?019>6:0;6?827i3;j<63;0c82eg=:<9i1=4;4=52g>4?234>:<7;6jo0:mo527382=0=:?:0:58524e095<3<5<:o6<7:;<711?7>=27>??4>949>165=90?01897:0;6?83013;2963=3b82eg=:::n1=4;4=31f>4?23ty?=;4?:07x94ee28k;70?lc;3b4>;39:0:595240195<3<5=;=6?>7;<3af?7f827:nn4>a19>5gb=9hh01;0;3;j<63;d382e5=:=;?1=l>4=411>4g734?8?7?n0:?63=<6i9169:751`28975d28kn70<;5;l0:m=5rs53`>5<6;r7:oo4>ac9>5fe=9hh019?6:0;7?82613;2963;1b814==:9kh1=ll4=0``>4ge34;ih7?ne:?2f`<6il16=oh51`d8924=9hh01:=51``891b528ki70;=5;3bf>;2;:0:mo5256;95dd<5;9h6ac9~w145290:?v3>cc82e`=:9ji1=lk4=53e>4?334>:j7?65:?767<58116=ol51`g894dd28kn70?md;3bb>;6jl0:mk521cd95g6<5>81=lk4=6195dc<5=n96?>4>ad9>12?=9ho01?=l:0`3?844l3;ji63=3d82e`=z{:om6=46{<3`f?7fn27:on4>ag9>073=90?01>ki:32;?825l3;2963>bc82ec=:9kn1=o>4=0`e>4d634=96q6=nl51c28916d28k;70:?1;3b4>;41109<55222f95d`<58=26;3880:mo5238g965><5;9o6ag9~w4ee2909w0?lb;03<>;6km0:595rs513>5<0s4;ho7?m0:?74a<6i9168=<51`2891572;:370<;6?h0:mh5216f95dc8:7>57z?2gf<6j8168=j51``8916528ki70:<6;03<>;5;l0:n=5216c95d`<58=o67p}>f383>6}:9l=1=4=4=0d7>4?234;m?7;6mm0:mo521dg95dd<58om6;<3e4?7e927:j<4>b09>005=90k019;;:0;b?822=3;2m63;5782=d=:=;>1=4:4=407>4?234?8>7?nb:?63=<6ik16=?7518`8944f283i70?=b;3:f>;6:j0:5o5212295g7<589:64>b29>562=9k90q~?j8;296~;6n=0:59521d:965>52z?2b1<58116=k=51818yv7b13:1>v3>e982=1=:9l31>=64}r3fe?6=:r7:i54>949>5`g=:920q~?=e;297~;6mk0:59521d`95<3<588n6?>7;|q2ag<72;q6=hl521:894cf283?7p};5383>6}:9li1=4:4=0g`>4?234>>>703g=90?0198m:0c3?87383;296s|1df94?4|58oo6?>7;<3fe?7f82wx=hk50;0x94cb2;:370?ja;3bf>{t9ll1<776?34;nm7?ne:p5c6=838p17;<3fe?7e82wx??650;1x967c283870==b;3:1>;4:009<55rs204>5<5s499?7?63:?062<5811v><<:180855;38;46390`82=1=:>9k1=4;4}r12a?6=:r78>o4>959>74c=:920q~==b;296~;4:k09<55233;95<552z?06d<58116??752868yv56n3:1>v3<1d82=1=:;8l1>=64}r114?6=:r78=h4>949>776=:920q~==1;296~;4:809<55233295<27>52z?067<58116??>51878yv55<3:1>v3<25814==:;;=1=4:4}r111?6=:r78>84=099>771=90?0q~==6;296~;4:?09<55233595d652z?2`4<61:16=i7521:8yv7c93:1?v3>d0814==:=:;1=4:4=412>4?23ty:h>4?:3y>5a?=90901=64}r3g0?6=:r7:h>4>959>5a2=:920q~?k5;296~;6l:0:58521e7965>52z?2`3<58116=i;51868yv22i3:1>v3>d682=0=:<=64}r3g3?6=:r7:h:4=099>5a3=90?0q~?ic;296~;6n<0:5>521gf965>53z?2b0<581169:9518689010283>7p}>f683>7}:9on1=4=4=0d4>76?3ty:j;4?:3y>5cb=m;16=k8521:8yv7a03:1>v3>f682=1=:9o21>=64}r3e=?6=:r7:j:4>949>5c?=:920q~?ia;296~;6nh09<5521g;95<252z?2bg<61<168:o521:8yv7aj3:1>v3>fc814==:9o31=4;4}r0b1?6=;r795h4>929>6d1=90?01?o9:32;?xu51l0;676?348j>7?n0:?1e6<6j;16>l:51c08960c283o70=9e;3:`>;4>o0:5i5236295l27>?k4>9e9>116=90n01>6::0;g?85?>3;2h63<8682=a=:;121=4j4=2::>4?c34;96<7k;4?c34ln6<7k;<332?7>l27:<:4>9e9>55>=90n01<<=:0;g?875;3;2h63>2582=a=:9;?1=4j4=005>4?c3ty95k4?:3y>6d1=90>01?7i:32;?xu5i>0;6?u22`5965><5;k=6<7<;|q1e5<72;q6>4h5186897g72;:37p}=a083>7}::0l1=4;4=3c2>76?3ty9m?4?:3y>6d4=:9201?o>:0;7?xu5i:0;6?u22`1965><5;k:6<7:;|q1e1<72;q6>l:521:897g628k;7p}=ag83>6}::h21=4=4=3`2>4?2348i<7=832p1?o7:32;?84fk3;j<63=ae82f6=::ho1=o=4=260>4?334>n?7?65:?0>4?33491=4;4}r0b=?6=:r79n<4>959>6d?=:920q~52z?1e<<61=16>lo521:8yv4fj3:1>v3=a882=0=::hh1>=64}r0bg?6=:r79mn4=099>6dd=90>0q~=>3;292~;5im0:5;522`g95<0<5:;86?>7;<123?7f8278=54>b29>74?=9k90q~52z?1e`<58116>ll51`28yv56i3:1?v3<1282=6=:;8i1=4;4=23a>76?3ty8=94?:3y>74e=90>01>?;:32;?xu49j0;6?u230a965><5:;i6<7<;|q050<72;q6?<:5186896722;:37p}<1783>7}:;8>1=4;4=235>76?3ty8=:4?:3y>741=:9201>?9:0;7?xu4910;6?u230:965><5:;=6<7:;|q05<<72;q6?<7521:8967128k;7p}<4883>7}:;:o1=4=4=26b>76?3ty8?h4?:02x965b2;:370=;3;3:1>;4<=0:mh5236`95<3<5=lm6<7;;<6f7?7f827?i94>ag9>2?7>m27<6<7j;<30>4?c34;?6<7k;<33g?7>m27:9d9>55c=90o01<>i:0;f?876<3;296s|35:94?4|5:>>6<7<;<175;3:0>;29<0:585rs21e>5<5s49?m7?63:?07c<5811v>:?:181854n3;2863<41814==z{:>:6=4={<10b?7>=2788<4=099~w6252909w0=;2;03<>;4<80:595rs260>5<5s49??7:;:181853<38;463<4082e5=z{:>=6=4={<172?470278854>959~w6202909w0=;7;03<>;4<10:585rs272>5<5s49?n7?63:?017<5811v>:m:18e853j38;463<5182=0=:;>i1=4;4=423>4?334>n?7?nb:?7a1<6j916:7?6f:?4>4?a34;86<7j;<37>4?b34;;o7?6f:?24a<61o16==k518d8946a283m70?>5;3:1>{t;=i1<74?4349?o7:l:0;7?853l38;46s|35g94?4|5:>h6<7:;<17a?4702wx?9h50;0x962a2;:370=;e;3:0>{t;<:1<776?349?i7?65:p70g=838p1>;<:0;0?852j38;46s|34194??|5:?86?>7;<16ad9>b6<6ik16j84>ad9>55d=9hl011g82ec=z{:??6=4={<16f?7>;278994=099~w6322909w0=:4;3:0>;4=<09<55rs275>5<5s49>87?65:?013<5811v>;8:181852?38;463<5782=1=z{ag9>1a4=:9201k=51`g89c3=9hl01<>m:0`3?876l3;jj63>1d82ec=:98l1=o>4}r16700=90?0q~=:9;296~;4=009<55234495d652z?01f<61:16?;9521:8yv52k3:1=?u234a965><5:<96<7:;<157?7fj278:94>b29>733=9k9019k9:0;4?82b?3;2;63>3;3:b>;a:3;2963i4;3bf>;a>3;i?63ia;3a7>;aj3;2;63ic;3:3>;68j0:m<5211d95d7<58;i6<7:;<32g?7>=2wx?8j50;0x9600283870=:d;03<>{t;4?3349>i7;k:0;6?852n38;46s|4d794?5|5:<;6<7;;<154?7>=27?i84=099~w6072909w0=90;03<>;4=o0:595rs242>5<5s49==7;0:m=5237195dc<5:>27?:k4=099>025=9h:0199;:0ce?820=3;i<63;7782f5=:4??34<1=l?4=0695<`<5o81=l>4=g695dc<5o<1=484=gc95<0<5oh1=464=ga95<><58:o6;<32f?7f827:=n4>a19~w6052909w0=92;03<>;4=o0:m=5rs240>5<5s49=?78;:181851<38;463<5g82e`=z{:<>6=4={<151?4702789k4>ag9~w6152909w0=98;3:7>;4?:09<55rs24;>5<61r78:54=099>73b=90o01>8j:0;f?851n3;2i63<7182=`=:;>;1=4k4=41f>4?b34?8j7?6e:?605<61l16?5;518g896>1283n70=77;3:a>;4010:5h5239;954?b34;;;7?6e:?24=<61l16=?<518g89444283n70?=4;3:a>;6:<0:5h521349552z?036<61:16?;7521:8yv51i3:1>v3<6882=1=:;?k1>=64}r15f?6=:r78:44>949>73d=:920q~;j0:595237a95<3<5<9h6?>7;|q02f<72;q6?;m521:8960e283?7p}<6e83>7}:;?n1>=64=24a>4?23ty8:h4?:3y>73c=:9201>8m:0c3?xu4>o0;6?u237d965><5:521:8960e28kn7p}<7083>7}:;>;1>=64=24a>4ga3ty8;k4?:3y>722=90901>6?:32;?xu4?=0;6<76?34970=8e;3:1>;2180:585211`95g7<58;86a19>540=90?011b82eg=:98n1=o>4=03f>4d734;:j7?m1:p723=838p1>6?:0;0?850=38;46s|36494?4|5:=>6<7;;<142?4702wx?:950;0x9612283>70=87;03<>{t98;1<7=t=25;>4?3349<47?65:?254<5811v>97:181850038;463<7682=1=z{8;26=4<{<14=?7><278;44>949>54?=:920q~=89;296~;4?009<55236595<352z?03d<58116?:951`28yv50j3:1>v3<7c814==:;>=1=ll4}r14g?6=:r78;n4=099>721=9ho0q~=7b;29=~;4?m0:m=5239`965><5?0:m?527;3b5>;68j0:m?5211f95d4<58:n6;<33b?7f:27:=;4>a19~w61c2909w0=8d;03<>;4?>0:mk5rs`83>f}:;>o1=l>4=422>4?334k1>=64=782e6=:?3;j>63>3;3b5>;6<3;j=63>0b82e6=:99n1=l=4=02f>4g534;;j7?n3:?252<6i91v>9j:181850m38;463<7682f5=z{=?m6=4={<66e?7>;27?:=4=099~w13d2909w0:90;3:7>;3=j09<55rs57a>5<5s4>=<7k=;<66f?4702wx88j50;0x913d283?70::d;03<>{t<4?234>>i7h1=4;4=5:2>76?34>h>7?64:?7g7<61<1v98l:18082193;2?63;6d82=0=:=64}r656?6=:r7?:h4>959>034=:920q~:9e;296~;3>l09<55247f95<5=?7>52z?727<61=168;=521:8yv21<3:1>v3;6382=0=:1>=64}r651?6=:r7?:84=099>032=90>0q~:96;296~;3>?09<55247695<353z?722<61=168;951878945c2;:37p};6683>7}:=64=547>4g73ty>m=4?:2y>03>=90>01987:0;6?83f838;46s|47:94?4|5=<36?>7;<650?7fj2wx8;750;0x910>2;:370:94;3ba>{t76?34>=87?nf:p03d=838p198m:32;?821<3;i<6s|46594?5|5==27?;54=099~w1172909w0:89;3:0>;3?909<55rs55:>5<5s4><57:18182083;2863;70814==z{==96=4={<644?7>=27?;?4=099~w1142909w0:83;03<>;3?;0:595rs557>5<5s4><87ac9~w11a2909w0:8a;3:7>;30909<55rs55`>5<5s4>3<7?63:?73f<5811v99m:18182?83o970:8b;03<>{t<>n1<74?334>=27>h=4=099~w0eb2909w0;ld;3:7>;2kl09<55rs4ag>5<4s4?hh75<51878yv3d=3:1>v3:d082=1=:=j?1>=64}r7g5?6=:r7>h<4=099>1a6=9090q~;l6;296~;2k<0:59525b4965>52z?6g0<61<169n9521:8yv3d03:1>v3:c9814==:=j=1=4:4}r7`=?6=:r7>o44=099>1f1=90?0q~;la;296~;2kh09<5525b595d652z?6gg<581169n951``8yv3dk3:1>v3:cb814==:=j=1=lk4}r736?6=;r7?j:4>929>152=909018><:32;?xu28=0;6<;t=427>76?34>mi7?65:?7bc<61<169=>518789066283>70?=:0;e?8`528ki70h<:0ce?8`328km70h::0`3?8`1283<70hn:0;4?8`e283270hl:0;:?8`c283m70hj:0;e?875:3;2j63>2282=c=:9;>1=4h4=006>4?a34;9:7?6f:p0c>=838p18><:0;0?82a038;46s|4g;94?4|5=l36<7;;<6e=?4702wx8ko50;0x91`?283>70:ia;03<>{tn90;6>u24g`95<2<5=li6<7:;76?3ty?jo4?:3y>0cd=:92019hn:0;7?xua03:1?v3;fb82=1=:mo7>52z?7bf<581168ko51878yv2al3:1>v3;fe814==:4}r6ea?6=:r7?jh4=099>0cg=9hh0q~:if;296~;3no09<5524gc95dc52z?645<581168ko51`d8yv3793:1>v3:00814==:4}r7fe?6=:r7>hk4>929>1`d=:920q~;j0;296~;2mk0:5>525d2965>52z?6a5<61=169h?521:8yv3b:3:1>v3:e182=0=:=l81>=64}r01`?6=;r7>i>4>959>1`5=90?01?<570?80;03<>{t=l>1<776?34?n>7?65:p1`3=838p18k::32;?83b:3;j<6s|5d494?4|57;<7f6?7fj2wx94m50;3`83b?3;jj63:e982ec=:>9:1=ll4=722>4ge34<;>7?nb:?1b2<6io16>k651`d890?d2;:370;l0;3ba>;2k80:mh525e:95d`<5ho4>ag9>67c=9k:01?6282ec=:9?>1=lh4=046>4ga34;=:7?nf:?222<6io16=;l51`d8941328h;70?85;3a4>;6??0:n=5219695dc<582>67}:=l21>=64=4g1>4ga3ty>i44?:3y>1`?=:92018k=:0`3?xu18:0;6>u25g:95<5<5?:>6<7:;<430?4702wx9k750;0x9362283?70;i9;03<>{t>9?1<776?34<;87?63:p1cg=838p18h6:0;7?83ai38;46s|5g`94?4|55:50;1x90`d283?70;ic;3:1>;50=09<55rs4d`>5<5s4?mo7>=:18083al3;2863:fe82=0=:;981>=64}r7e`?6=:r7>ji4=099>1cd=90?0q~;ie;296~;2nl09<5525g`95d652z?6bc<581169kl51``8yv0783:1>v3901814==:=oh1=lk4}r435?6=:r7=<<4=099>1cd=9hl0q~8?2;296~;18;09<5525g`95g653z?6af<61:169k95187890`12;:37p}:ee83>7}:=o=1=4:4=4gg>76?3ty>j:4?:3y>1c1=:92018h9:0;0?xu2ml0;6?u25df95<2<57;|q6ac<72;q69hj5187890ca2;:37p}:f183>7}:=o:1>=64=4ge>4?33ty>j<4?:3y>1c7=:92018ki:0;6?xu2n;0;6?u25g0965><57}:=o>1>=64=4ge>4gb3ty==<4?:2y>250=90901;?<:0;6?806:38;46s|61594?4|5?;86<7;;<433?4702wx:<=50;0x93742;:3708>2;3:7>{t>921<74?334<;478:0;6?807138;46s|61c94?4|5?:j6?>7;<43=?7><2wx>;m50;1x936e283?708?b;3:1>;5>j09<55rs72a>5<5s4<;n7l:181807k38;46390882e5=z{?:o6=4={<43`?47027=<44>ac9~w36b2909w08?e;03<>;1800:mh5rs72e>5<5s4<;j7;27?n94=099~w1g>2909w0:m4;3:7>;3i009<55rs5cb>5<5s4>j57?64:?7ed<5811v9om:18182f13;2963;ac814==z{>k1<7=t=5c`>4?334>jo7?65:?4e?4702wx8lm50;0x91gd2;:370:nb;3:0>{t9:<1<7=t=5cg>4?334>jh7?65:?273<5811v9ok:18182fl38;463;ac82=0=z{=kn6=4={<6ba?47027?mo4>a19~w1ga2909w0:nf;03<>;3ik0:mo5rs5`3>5<5s4>i<7:18182e938;463;ac82ec=z{=h96=4={<6a6?47027?mo4>b19~w6ge2908w0=n4;3:7>;4im0:58523`a965>52z?0ea<61=16?l;521:8yv5fl3:1>v3959>7d0=:920q~=n7;296~;4i<0:58523`5965>5bz?0e=<61<16?l751`d896d3283?70=md;3:0>;4k?0:58523b595dd<5:n;6<7:;<1g5?7fm278i>4=099>7`e=90>01>kl:0;6?xu4m00;64u23`:95d6<5:kj6=278ni4>949>7f0=9h:01>m7:0ca?85c83;j<63=64}r1b7d1=90>0q~=n9;296~;4i009<5523`595<352z?0ed<58116?l951`28yv5e=3:1>v3=64}r1bb?6=:r78n;4>929>7d`=:920q~=m0;296~;4io0:59523c2965>52z?0ec<61<16?o?521:8yv5e:3:1>v34=099>7g7=90?0q~=m4;296~;4j=09<5523c395d6;i7>52z?740<61:168=h521:8yv27>3:1>v3;0g82=6=:<9<1>=64}r633?6=:r7?<;4>959>051=:920q~:?8;296~;38?0:585241:965>;57>52z?74<<581168=651868yv27i3:1>v3;0`814==:<921=4;4}r63f?6=:r7?05>=9h:0q~:?c;296~;38j09<55241:95dd;h7>52z?74a<581168=651`g8yv5d83:1>v3=64}r1a929>7g>=:920q~=m9;296~;4j10:59523c;965>52z?0f=<61<16?oo521:8yv5ej3:1>v37gg=90?0q~=md;296~;4jm09<5523cc95d652z?0f`<58116?oo51``8yv5en3:1>v3929>0d1=:920q~:n5;296~;31o0:5>524`7965>2j7>53z?7=c<58116=ik5186894bb283>7p};9b83>7}:76?3ty?5i4?:3y>00197k:32;?xu31l0;6?u248a95<3<5=3n6?>7;|q7g1<72:q684k5186891?b283>70:l4;03<>{t76?34>j97?64:p0d7=838p19o>:32;?82f=3;296s|4`094?4|5=k96?>7;<6b1?7f82wx8l=50;0x91g42;:370:n5;3bf>{t1<776?34>j97?ne:p7f?=839p1>m=:0;0?85dj3;2963<278o>4=099~w6ee2909w0=lb;03<>;4kh0:5>5rs2a7>5<5s49h?7?64:?0g1<5811v>m::18185d;3;2963959~w6e02909w0=l7;03<>;4k<0:585rs2a;>5<5s49h47j;:18085dk3;2?63=64}r1``?6=:r78h;4>959>7fb=:920q~=k6;296~;4l?09<5523e795<552z?0ga<61=16?nk521:8yv5dn3:1>v3=64}r1g4?6=:r78h=4=099>7f`=90>0q~=k1;296~;4l809<5523bd95<37>52z?0`7<58116?nh51`28yv5c;3:1>v3929>043=:920q~:>1;296~;39<0:5>52403965>:>7>52z?754<61=168<<521:8yv26;3:1>v3;1082=0=:<891>=64}r62e?6=:r7?=;4>929>04d=:920q~:>7;296~;39k0:5>52405965>:47>52z?752<61=168<6521:8yv2613:1>v3;1682=0=:<831>=64}r614?6=:r7?=n4>929>077=:920q~:>d;296~;3:80:5>5240f965>:i7>52z?75a<61=168v3;1e82=0=:<8l1>=64}r612?6=:r7?>?4>929>071=:920q~:=3;296~;3:>0:5>52431965>987>52z?766<61=168?:521:8yv25=3:1>v3;2282=0=:<;?1>=64}r6184>a19>07>=:92019bd82f5=:?:0:mk5222a95g7<5;9o6;<00a?7e92wx>n650;1x97e3283870;5k009<55rs3a6>5<5s48hm7?64:?1g0<5811v?mn:18184di38;463=c882=6=z{;i=6=4={<0`1?7><279o;4=099~w7e02909w0;5k>09<55rs3gg>5<4s48n;7?63:?1ac<61<16>hk521:8yv4bk3:1>v3=ec82=6=::li1>=64}r0ff?6=;r79io4=099>5=6=90>01<6?:0;6?xu5m10;6?u22dd95<2<5;o36?>7;|q1ac<72;q6>hh521:897cb28387p}=e883>7}::l21=4:4=3g:>76?3ty9il4?:3y>6`>=90?01?kn:32;?xu5nk0;6>u22g295<5<5;lo6<7:;<0eg?4702wx>ko50;0x97`3283870{t:o>1<7=t=3d7>76?34?o:7?64:?6`3<61<1v?h>:18184al3;2863=f0814==z{;lo6=4={<0e`?470279jn4>929~w7`52909w0;5n;09<55rs3d0>5<5s48m=7?65:?1b6<5811v?7>:18084a;3;2863=f282=0=::0;1>=64}r0e1?6=:r79j84=099>6cg=90>0q~52z?1b2<58116>ko51`28yv4a03:1>v3=f9814==::ok1=ll4}r0e=?6=:r79j44=099>6cg=9ho0q~::8;296~;35244;965>>;7>52z?717<61:16889521:8yv23n3:1>v3;5882=6=:<=l1>=64}r664?6=:r7?8k4>959>006=:920q~::1;296~;3>?7>52z?716<5811688951868yv22<3:1>v3;55814==:<<=1=4;4}r661?6=:r7?984=099>001=9h:0q~::6;296~;3=?09<55244595dd53z?1gg<61:16>i?5187897b72;:37p}=cb83>7}::m;1=4:4=3a`>76?3ty9h<4?:3y>6a7=:9201?j?:0;0?xu5km0;6?u22ba95<2<5;io6?>7;|q1g`<72;q6>nm5187897eb2;:37p}=d783>6}::m81=4=4=3f;>4?2348o;77;<0g3?7>;2wx>i:50;0x97b4283?70{t:m?1<74?2348o97<279hl4=099~w7ba2909w0;5ll0:5>5rs3fa>5<5s48om7?64:?1`g<5811v?jl:18184ci3;2963=db814==z{;o?6=4<{<0f4?7>;279i;4>949>6`3=:920q~52z?1a3<58116>h;51818yv4b:3:1>v3=e082=1=::l81>=64}r0f7?6=:r79i<4>949>6`5=:920q~=j1;296~;4l>0:5>523d0965>52z?0`g<61:16?h>521:8yv5cj3:1?v34?23ty8h54?:3y>7`4=90901>j7:32;?xu4l00;6?u23e:95<2<5:n26?>7;|q0`d<72;q6?i65187896bf2;:37p}7}:;mi1>=64=2g3>4?33ty8hi4?:3y>7ab=:9201>k?:0;6?xu4ll0;6?u23eg965><5:o;67}:;ll1=4=4=2d7>76?3ty8j=4?:3y>7c2=90901>h?:32;?xu4n80;6?u23g295<2<5:l:6?>7;|q0b7<72;q6?k>5187896`52;:37p}>d`83>6}:;o81=4:4=2d1>4?234;om7283?70:=a;03<>{t<;h1<74?234>9n7o4>959~w14c2909w0:=d;03<>;3:k0:585rs5:`>5<5s4>3=7?63:?7<27?4>4=099~w1>32909w0:72;3:1>;30=09<55rs5:6>5<5s4>39738;463;8582=0=z{8>>6=4<{<6;3?7><27?4:4>949>513=:920q~:77;296~;30>09<55249695d653z?7<=<61=1685651878942e2;:37p};8983>7}:<121>=64=5:7>4ge3ty?444?:3y>0=?=:920196;:0cf?xu30h0;6?u249c965><5=2?6328h;7p}:a783>7}:=0i1=4=4=4c4>76?3ty>m84?:3y>1d6=909018o::32;?xu21m0;6?u25`595<5<5<3o6?>7;|q6=`<72;q694j5186890?b2;:37p}:9g83>7}:=0n1=4;4=4;e>76?3ty>m<4?:3y>1d7=:92018o::0;7?xu2i;0;6?u25`0965><56<7:;|q6e6<72;q69l=521:890g228k;7p}:a583>7}:=h>1>=64=4c6>4ge3ty:o=4?:3y>5g3=90901:32;?xu6j?0;6?u21b395<5<58h=6?>7;|q2f2<72;q6=o85186894d02;:37p}>b983>7}:9k<1=4;4=0`;>76?3ty:n44?:3y>5g?=:9201u21cc95<2<58hj6<7:;<00f?4702wx=oo50;0x94df2;:370?m8;3:1>{t9kh1<776?34;i47?n0:p5ge=838p17;<3a{t9kl1<776?34;i47?m0:p75>=839p1?hj:0;0?857i3;2963<08814==z{::<6=4={<136?7>;278<:4=099~w7`a2909w0=?a;3:0>;5no09<55rs22b>5<5s49;m7>?:18184an3;2863<01814==z{:::6=4={<0eb?7>=278<<4=099~w6642909w0=?3;03<>;48>0:595rs227>5<5s49;87>::181857=38;463<0682e5=z{::=6=4={<132?470278<:4>ac9~w6c02909w0=j3;3:7>;4m109<55rs2g7>5<5s49n47?63:?0a1<5811v>k::18185b<3;2863=278i;4=099~w7?>2909w0<65;3:7>;51h09<55rs3;5>5<5s482m7?63:?1=3<5811v?78:18184>>3;2863=96814==z{;336=4={<0:2?7>=279554=099~w6cc2909w0=j9;3:7>;4ml09<55rs2gb>5<5s49ni7?63:?0ad<5811v>km:18185bi3;2863=278in4=099~w1642909w0=ib;3:7>;38=09<55rs2da>5<3s49mn77}:<9>1=4=4=2d`>76?3ty8ji4?:3y>7ce=90>01>hk:32;?xu4nl0;6?u23ga95<3<5:ln6?>7;|q0bc<72;q6?kh521:896`b283?7p};0183>7}:<9:1>=64=2df>4?23ty?<<4?:3y>057=:9201>hj:0c3?xu38;0;6?u2410965><5:ln6m5181891262;:37p};3e83>7}:<=;1=4=4=51g>76?3ty??h4?:3y>06b=90>019=j:32;?xu3;o0;6?u242f95<3<5=9m6?>7;|q703<72;q689<5181891202;:37p};4283>7}:<==1=4=4=560>76?3ty?894?:3y>015=90>019:;:32;?xu3<<0;6?u245195<3<5=>>6?>7;|q40?6=:r7=i7?63:?41?4702wx:h4?:3y>2`<581168oo51868yv0a2909w09::0;0?80a2;:37p}80;296~;1n3;286380;03<>{t?80;6?u26g82=0=:?809<55rs6094?4|5>81>=64=6395<276?34=:6<7:;|q;4?6=:r7<:7?63:?;5?4702wx;;4?:3y>33<581168oo51878yv1a2909w09n:0;0?81a2;:37p}87;296~;?93;2?6387;03<>{t?10;6?u27682=1=:?109<55rs6;94?4|5>=1=4;4=6;965>76?34=m6<7;;|q4g?6=:r7=2wx;i4?:3y>3a<58116;k4>a19~w2c=838p1:k521:892`=9hh0q~?j5;296~;6lh0:5>521d4965>52z?2a3<61:16=il521:8yv7ck3:1>v3>dc82=1=:9mi1>=64}r3g`?6=:r7:ho4>949>5ab=:920q~?ke;296~;6ll09<5521ef95<252z?2`c<58116=ij51878yv7b83:1>v3>e1814==:9mn1=l>4}r3f5?6=:r7:i<4=099>5ab=9hh0q~?j2;296~;6m;09<5521ef95dc52z?2a6<58116=ij51`d8yv7b<3:1>v3>e5814==:9mn1=o>4}r10g?6=:r78?54>929>76b=:920q~=<9;296~;4;m0:5>5232;965>52z?07<<61=16?>o521:8yv54j3:1>v3<3882=0=:;:h1>=64}r1:4?6=:r784n4>929>7<7=:920q~=7d;296~;4180:5>5239f965>52z?0v3<8e82=0=:;1l1>=64}r1:2?6=:r785?4>929>7<1=:920q~=63;296~;41>0:5>52381965>52z?0=6<61=16?4:521:8yv5>=3:1>v3<9282=0=:;0?1>=64}r1:g?6=:r78554>929>75238;965>52z?0=<<61=16?4o521:8yv5>j3:1>v3<9882=0=:;0h1>=64}r1b6?6=:r785h4>929>7d5=:920q~=6f;296~;4i:0:5>5238d965>52z?0=c<61=16?l>521:8yv5f93:1>v3<9g82=0=:;h;1>=64}r1e=?6=:r78j84>929>7cg=:920q~=i6;296~;4nh0:5>523g4965>52z?0b3<61=16?k9521:8yv5a03:1>v3=64}r600?6=:r7??=4>929>063=:920q~:<1;296~;3;<0:5>52423965>8>7>52z?774<61=168><521:8yv24;3:1>v3;3082=0=:<:91>=64}r60e?6=:r7??;4>929>06d=:920q~:<7;296~;3;k0:5>52425965>847>52z?772<61=168>6521:8yv2413:1>v3;3682=0=:<:31>=64}r67g?6=:r7?854>929>01b=:920q~:;8;296~;3<109<5524b195<3?57>52z?70a<61:16897521:8yv23i3:1>v3;4882=1=:<=k1>=64}r67f?6=:r7?844>949>01d=:920q~;l2;296~;2j>0:5>525b1965>55z?6f2<5811698651`d8903>28h;70;;8;3ba>;25<5s4?h?7?63:?6f=<5811v8l6:18183e03;2863:b8814==z{=27>nl4=099~w4062908w0;mb;3:0>;2jk0:5852173965>52z?6fg<581169oo51868yv7113:1?v3:bb82=1=:=ki1=4;4=04:>76?3ty>nn4?:3y>1ge=:92018ln:0;6?xu2jm0;6?u25cf965><57}:=kl1>=64=4`b>4gb3ty>o=4?:3y>1f6=:92018ln:0ce?xu2k80;6?u25b3965><57}:=mo1=4=4=4f0>76?3ty>h94?:3y>1a5=90>018j;:32;?xu2l<0;6?u25e195<3<56?>7;|q6`3<72;q69i8521:890b2283?7p}>7g83>6}:=m=1=4:4=4f4>4?234;7;<7g1?7f82wx9i750;0x90b>2;:370;k5;3bf>{t=mk1<776?34?o97?ne:p1ad=838p18jm:32;?83c=3;jj6s|5ea94?4|57;<7g1?7e82wx8i=50;0x91ea283870:k4;03<>{t4?434>o<7{t4?434>m<7{t=9h1<74?434?;n7m:0;7?837k38;46s|51f94?4|5<:i6<7:;<73`?4702wx9?850;0x9047283870;=7;03<>{t=;;1<74?434?9=7:0;7?835:38;46s|53194?4|5<8:6<7:;<717?4702wx9?:50;0x90432;:370;=3;3:0>{t=;?1<776?34?9?7?65:p162=838p186<7<;<71a?4702wx9?h50;0x904b283?70;=f;03<>{t=::1<74?234?8<7:32;?83483;286s|52094?4|5<996?>7;<704?7>=2wx9>=50;0x90542;:370;<0;3b4>{t=>k1<74?434?70;86;03<>{t=>=1<776?34?<:7?64:p12>=838p1897:32;?830>3;296s|56;94?4|5<=26?>7;<742?7f82wx>>:50;0x974>283870<<4;03<>{t::91<74?43488?7?m50;0x974f283>70<=c;03<>{t:;o1<776?3488?7?64:p67`=838p1?7;<007?7f82wx>>?50;0x97562;:370<<3;3bf>{t::81<776?3488?7?ne:p616=838p1?=::0;0?843838;46s|22d94?4|5;9i6<7<;<00b?4702wx>>850;0x9727283870<<6;03<>{t::=1<74?33488;7=838p1?=9:0;6?844038;46s|22;94?4|5;926?>7;<00<2wx>>o50;0x975f2;:370<<8;3:1>{t::i1<776?3488j7?64:p66b=838p1?=k:32;?844n3;296s|22g94?4|5;9n6?>7;<00b?7f82wx>9l50;0x9726283870<;b;03<>{t:=k1<74?4348?m75282=0=z{;>96=4={<07f?7>;2798?4=099~w7242909w0<;2;3:0>;5<:09<55rs367>5<5s48?>7?65:?101<5811v?:::181843=38;463=4582=1=z{;>=6=4={<072?470279894>949~w72?2909w0<;8;03<>;55<5s48?57o6=4={<066?7>;2798i4=099~w72b2909w0<;d;3:0>;55<5s48?h7?65:?10c<5811v?;?:181842838;463=4g82=1=z{;?:6=4={<065?4702798k4>949~w73b2909w0<:3;3:7>;5=l09<55rs37g>5<5s48>57?63:?11a<5811v?;6:180842138;463>6382=1=:9?81=4;4}r060?6=:r799h4>929>602=:920q~<:5;296~;5==0:5952247965>:7>52z?111<61<16>88521:8yv42?3:1>v3=56814==::<<1=4:4}r06600=90?0q~<:a;296~;5=h09<55224f95<2n7>52z?11g<58116>8j51878yv42k3:1>v3=5b814==::4}r051?6=:r799k4>929>633=:920q~<90;296~;5><0:5>52272965>52z?125<61=16>;?521:8yv41:3:1>v3=6182=0=::?81>=64}r057?6=:r79:>4=099>634=90>0q~<94;296~;5>=09<55227095<352z?123<61:16>:?521:8yv4083:1>v3=6b82=6=::>:1>=64}r053?6=:r79;<4>929>631=:920q~<98;296~;5>>0:595227:965>52z?122<61<16>;7521:8yv41i3:1>v3=6`814==::?31=4:4}r05f?6=:r79:o4=099>63?=90?0q~<9d;296~;5>m09<55226295<252z?12`<58116>:>51878yv41n3:1>v3=6g814==::>:1=l>4}r04`?6=:r79;?4>929>62b=:920q~<8c;296~;5?10:5>5226a965>52z?13a<61:16>:=521:8yv40<3:1>v3=7282=1=::>>1>=64}r041?6=:r79;>4>949>623=:920q~<86;296~;5??09<55226795<252z?132<58116>:;51878yv4013:1>v3=78814==::>i1=4:4}r04e?6=:r79;l4=099>62e=90?0q~<8b;296~;5?k09<55226a95d652z?13`<61:16>5o521:8yv4?13:1>v3=8582=6=::131>=64}r04b?6=:r794l4>929>62`=:920q~<70;296~;5?o0:5952292965>52z?13c<61<16>5?521:8yv4?:3:1>v3=83814==::1;1=4:4}r0;7?6=:r794>4=099>6=7=90?0q~<75;296~;50<09<55229;95<252z?1<3<58116>5751878yv4??3:1>v3=86814==::131=l>4}r0;6=?=9hh0q~<63;296~;50k0:5>52281965>7>52z?1=4<61:16>4<521:8yv4?k3:1>v3=9282=6=::1i1>=64}r0;`?6=:r794n4>959>6=b=:920q~<7e;296~;50j0:585229g965>52z?15k51868yv4>83:1>v3=91814==::1o1=4;4}r6f=?6=:r7?hh4>929>0`?=:920q~:j8;296~;3m<0:5>524d:965>oj7>52z?7a<<61:168ih521:8yv2b83:1>v3;dg82=1=:=64}r6f5?6=:r7?hk4>949>0`7=:920q~:j2;296~;3m;09<5524d395<2n?7>52z?7a6<581168h?51878yv2b<3:1>v3;e5814==:4}r6f2?6=:r7?i;4=099>0`>=90>0q~:j7;296~;3m>09<5524d:95<37>52z?67=<61:1699<521:8yv3393:1>v3:3b82=6=:==;1>=64}r70=?6=:r7>8?4>929>16?=:920q~;52z?67<<61<169>l521:8yv34l3:1>v3:3e814==:==;1=4:4}r70a?6=:r7>?h4=099>117=90?0q~;52z?605<5811699?51``8yv31l3:1>v3:6882=6=:=?n1>=64}r75e?6=:r7>:i4>929>13g=:920q~;9b;296~;2>h0:595257`965>52z?62d<61<169;m521:8yv3>:3:1>v3:8d82=6=:=081>=64}r7;b?6=:r7>5?4>929>1=`=:920q~;60;296~;20o0:5952582965>52z?6v3;b682=6=:=64}r6a929>0g>=:920q~:m9;296~;3j10:59524c;965>im7>52z?7f=<61<168oo521:8yv36l3:1>v3:1382=6=:=8n1>=64}r72g?6=:r7>=;4>929>14e=:920q~;>6;297~;29?09<55216395<2<58=:6<7:;|q656<72;q697}:=891=4:4=437>76?3ty>=84?:3y>145=90?018?::32;?xu29>0;6?u2505965><5<;h6<7;;|q65=<72;q69<6521:8907d283>7p}:1883>7}:=831>=64=43`>4g73ty>=l4?:3y>14g=:92018?l:0ca?xu29k0;6?u250`965><5<;h67}:=76?3ty>984?:3y>102=90>018;::32;?xu2=?0;6?u254695<3<57;|q612<72;q6989521:89031283?7p}:5983>7}:=<21>=64=475>4?23ty>944?:3y>10?=:92018;9:0c3?xud:3:1>v3me;3:7>;d:38;46s|bg83>7}:k;0:5>52bg814==z{j:1<7=64}ra2>5<5s4hm6<7:;76?3tyhm7>52z?`2?7>;27hm7929>g2<5811vn650;0x9f1=90>01n6521:8yve>2909w0m8:0;6?8e>2;:37p};c983>6}:7;|q7g2<72;q68n:5181891e02;:37p};bg83>7}:76?3ty?o=4?:3y>0g`=90>019m?:32;?xu3k80;6?u24cd95<3<5=i:6?>7;|q7g7<72;q68n<521:891e6283?7p};c283>7}:=64=5a2>4?23ty?o84?:3y>0f3=:92019m8:0;7?xu3k?0;6?u24b4965><5=i<6<7:;|q60<<72;q699;51818902>2;:37p}:4783>7}:==31=4=4=465>76?3ty>8:4?:3y>110=90>018:8:32;?xu2<10;6?u255495<3<5<>36?>7;|q615<72;q699m5181890372;:37p}:4e83>7}:=<:1=4=4=46g>76?3ty>8h4?:3y>11b=90>018:j:32;?xu2m6?>7;|q623<72;q69;>5181890012;:37p}:6083>7}:=?<1=4=4=442>76?3ty>:?4?:3y>137=90>0188=:32;?xu2>:0;6?u257395<3<5<<86?>7;|q621<72;q69;:521:89004283?7p}:6483>7}:=??1>=64=440>4?23ty?ho4?:3y>0a1=909019jm:32;?xu3l10;6?u24e`95<5<5=n36?>7;|q7`<<72;q68i65186891b>2;:37p};d`83>7}:76?3ty84l4?:3y>7=d=90901>6n:32;?xu4080;6?u239c95<5<5:2:6?>7;|q0<7<72;q6?5?5186896>52;:37p}<8283>7}:;1;1=4;4=2:0>76?3ty:<84?:2y>7=2=90>01>6;:0;6?877=38;46s|39694?4|5:2?6?>7;<1;7?7><2wx?5;50;0x96>22;:370=73;3:1>{t;1<1<776?3493?7?n0:p7=1=838p1>68:32;?85?;3;jn6s|39:94?4|5:236?>7;<1;7?7fm2wx?5750;0x96>>2;:370=73;3bb>{t13:1>v3n:0;0?8?=:920q~650;0x90<61:16476?>7;<32e?7><27:=l4>949~w5<72;q657?63:?3>76?3ty:6=4={<295<2<5809<55rs383>7}:83;2963=:32;?xu42909w0=521:897<61=1v94?:3y>0?4702796<7:;|q5>5<5s4<1>=64=982=1=z{8=1<7;t=682e6=:9>09<55211g95d5<58;i652z?4>76?3421=4;4}r35>5<5s4;<6<7<;<35>76?3ty:97>52z?25?7>;27:97b<<61=16j44>949~wg<72;q6=;4>929>f?4702wxo7>52z?a>4?334i1>=64}rf94?4|5k0:5852d;03<>{t99:1<7=t=d82=1=:m3;2963>01814==z{l0;6?u2e;03<>;c283?7p}i:1818`=:9201i4>949~w46=838p1<>521:89a<6i91v<<50;0x944=:9201<;51868yv742909w0?<:32;?872283>7p}>4;296~;6<38;463>5;3b4>{tn>0;6?u2f182=6=:n>09<55rs002>5<4s4l:6<7;;4?234;9=7b2<61=1vk<50;0x9c4=:9201k951878yv`42909w0h<:32;?8`028k;7p}i4;296~;a<38;463i7;3bf>{tn<0;6?u2f4814==:n>0:mh5rsg494?4|5o<1>=64=g595d`4?434lm6?>7;|qe=?6=:r7m57<2wxjl4?:3y>bd<58116jk4>949~wcd=838p1kl521:89c`=9h:0q~hl:1818`d2;:370hi:0ca?xual3:1>v3id;03<>;an3;ji6s|fd83>7}:nl09<552fg82ec=z{8:?6=4={<334?7>;27:<94=099~w4662909w0??1;03<>;68=0:595rs021>5<5s4;;>7<:181877;38;463>0582e5=z{8:26=4={<331?7>;27:<44=099~w4612909w0??6;03<>;6800:595rs024>5<5s4;;;77:181877038;463>0882e5=z{8;;6=4={<33e?7>;27:==4=099~w46f2908w0??a;03<>;69;0:595210095<352z?24g<58116=<>51868yv77k3:1>v3>0b814==:98:1=4;4}r33`?6=:r7:546=9h:0q~??e;296~;68l09<55210295dd52z?24c<58116=<>51`g8yv7603:1>v3>1082=6=:9821>=64}r326?6=:r7:=?4=099>54>=90>0q~?>3;296~;69:09<55210:95<352z?251<58116=<651`28yv76=3:1>v3>14814==:9821=ll4}r322?6=:r7:=;4=099>54>=9ho0q~?>7;296~;69>09<55210:95d`52z?25<<61:16=?>521:8yv76i3:1>v3>1`814==:9;:1=4:4}r32f?6=:r7:=o4=099>576=90?0q~?>c;296~;69j09<55213295d652z?25a<58116=?>51``8yv76m3:1>v3>1d814==:9;:1=lk4}r32b?6=:r7:=k4=099>576=9hl0q~?=7;296~;6:80:5>52135965>7>52z?267<58116=?951868yv75;3:1>v3>22814==:9;=1=4;4}r310?6=:r7:>94=099>571=9h:0q~?=5;296~;6:<09<55213595dd52z?263<58116=?951`g8yv75l3:1>v3>2982=6=:9;n1>=64}r3154=099>57`=90>01<<588o6<7;;|q26d<72;q6=?o521:8944c283>7p}>2c83>7}:9;h1>=64=00g>4g73ty:>n4?:3y>57e=:9201<6?>7;|q26c<72;q6=?h521:89452283?7p}>3183>7}:9::1>=64=016>4?23ty:?<4?:3y>567=:9201<=::0c3?xu6;;0;6?u2120965><589>6=521:8945228kn7p}>3583>7}:9:>1>=64=016>4ga3ty:?n4?:3y>560=90901<=l:32;?xu6;>0;6?u2125965><589h6<7;;|q27=<72;q6=>6521:8945d283>7p}>3883>7}:9:31>=64=01`>4g73ty:?l4?:3y>56g=:9201<=l:0ca?xu6;k0;6?u212`965><589h6j5181894232;:37p}>3d83>7}:9:o1>=64=067>4?33ty:?k4?:3y>56`=:9201<:;:0;6?xu6<90;6?u2152965><58>?64383>7}:9=81>=64=067>4gb3ty:8>4?:3y>515=:9201<:;:0ce?xu6j6?>7;|q203<72;q6=98521:8942f283?7p}>4683>7}:9==1>=64=06b>4?23ty:854?:3y>51>=:9201<:n:0c3?xu6<00;6?u215;965><58>j64b83>7}:9=i1>=64=072>4?33ty:8i4?:3y>51b=:9201<;>:0;6?xu6<58?:65183>7}:9<:1>=64=072>4gb3ty:944?:3y>504=90901<;6:32;?xu6=:0;6?u2141965><58?26<7;;|q211<72;q6=8:521:8943>283>7p}>5483>7}:9=64=07:>4g73ty:9;4?:3y>500=:9201<;6:0ca?xu6=>0;6?u2145965><58?2628km7p}>6183>7}:976?3ty:9o4?:3y>50d=:9201<8?:0;7?xu6=j0;6?u214a965><58<;6<7:;|q21a<72;q6=8j521:8940728k;7p}>5d83>7}:9=64=043>4ge3ty:9k4?:3y>50`=:9201<8?:0cf?xu6>10;6?u217395<5<58<36?>7;|q227<72;q6=;<521:8940?283?7p}>6283>7}:9?91>=64=04;>4?23ty::94?:3y>532=:9201<87:0c3?xu6><0;6?u2177965><58<366683>7}:9?=1>=64=04;>4ga3ty::k4?:3y>53?=90901<8i:32;?xu6>h0;6?u217c965><587p}>6b83>7}:9?i1>=64=04e>4g73ty::i4?:3y>53b=:9201<8i:0ca?xu6>l0;6?u217g965><585181894102;:37p}>7083>7}:9>;1>=64=054>4?33ty:;?4?:3y>524=:9201<98:0;6?xu6?:0;6?u2161965><58=<67483>7}:9>?1>=64=054>4gb3ty:;;4?:3y>520=:9201<98:0ce?xu6?l0;6?u216:95<5<58=n6?>7;|q23<<72;q6=:7521:8941b283?7p}>7`83>7}:9>k1>=64=05f>4?23ty:;o4?:3y>52d=:9201<9j:0c3?xu6?j0;6?u216a965><58=n68783>7}:9>l1=4=4=0:5>76?3ty:4=4?:3y>5=6=:9201<69:0;7?xu6080;6?u2193965><582=6<7:;|q2<7<72;q6=5<521:894>128k;7p}>8283>7}:9191>=64=0:5>4ge3ty:494?:3y>5=2=:9201<69:0cf?xu60<0;6?u2197965><582=65<6std<;>h50;3xyk10<90;6>=6=4>{|l4311=83;pqc984983>4}zf>=?57>51zm322f290:wp`875`94?7|ug=<8n4?:0y~j213l3:1=vsa766f>5<6std<;9h50;3xyk10=90;6?=6=4>{|l4301=83;pqc985983>4}zf>=>57>51zm323f290:wp`874`94?7|ug=<9n4?:0y~j212l3:1=vsa767f>5<6std<;8h50;3xyk10>90;6<=6=4>{|l4331=83;pqc986983>4}zf>==57>51zm320f290:wp`877`94?7|ug=<:n4?:0y~j211l3:1=vsa764f>5<6std<;;h50;3xyk10?90;691<7?t}o5431<728qvb:985;295~{i?>==6=4>{|l4321=83;pqc987983>4}zf>=<57>51zm321f290:wp`876`94?7|ug=<;n4?:0y~j210l3:1=vsa765f>5<6std<;:h50;3xyk10090;62=6=4>{|l43=1=83;pqc988983>4}zf>=357>51zm32>f290:wp`879`94?7|ug=<4n4?:0y~j21?l3:1=vsa76:f>5<6std<;5h50;3xyk10190;63=6=4>{|l43<1=83;pqc989983>4}zf>=257>51zm32?f290:wp`878`94?7|ug=<5n4?:0y~j21>l3:1=vsa76;f>5<6std<;4h50;3xyk10i90;6k=6=4>{|l43d1=83;pqc98a983>4}zf>=j57>51zm32gf290:wp`87``94?7|ug=5<6std<;lh50;3xyk10j90;6h=6=4>{|l43g1=83;pqc98b983>4}zf>=i57>51zm32df290:wp`87c`94?7|ug=5<6std<;oh50;3xyk10k90;6i=6=4>{|l43f1=83;pqc98c983>4}zf>=h57>51zm32ef290:wp`87b`94?7|ug=5<6std<;nh50;3xyk10l90;6n=6=4>{|l43a1=83;pqc98d983>4}zf>=o57>51zm32bf290:wp`87e`94?7|ug=5<6std<;ih50;3xyk10m90;6o=6=4>{|l43`1=83;pqc98e983>4}zf>=n57>51zm32cf290:wp`87d`94?7|ug=5<6std<;hh50;3xyk10n90;6l=6=4>{|l43c1=83;pqc98f983>4}zf>=m57>51zm32`f290:wp`87g`94?7|ug=5<6std<;kh50;3xyk1?890;6=:182xh00991<7?t}o5;41<728qvb:6?5;295~{i?1:=6=4>{|l4<51=83;pqc970983>4}zf>2;57>51zm3=6f290:wp`881`94?7|ug=37l3:1=vsa792f>5<6std<4=h50;3xyk1?990;65;295~{i?1;=6=4>{|l4<41=83;pqc971983>4}zf>2:57>51zm3=7f290:wp`880`94?7|ug=3=n4?:0y~j2>6l3:1=vsa793f>5<6std<4{|l4<71=83;pqc972983>4}zf>2957>51zm3=4f290:wp`883`94?7|ug=3>n4?:0y~j2>5l3:1=vsa790f>5<6std<4?h50;3xyk1?;90;6{|l4<61=83;pqc973983>4}zf>2857>51zm3=5f290:wp`882`94?7|ug=3?n4?:0y~j2>4l3:1=vsa791f>5<6std<4>h50;3xyk1?<90;6=6=4>{|l4<11=83;pqc974983>4}zf>2?57>51zm3=2f290:wp`885`94?7|ug=38n4?:0y~j2>3l3:1=vsa796f>5<6std<49h50;3xyk1?=90;6{|l4<01=83;pqc975983>4}zf>2>57>51zm3=3f290:wp`884`94?7|ug=39n4?:0y~j2>2l3:1=vsa797f>5<6std<48h50;3xyk1?>90;6{|l4<31=83;pqc976983>4}zf>2=57>51zm3=0f290:wp`887`94?7|ug=3:n4?:0y~j2>1l3:1=vsa794f>5<6std<4;h50;3xyk1??90;691<7?t}o5;31<728qvb:685;295~{i?1==6=4>{|l4<21=83;pqc977983>4}zf>2<57>51zm3=1f290:wp`886`94?7|ug=3;n4?:0y~j2>0l3:1=vsa795f>5<6std<4:h50;3xyk1?090;6{|l4<=1=83;pqc978983>4}zf>2357>51zm3=>f290:wp`889`94?7|ug=34n4?:0y~j2>?l3:1=vsa79:f>5<6std<45h50;3xyk1?190;6{|l4<<1=83;pqc979983>4}zf>2257>51zm3=?f290:wp`888`94?7|ug=35n4?:0y~j2>>l3:1=vsa79;f>5<6std<44h50;3xyk1?i90;6{|l44}zf>2j57>51zm3=gf290:wp`88``94?7|ug=3mn4?:0y~j2>fl3:1=vsa79cf>5<6std<4lh50;3xyk1?j90;6{|l44}zf>2i57>51zm3=df290:wp`88c`94?7|ug=3nn4?:0y~j2>el3:1=vsa79`f>5<6std<4oh50;3xyk1?k90;6{|l44}zf>2h57>51zm3=ef290:wp`88b`94?7|ug=3on4?:0y~j2>dl3:1=vsa79af>5<6std<4nh50;3xyk1?l90;6{|l44}zf>2o57>51zm3=bf290:wp`88e`94?7|ug=3hn4?:0y~j2>cl3:1=vsa79ff>5<6std<4ih50;3xyk1?m90;6{|l4<`1=83;pqc97e983>4}zf>2n57>51zm3=cf290:wp`88d`94?7|ug=3in4?:0y~j2>bl3:1=vsa79gf>5<6std<4hh50;3xyk1?n90;6{|l44}zf>2m57>51zm3=`f290:wp`88g`94?7|ug=3jn4?:0y~j2>al3:1=vsa79df>5<6std<4kh50;3xyk1>890;6=:182xh01991<7?t}o5:41<728qvb:7?5;295~{i?0:=6=4>{|l4=51=83;pqc960983>4}zf>3;57>51zm3<6f290:wp`891`94?7|ug=25<6std<5=h50;3xyk1>990;65;295~{i?0;=6=4>{|l4=41=83;pqc961983>4}zf>3:57>51zm3<7f290:wp`890`94?7|ug=2=n4?:0y~j2?6l3:1=vsa783f>5<6std<5:90;6{|l4=71=83;pqc962983>4}zf>3957>51zm3<4f290:wp`893`94?7|ug=2>n4?:0y~j2?5l3:1=vsa780f>5<6std<5?h50;3xyk1>;90;6{|l4=61=83;pqc963983>4}zf>3857>51zm3<5f290:wp`892`94?7|ug=2?n4?:0y~j2?4l3:1=vsa781f>5<6std<5>h50;3xyk1><90;6=6=4>{|l4=11=83;pqc964983>4}zf>3?57>51zm3<2f290:wp`895`94?7|ug=28n4?:0y~j2?3l3:1=vsa786f>5<6std<59h50;3xyk1>=90;6{|l4=01=83;pqc965983>4}zf>3>57>51zm3<3f290:wp`894`94?7|ug=29n4?:0y~j2?2l3:1=vsa787f>5<6std<58h50;3xyk1>>90;6{|l4=31=83;pqc966983>4}zf>3=57>51zm3<0f290:wp`897`94?7|ug=2:n4?:0y~j2?1l3:1=vsa784f>5<6std<5;h50;3xyk1>?90;691<7?t}o5:31<728qvb:785;295~{i?0==6=4>{|l4=21=83;pqc967983>4}zf>3<57>51zm3<1f290:wp`896`94?7|ug=2;n4?:0y~j2?0l3:1=vsa785f>5<6std<5:h50;3xyk1>090;6{|l4==1=83;pqc968983>4}zf>3357>51zm3<>f290:wp`899`94?7|ug=24n4?:0y~j2??l3:1=vsa78:f>5<6std<55h50;3xyk1>190;6{|l4=<1=83;pqc969983>4}zf>3257>51zm3l3:1=vsa78;f>5<6std<54h50;3xyk1>i90;6{|l4=d1=83;pqc96a983>4}zf>3j57>51zm35<6std<5lh50;3xyk1>j90;6{|l4=g1=83;pqc96b983>4}zf>3i57>51zm35<6std<5oh50;3xyk1>k90;6{|l4=f1=83;pqc96c983>4}zf>3h57>51zm35<6std<5nh50;3xyk1>l90;6{|l4=a1=83;pqc96d983>4}zf>3o57>51zm35<6std<5ih50;3xyk1>m90;6{|l4=`1=83;pqc96e983>4}zf>3n57>51zm35<6std<5hh50;3xyk1>n90;6{|l4=c1=83;pqc96f983>4}zf>3m57>51zm3<`f290:wp`89g`94?7|ug=2jn4?:0y~j2?al3:1=vsa78df>5<6std<5kh50;3xyk1f890;6=:182xh0i991<7?t}o5b41<728qvb:o?5;295~{i?h:=6=4>{|l4e51=83;pqc9n0983>4}zf>k;57>51zm3d6f290:wp`8a1`94?7|ug=j5<6std5;295~{i?h;=6=4>{|l4e41=83;pqc9n1983>4}zf>k:57>51zm3d7f290:wp`8a0`94?7|ug=j=n4?:0y~j2g6l3:1=vsa7`3f>5<6std{|l4e71=83;pqc9n2983>4}zf>k957>51zm3d4f290:wp`8a3`94?7|ug=j>n4?:0y~j2g5l3:1=vsa7`0f>5<6std{|l4e61=83;pqc9n3983>4}zf>k857>51zm3d5f290:wp`8a2`94?7|ug=j?n4?:0y~j2g4l3:1=vsa7`1f>5<6stdh50;3xyk1f<90;6=6=4>{|l4e11=83;pqc9n4983>4}zf>k?57>51zm3d2f290:wp`8a5`94?7|ug=j8n4?:0y~j2g3l3:1=vsa7`6f>5<6std{|l4e01=83;pqc9n5983>4}zf>k>57>51zm3d3f290:wp`8a4`94?7|ug=j9n4?:0y~j2g2l3:1=vsa7`7f>5<6std90;6{|l4e31=83;pqc9n6983>4}zf>k=57>51zm3d0f290:wp`8a7`94?7|ug=j:n4?:0y~j2g1l3:1=vsa7`4f>5<6std91<7?t}o5b31<728qvb:o85;295~{i?h==6=4>{|l4e21=83;pqc9n7983>4}zf>k<57>51zm3d1f290:wp`8a6`94?7|ug=j;n4?:0y~j2g0l3:1=vsa7`5f>5<6std{|l4e=1=83;pqc9n8983>4}zf>k357>51zm3d>f290:wp`8a9`94?7|ug=j4n4?:0y~j2g?l3:1=vsa7`:f>5<6std{|l4e<1=83;pqc9n9983>4}zf>k257>51zm3d?f290:wp`8a8`94?7|ug=j5n4?:0y~j2g>l3:1=vsa7`;f>5<6std{|l4ed1=83;pqc9na983>4}zf>kj57>51zm3dgf290:wp`8a``94?7|ug=jmn4?:0y~j2gfl3:1=vsa7`cf>5<6std{|l4eg1=83;pqc9nb983>4}zf>ki57>51zm3ddf290:wp`8ac`94?7|ug=jnn4?:0y~j2gel3:1=vsa7``f>5<6std{|l4ef1=83;pqc9nc983>4}zf>kh57>51zm3def290:wp`8ab`94?7|ug=jon4?:0y~j2gdl3:1=vsa7`af>5<6std{|l4ea1=83;pqc9nd983>4}zf>ko57>51zm3dbf290:wp`8ae`94?7|ug=jhn4?:0y~j2gcl3:1=vsa7`ff>5<6std{|l4e`1=83;pqc9ne983>4}zf>kn57>51zm3dcf290:wp`8ad`94?7|ug=jin4?:0y~j2gbl3:1=vsa7`gf>5<6std{|l4ec1=83;pqc9nf983>4}zf>km57>51zm3d`f290:wp`8ag`94?7|ug=jjn4?:0y~j2gal3:1=vsa7`df>5<6std=:182xh0j991<7?t}o5a41<728qvb:l?5;295~{i?k:=6=4>{|l4f51=83;pqc9m0983>4}zf>h;57>51zm3g6f290:wp`8b1`94?7|ug=i5<6std5;295~{i?k;=6=4>{|l4f41=83;pqc9m1983>4}zf>h:57>51zm3g7f290:wp`8b0`94?7|ug=i=n4?:0y~j2d6l3:1=vsa7c3f>5<6std{|l4f71=83;pqc9m2983>4}zf>h957>51zm3g4f290:wp`8b3`94?7|ug=i>n4?:0y~j2d5l3:1=vsa7c0f>5<6std{|l4f61=83;pqc9m3983>4}zf>h857>51zm3g5f290:wp`8b2`94?7|ug=i?n4?:0y~j2d4l3:1=vsa7c1f>5<6stdh50;3xyk1e<90;6=6=4>{|l4f11=83;pqc9m4983>4}zf>h?57>51zm3g2f290:wp`8b5`94?7|ug=i8n4?:0y~j2d3l3:1=vsa7c6f>5<6std{|l4f01=83;pqc9m5983>4}zf>h>57>51zm3g3f290:wp`8b4`94?7|ug=i9n4?:0y~j2d2l3:1=vsa7c7f>5<6std90;6{|l4f31=83;pqc9m6983>4}zf>h=57>51zm3g0f290:wp`8b7`94?7|ug=i:n4?:0y~j2d1l3:1=vsa7c4f>5<6std91<7?t}o5a31<728qvb:l85;295~{i?k==6=4>{|l4f21=83;pqc9m7983>4}zf>h<57>51zm3g1f290:wp`8b6`94?7|ug=i;n4?:0y~j2d0l3:1=vsa7c5f>5<6std{|l4f=1=83;pqc9m8983>4}zf>h357>51zm3g>f290:wp`8b9`94?7|ug=i4n4?:0y~j2d?l3:1=vsa7c:f>5<6std{|l4f<1=83;pqc9m9983>4}zf>h257>51zm3g?f290:wp`8b8`94?7|ug=i5n4?:0y~j2d>l3:1=vsa7c;f>5<6std{|l4fd1=83;pqc9ma983>4}zf>hj57>51zm3ggf290:wp`8b``94?7|ug=imn4?:0y~j2dfl3:1=vsa7ccf>5<6std{|l4fg1=83;pqc9mb983>4}zf>hi57>51zm3gdf290:wp`8bc`94?7|ug=inn4?:0y~j2del3:1=vsa7c`f>5<6std{|l4ff1=83;pqc9mc983>4}zf>hh57>51zm3gef290:wp`8bb`94?7|ug=ion4?:0y~j2ddl3:1=vsa7caf>5<6std{|l4fa1=83;pqc9md983>4}zf>ho57>51zm3gbf290:wp`8be`94?7|ug=ihn4?:0y~j2dcl3:1=vsa7cff>5<6std{|l4f`1=83;pqc9me983>4}zf>hn57>51zm3gcf290:wp`8bd`94?7|ug=iin4?:0y~j2dbl3:1=vsa7cgf>5<6std{|l4fc1=83;pqc9mf983>4}zf>hm57>51zm3g`f290:wp`8bg`94?7|ug=ijn4?:0y~j2dal3:1=vsa7cdf>5<6std=:182xh0k991<7?t}o5`41<728qvqpsO@By;4a6=nk8j959i}ABA5{GHYqvLM \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE.ngc b/cpld/XC95144XL/MXSE.ngc deleted file mode 100644 index 46db088..0000000 --- a/cpld/XC95144XL/MXSE.ngc +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$53;4=792;:>6?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:285N<02=1>G;984>7L2>2?78E9746<1J0<:15:C?50823H6::3;4A=34:0=F482596O318<7?D:66<1J0?>17:C?64<66<1J0??15:C?67803H69?7615:C?66833H69295N<2<7?D:36=1J080;;@>5:1=F4>4?7L27>59B8<833HFN<85NLD2N1>GKM9Yh7LBJ0^ov|5678m1J@H>Pmtz34566l2KGI=Qbuy23454c3HFNGIL=>0MCJ:4:CM@32374A^DPF974601JSK]M<06==>GXNZH7=806;@]EWG:6>730MRH\B=34:<=FWOYI0<619:C\BVD;90437LQISC>2:<=FWOYI0?>19:C\BVD;:8427LQISC>16;d546OPFR@?1;>GXNZH753j4A^DPFZ77W@H^Ji5N_GQA[47XAK_Mh6OPFR@\57YNJ\Lo7LQISC]27ZOE]On0MRH\B^37[LDRNm1JSK]M_07\MGSAl2KTJ^LP17]JFP@c3HUM_OQ>7^KAQCbGXNZHT=RGMUGf8EZ@TJV8;SDLZFe9B[CUEW;;TEO[Id:C\BVDX:;UBNXHk;@]EWGY5;VCIYKm4A^DPFZ4XAK_Mo6OPFR@\7ZOE]Oi0MRH\B^6\MGSAk2KTJ^LP5^KAQCeGXNZHT;RGMUGa8EZ@TJV2TEO[Ic:C\BVDX1VCIYK:4B@AW1>DFK];>7OOLT378FDESz=1II_\:;CGQV50=5:@FVW713KOY^<|j;CGQV4tXWfx;<=>i;CGQV4tXWfx;<=>>f:@FVW7uWVey<=>?249AAWT5=2HN^_=:;CGQV13DBZ[UTc>?010g?GCUZVUd~=>?02f8F@TUWVey<=>?4e9AAWTXWfx;<=>:d:@FVWYXg{:;<=89;CGQVw`>3KOY^hPN1c8F@TUzoUE<<84BDPQvwcE6>D90O5C;;BC@P7=DM:1H@F74CMI1\ZOHJl1H@F1H@FQISCa8GIMXNZHTEO[I7:AOOZOHJj1H@FQFOC]JFP@43JFY?6MAT89@KHKN\]OO;6M]E@VF@7=D[?1H`ho}1g9@h`gu9VUjbi>?01d8Gicfz8Ujbi>?0132?A3Bf|h6:2<5J5:GP85823LY7=3;4ER>1:0=B[59596K\<5<7?@UX8m1N_R>P_`lg4567m2OXS=QPaof34566m2OXS=QPaof34565m2OXS=QPaof34564m2OXS=QPaof34563m2OXS=QPaof34562m2OXS=QPaof34561<2OXS95JS^0g?@UX:VUjbi>?01g8AVY5WVkeh=>?00g8AVY5WVkeh=>?0368AVY4l2OXS>QPaof3456b3LYT?RQnne23457b3LYT?RQnne2345433LYT8i5JS^6\[dhc89:;i6K\_5]\ekb789::i6K\_5]\ekb789:9=k5JS^Ob`aYXimn;<=>PMymq[Wct}e~7=3?i;DQ\IdbcWVkoh=>?0^O{kwYUmzgx1<11g9FWZKflmUTmij?012\I}iuW[oxyaz33?3e?@UXEhnoSRokd1234ZKg{UYi~{ct=6=5<=B[VGjhiQPaef3456XWhdo<=>?1`9FWZKflmUTmij?012\[dhc89:;=<74ER]NeabXWhno<=>?_^cm`56798k0I^QBaef\[dbc89:;SRoad123547>3LYTAljk_^cg`5678VUjbi>?033b?@UXEhnoSRokd1234ZYffm:;i;DQ\UZ7Xg{:;<=?>f:GP[TY6Wfx;<=>>2d9FWZWX9Vey<=>?2d9FWZWX9Vey<=>?3d9FWZWX9Vey<=>?4d9FWZWX9Vey<=>?5d9FWZWX9Vey<=>?6d9FWZWX9Vey<=>?7d9FWZWX9Vey<=>?8d9FWZWX9Vey<=>?9d9FWZYflm:;<=2>>d9FWZYflm:;<=2=>d9FWZYflm:;<=2<>d9FWZYflm:;<=2;>49FPDELl2O_MNEPaof3456b3L^JOFQnne23457b3L^JOFQnne23454b3L^JOFQnne23455b3L^JOFQnne23452b3L^JOFQnne23453b3L^JOFQnne2345023LUBCOo4E^KLFZOE]O80I=4Es0a?@tXKeaTECX>5:Gq[K633OIGG?5ID59E@FC43OYI=6I<;FLG5>O53@:97D?=;H01?L553@>97D;=;H41?L133@KH_85FABQ21>OFKZ8>7DOLS268MGSA12CEEY][AUG0?LHQ=2CDMNZk;HMBGQYj}q:;<=k4INC@PZkrp9:;<i;HMBGQYj}q:;<=?>f:KLEFRXe|r;<=>>2d9JKDESWds<=>?2d9JKDESWds<=>?3d9JKDESWds<=>?4d9JKDESWds<=>?5d9JKDESWds<=>?6d9JKDESWds<=>?7d9JKDESWds<=>?8d9JKDESWds<=>?979JKDESz?1BCOK]Rd9JKGCUZVddx=>?1g9JKGCUZVddx=>?10d8MJDBZ[Uecy>?000e?LIEM[XTbbz?01300>OHJD>0EBL\4:KLGV3?0e9JKI6Xe|r;<=>>d:KLH5Yj}q:;<=j4INN3[hs89:;8i5FOM2\ip~789:>86G@L0a8MJJ6Wge<=>>d:KLH4Yig}:;<1BC]YLS578MJTBYm1BC_K^_lw{4567m2CD^H_Pmtz34566n2CD^H_Pmtz345668l1BC_K^_lw{4567:l1BC_K^_lw{4567;l1BC_K^_lw{4567l1BC_K^_lw{4567?l1BC_K^_lw{45670l1BC_K^_lw{45671?1BC_K^r49JKWQ7l2CD^Z>Pmtz3456b3@EY[=Qbuy23457b3@EY[=Qbuy23454b3@EY[=Qbuy23455b3@EY[=Qbuy23452c3@EY[=Qaou2344ci6G@RV3\ekb789:=;6G@Rdcg}46=c:KLWZ@TEVLMh4INQ\BVKXNOn9!D`>119JKVYA[DUMJi<"Io024>OH[VLXARHId3/Jj6eOH[VLXARHId2/Jj46??;HMP[CUJWOLo? Ga4028MJUXNZGTJKj<-Hl655=NGZUM_@QIFe1.Mk0682CD_RH\M^DE`6+Nf>;;7DA\_GQN[C@c;$Ce4<>4INQ\BVKXNOn8!D`6c:KLWZ@TEVLMh9m4INQ\BVKXNOn>o6G@S^DPIZ@Al?i0EB]PFRO\BCb0n2CD_RH\M^DE`2+Nf8:0EB]PFRO\BCb0%@d:==5FOR]EWHYANm=&Ec<>0:KLWZ@TEVLMh:#Fn2a8MJUXNZGTJKj7f:KLWZ@TEVLMh5#Fn028MJUXNZGTJKj7-Hl255=NGZUM_@QIFe:.Mk4682CD_RH\M^DE`=+Nf:;;7DA\_GQN[C@c0$Ce895FOT2`?LIR8Vg~t=>?0e9JKP6Xe|r;<=>>d:KLQ5Yj}q:;<=j4INW3[hs89:;8i5FOT2\ip~789:>86G@U0a8MJS6Wge<=>>d:KLQ4Yig}:;<;N68KGSA;2EY>>5@R218KW233Fdhin5@nbg\[jt789:o7B`le^]lv56788n0Ccmj_^mq4567:m1DbnkP_np34564l2EeohQPos23452c3FdhiRQ`r12340bIiklUTc>?01:g?JhdmVUd~=>?0838Tf=W&;::?<>>3^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD`8TVYA[DUMJi?j;QQ\BVKXNOn:!D`i;QQ\BVKXNOn:!D`>f:RP[CUJWOLo= Ga2c9SWZ@TEVLMh?k4PR]EWHYANm8&Ech4PR]EWHYANm8&Ec?>0:RP[CUJWOLo> Ga1133?UUXNZGTJKj=-Hl2546 Ga7g9SWZ@TEVLMh?#Fn9d8TVYA[DUMJi<"Io;a?UUXNZGTCxz?c:RP[CUJWF<3:3=UI5;;255]A=32>5813[K7=<0:;SC?5;3TF4=4>7_O35?78VD:16<1YM1915:PB8=823[K753=4R@O6?WGJKZ<0^LCLS048VDKD[;<0^LCLS248VDKD[=<0^LCLS448VDKD[?<0^LCLS648VDKD[1<0^LCKIR58VDKCAZ;m7_OBDHQ2[hs89:;==5]ALFJW4Yj}q:;<=?>1:PBIAOT9Vg~t=>?00225>TFEMCX=Rczx123447692XJAIG\1^ov|567888:=6\NMEKP5Zkrp9:;<<=>1:PBIAOT9Vg~t=>?00625>TFEMCX=Rczx123443692XJAIG\1^ov|56788<:=6\NMEKP5Zkrp9:;<<9>1:PBIAOT9Vg~t=>?00:25>TFEMCX=Rczx12344?682XJAIG\1^ov|5678;;;7_OBDHQ2[hs89:;?<>4R@OGMV7Xe|r;<=>;119QEHBN[8Ufyu>?01724>TFEMCX=Rczx12343773[KFHD]>_lw{4567?8:0^LCKIR3\ip~789:3==5]ALFJW4Yj}q:;<=78;SCN@LU5n2XJAIG\2^]lv56788:0^LCKIR0\[jt789::=<5]ALFJW7YXg{:;<=??119QEHBN[;UTc>?01024>TFEMCX>RQ`r12346773[KFHD]=_^mq4567<8:0^LCKIR0\[jt789:>==5]ALFJW7YXg{:;<=8>0:PBIAOT:VUd~=>?0633?WGJL@Y9SRa}0123<46?2XJAIG\369QEHBN[==0^LCKIR7;?WGJZlkou<>4R@OQadb~Wds<=>?109QEHTbimsTaxv?012257=UIDXnmiwPmtz345668880^LC]e`fz[hs89:;=?00026>TFE[ojhtQbuy2345749;1YM@\jae{\ip~789::8<<4R@OQadb~Wds<=>?1431?WGJZlkouRczx123440692XJA_kndx]nq}67898:=6\NMSgb`|Yj}q:;<==>1:PBIWcflpUfyu>?01625>TFE[ojhtQbuy23453692XJA_kndx]nq}6789<:=6\NMSgb`|Yj}q:;<=9>1:PBIWcflpUfyu>?01:25>TFE[ojhtQbuy2345?23[KXIAj4R@QFHZkrp9:;>3g9QEVCKWds<=>?15d8VDUBDVg~t=>?007e?WGTMEUfyu>?0135b>TF[LFTaxv?01223c=UIZOGS`{w01235=`;k4R@QFHZkrp9:;<>k4R@QFHZkrp9:;<9k4R@QFHZkrp9:;<8k4R@QFHZkrp9:;<;k4R@QFHZkrp9:;<:k4R@QFHZkrp9:;<5k4R@QFHZkrp9:;<474R@]3[JDRNj1YMR>Pmtz3456c3[KTTFW9Ufyu>?016b?WGX99UDNXHn;SC\54YHJ\L27_OP1^MAQCeTFW8Ufyu>?013g?WGX9Vg~t=>?03f8VDY6Wds<=>?3e9QEZ7Xe|r;<=>;9:PB[7YHJ\Lh7_OP2^ov|5678m1YMRRczx12346bTaxv?012g?WGX?00f8VDY3Wds<=>?2e9QEZ2Xe|r;<=>S`{w01237a=UIV?Taxv?0127=>TFW?UDNXHl;SC\2Zkrp9:;TFW>Ufyu>?01f8VDY0Wds<=>?1e9QEZ1Xe|r;<=>=d:PB[2Yj}q:;<==k;SC\3Zkrp9:;<974R@];[JDRNj1YMR6Pmtz3456c3[KT4Rczx12344bTFW1Ufyu>?016:?WGX1VEIYKm4R@]:[hs89:;h6\N_8]nq}6789;o7_OP9^ov|5678;n0^LQ6_lw{4567;m1YMR7Pmtz34563;2XN_95]ER36?WCTzo?0^H]}re9QAVtuWge<=>>e:PFWwtXff~;<=?>5:PLIFU13[EFO^?9;SMNGV413[EFO^=9;SMNGV213[EFO^;9;SMNGV013[EFO^9m;SQ\BVKXNOn:i6\\_GQN[C@c9$Cej6\\_GQN[C@c9$Ce=<>4RR]EWHYANm;&Ec??119QWZ@TEVLMh<#Fn0324>TTWOYFSKHk1,Km57773[YTJ^CPFGf2)Lh6;8:0^^QISL]EBa7*Ag;?j6\\_GQN[C@c9$Ce>k5]S^DPIZ@Al8'Bb>h4RR]EWHYANm;&Ec:i;SQ\BVKXNOn:!D`:f:PP[CUJWOLo= Ga6g9QWZ@TEVLMh<#Fn6d8VVYA[DUMJi?"Io:e?WUXNZGTJKj>-Hl:f>TTWOYFSKHk2d9QWZ@TEVLMh?#Fng9QWZ@TEVLMh?#Fn033?WUXNZGTJKj=-Hl2446??;SQ\BVKXNOn9!D`>4028VVYA[DUMJi<"Io3655=U[VLXARHId3/Jj40682XXSK]B_GDg6(Oi9>;;7_]PFRO\BCb5%@d:4<>4RR]EWHYANm8&Ec?6f:PP[CUJWOLo> Ga2g9QWZ@TEVLMh?#Fn2d8VVYA[DUMJi<"Io6e?WUXNZGTJKj=-Hl6b>TTWOYFSKHk2,Km2c=U[VLXARHId3/Jj2`a3[YTJ^CPFGf1)Lh>j2XXSK]B_GDg7`=U[VLXARHId2/Jjc=U[VLXARHId2/Jj4773[YTJ^CPFGf0)Lh68o1Y_RH\M^DE`6+Nf;l0^^QISL]EBa5*Ag9m7_]PFRO\BCb4%@d?j6\\_GQN[C@c;$Ce9k5]S^DPIZ@Al:'Bb;h4RR]EWHYANm9&Ec9i;SQ\BVKXNOn8!D`7f:PP[CUJWOLo? Ga9c9QWZ@TEVE~x5m4RR]EWHYH}}2:o6\\_GQN[Jss0;?0^hoky79Qadb~8>1Yiljv0sd8V`gcq9xTSb|?01224>Tbims;~RQ`r12344773[ojht>}_^mq4567:?1Yiljv169Qadb~9{l0^hoky0p\[jt789::<6\jae{2vZYhz9:;<?279Qadb~:>1Yiljv2sd8V`gcq;xTSb|?01224>Tbims9~RQ`r12344773[ojht<}_^mq4567:?1Yiljv379Qadb~TbnJd0>06;SgeGkr;<730^hhLnu>6:<=UmoIex1819:PfbFhs4>437_kiCov\4==UmoIexR?7;SgeGkrX:11YikMat^1;?WcaKg~T855]egAmpZ3?3[omOczP699QacEi|V=9?6\jfBlw[HgclVUjhi>?01]N|jtXZly~`y2>>318V``Df}UFmijP_`fg4567WDrd~R\jstnw8785;2XnjN`{_Lcg`ZYflm:;<=QBxnp\V`urd}682?=4Rdd@jqYJimnTSljk0123[H~hzVXnxb{<5<17>TbnJdS@okd^]b`a6789UFtb|PRdqvhq:26;90^hhLnu]NeabXWhno<=>?_LzlvZTb{|f0;0=3:PfbFhsWDkohRQnde2345YJpfxT^h}zlu>4:70d:PfbFhsWDkohRQnde2345YXign;<=?>e:PfbFhsWDkohRQnde2345YXign;<=?>1e9QacEi|VGjhiQPaef3456XWhdo<=>=1d9QacEi|VGjhiQPaef3456XWhdo<=>=10f8V``Df}UFmijP_`fg4567WVkeh=>?30g8V``Df}UFmijP_`fg4567WVkeh=>?303g?WcaKg~TAljk_^cg`5678VUjbi>?053f?WcaKg~TAljk_^cg`5678VUjbi>?0532`>TbnJdS@okd^]b`a6789UTmcj?0172a>TbnJdS@okd^]b`a6789UTmcj?017257=UmoIexRQnde2345:76880^hhLnu]\eab789:7=3?=;SgeGkrXWhno<=>?<3<26>TbnJdSRokd12349599;1YikMat^]b`a67896?2<<4Rdd@jqYXimn;<=>35?31?WcaKg~TSljk01238386:2XnjN`{_^cg`56785=5;6\jfEmma464RddGkkcXWfx;<=>>139QacBhflUha}Qjq123445?2018V``CggoTo`~Pep234556;2XnjIaae^antZcv89:;8<=4RddGkkcXkdzTi|>?01727>TbnMeeiRmbp^gr4567>890^hhKoog\ghvXmx:;<=9>3:PfbAiimVif|Rk~0123<45Tbn\xliczn;SgeQwabf};j7_kiUsefjq4f3[omYijnu1b?Wca]{mnby:9;Sgpqir13ZIXEN]8;RAPMFU6?2YH_DM\269PGVOD[:=0_N]FCR64?VETAJY>:6]@USAFe>Uil[KFO^Y]b:Qm`WGJKZ]Y=n5\nePBIFUPZ8:h7^`kR@O@WRT69j1Xbi\NMBQTV44e3Zdo^LCLSVP1f>Uil[KFO^Y]3c9PjaTFEJY\^9l4SofQEHET_[?i7^`kR@O@WRT1j2Yeh_OBCRUQ3g=TfmXJAN]XR9`8WkbUIDIX[_7;;UPVA1=SQYO37YgbenwwE46<\`gncxzN_^mq4567981_e`k`uuC\[jt789::=?5[ilglqqGXWfx;<=>>0038Plkbg|~JSRa}0123647<\`gncxzN_^mq4567;8;0XdcjotvB[Ziu89:;8?5038Plkbg|~JSRa}0123247<\`gncxzN_^mq4567?8;0XdcjotvB[Ziu89:;4?999Wmhch}}Hi7YgbenwwFUtb9:1_e`k`uu@Sv`YXg{:;<=?;;UknajssJYxnSRa}0123542<\`gncxzMPsg\[jt789:9==5[ilglqqDXWfx;<=>>1:Vji`ir|KUTc>?01325>Rnele~xOQPos23454692^bahaztC]\kw67899h7X]JR^TJWLDKM:1]ON74VHGT[Q_WM:1]\Lm4VQC\[dhc89:;h6X_A^]bja6789;i7[^N_^mq4567k2\[MRQ`r12344e<^YKTSb|?0121g>PWIVUd~=>?02a8RUGXWfx;<=>;c:TSEZYhz9:;<8m4VQC\[jt789:=96X_Asd6?SVFz{n0Z]O}r^llp5679l1]\L|}_omw45669m1]ei\NMBQTV32lm2\bh_OBCRUQ21m6m2\bh_OBCRUQ21m5m2\bh_OBCRUQ21m4m2\bh_OBCRUQ21m3m2\bh_OBCRUQ21m2m2\bh_OBCRUQ21m1m2\bh_OBCRUQ21m0m2\bh_OBCRUQ21m?9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON<2RD^?84XRVOMG12RonRGk119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk0?010;?Zkrp9:;<>5lnu08gv5?01310>ohjd>0ebl|9:lB@jssDL:j7cOKotvOA57e3gKOcxzCE132f>hFLf@H>>2c9mEAir|EO;=>k4n@FlqqJB8VEIYK74n@FlqqJB9h1eMIaztMG25`=iIMe~xAK>_N@VB6=iIZ=0bL]PFR@`?kGTWOYISDLZF69mEVYNGKi0bL]PIN@\KGSAj2dJ_R``t1235f=iIZUecy>?003`?kGTWge<=>>2b9mEVYig}:;<<=l;oCP[kis89::8n5aAR]mkq6788?h7cO\_omw4566>>1eMb{{ODa8jDir|FOTCO[I5:lAAWT13gHN^_?6;o@FVWYA[Ko0bOK]R^DPFZIE]O30bOK]R^KLF`=iJLXYSDAM_H@VB1=iKHYo7cMNS^AooZOI^8=0bNO\_O2:?kEF[VEIYKm4nBCP[kis89::h6`LAR]mkq6788;=7cJ[ABIb?kBSIJATJ^Li;oFWEFMXNZHTCO[Ia:lGPDELW@EIj6`KT@AH[LIEW@H^Jh5aDUC@OZYhz9:;hCagFNSb|?012f?kBnfEOTc>?0135?kBnfFO<7cJfnNG23>hCagEN>:5aDhlLA6d>2028jAir|FOTbbz?013055=iLfCHQaou23442682dOcxz@E^llp5679<;;7cJ`uuMF[kis89:::<>4nEmvpJCXff~;<=?8119m@jssGLUecy>?00:24>hCg|~DIR``t1235<2hKLZUd~=>?10a8jIBTWfx;<=?=c:lO@VYhz9:;=>m4nMFP[jt789;?o6`CDR]lv5679hKLZUd~=>?1868jIQB=2dG[H?l;oNTAZgil9:;hHM;20bBKPOCWE=>hH~lxgmt>>3:lLr`tkip:TMnb}_HLU5f=iGoy`lw?_O225>hH~lxgmt>Paof34566:2dDzh|cax2\ekb789::=?5aOwgqhd7Whdo<=>?2008jJpbzekr:>6`@vdpoe|6Xign;<=>:139mKscudhs;Sl`k01232<=iGoy`lw>159mKscudhs:SNbdEo]JJS7d3gE}ibny0]M42=iZHGG[Hm4nSCNHRCXGK_M;6`]ALWTAf=iZHG^[HQ@BTD7?kTF[<1e^L]>5:lQEV423gXJ_>;4nSCP00=iZHY>56`]AR]LFP@33gXN_45aRDQ\MGSA>2dYC@M\7:lQKHET9k1e^BCLS^MAQC0hRLZ20bXJ\_GQA`>hRLZUM_OQFBTD;?kSC[VCDNi5aUEQ\MJDXGK_Mo6`ZDR]bja6789n0bXJ\_`lg45679m1eYI]Paof34565k2d^H^Qaou2344b7c[XE0a8jPQBWhdo<=>?d:lVS@Yffm:;<=?k;oWTAZgil9:;hQEHUTc>?017g?kPJIVUd~=>?07f8jSKFWVey<=>?7e9mRHGXWfx;<=>7d:lUIDYXg{:;<=7l;oTNEZgil9:;hQXHUM_OQ@BTD;?kPWIVCDNi5aVQC\MJDXAK_M?6`XE69mS@YA[Ki0bZKPFR@\MGSA;2xja55wc8734}jzHIz:>>o4@Az2=44g1289?:;>m:0`451}i;<>1=6`<5485?!52;39?i6s\968012<6i?0:?9890c82f2713m;2=7>51;3xW<>=;<=1=l85126525d=9k=:86x[31d94?7=938m8v]68;163?7f>3;88;8?b;3a342<,:9=6<6k;%3b3?26?2h:5<4?:51914v<55;'5=e=;8n0e4=50;9l7=?=831b?;h50;9l05`=831b?h;50;9l7`7=831d?i;50;9j7=6=831d?i?50;9l7=4=831b?im50;9j7=d=831d?:?50;9l72g=831d?i=50;9j047=831d?h950;9l7f6=831d?;;50;9l73d=831b?l850;9j5;n1ga?6=3`o<6=44o2;3>5<5<5<5<6=4+1`;95f25<#9h31=n:4n0c;>4=5<#9h31=n:4n0c;>6=5<#9h31=n:4n0c;>0=5<#9h31=n:4n0c;>2=5<#9h31=n:4n0c;><=5<#9h31=n:4n0c;>g=a8870>h6i10;76g;3;29 4g>2=>0b5$0c:>1254i2d94?"6i00?86`>a987?>o4m3:1(j50;&2e<<3<2d:m549;:k0g?6=,8k269:4n0c;>2=a8870>h6i10376g2=>0b5$0c:>12a98`?>o4>3:1(c=a8870>h6i10:<65f4`83>!7f13>?7c?n8;32?>o313:1(40<3k9>h7>51c87f?3asA9886*<1d8:=>\?03;p?7s+19a974b<0;66g>i6900;66g;1283>>i6l90;66a=7183>>iak3:17d?9:18'5d?=9<1e=l650:9j51<72-;j57?:;o3b65f1083>!7f13;>7c?n8;18?l`=83.:m44>5:l2e=<332cn6=4+1`;950=i9h21965fd;29 4g>28?0ba8821>h6i10376gn:18'5d?=9<1e=l659:9j=?6=,8k26<;4n0c;>d=5<#9h31=85a1`:9`>=n9l0;6)?n9;36?k7f03o07d?k:18'5d?=9<1e=l65f:9a707=83;1<7>t$23f>c4<@:?;7E=<4:me5?6=3th89?4?:083>5}#;8o1?>;4H273?M54<2e:m84?::a7f2=83?1<7>t$23f>``<@:?;7E=<4:&244<73`2o6=44i8794?=n000;66g6d;29?j7d93:17pl0<729q/?5<52;294~"49l0:5<52;294~"49l0:5<5;hdb>5<;?;I100>oa<3:17dh::188mc0=831bj:4?::ke9483>>{eno0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn>>n:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`04g<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`04f<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`04a<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<=3:17pl>3783>7<729q/?=3:17pl>3683>7<729q/?=3:17pl>3983>7<729q/?=3:17pl>3883>1<729q/?5;hd6>5<6=44}c1`=?6=:3:1=;;hd0>5<6=44}c1`e?6=:3:1=;;hd0>5<6=44}c1`f?6=:3:1=;;hd0>5<6=44}c1`g?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e;jn1<7:50;2x 67b2;h0D>;?;I100>oa<3:17dh::188mc0=831d=4;50;9~f4b629096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4b529086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th:h>4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:h94?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:h84?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb0f5>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd6l>0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo?k8;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a627=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a624=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a625=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a622=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a623=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th9;;4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb354>5<3290;w)=>e;0a?M5282B8?95ff583>>oa=3:17dh9:188k4?22900qo=;6;291?6=8r.8=h4=c:J015=O;:>0ek:50;9jb0<722cm:7>5;hd4>5<6=44}c173?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e;=21<7=50;2x 67b2:>m7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl<4883>7<729q/?=3:17pl<4`83>7<729q/?=3:17pl<4c83>6<729q/?<6F<359jb1<722cm97>5;n3:1?6=3th88i4?:583>5}#;8o1>o5G3428L6533`l?6=44ig794?=nn?0;66a>9483>>{e;8;1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo=>5;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f671290>6=4?{%12a?4d3A9><6F<359jb1<722cm97>5;hd5>5<6F<519K7626F<519K7626F<519K7626F<519K762=3:17pl<1b83>0<729q/?5;|`057<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<1<75ff483>>i61<0;66sm30694?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg76i3:1>7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg75:3:197>50z&05`<5k2B89=5G3268mc2=831bj84?::ke2?6=3`l<6=44o0;6>5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb007>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb006>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd6:?0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd6:>0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd6:10;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn<<6:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`25g<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<1<75ff483>>i61<0;66sm10f94?2=83:p(>?j:3`8L6373A9886gi4;29?l`22900ek850;9l5<3=831vn5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`25c<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm13394?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xu1290?wS84=gf9b3=:;8<1j9523059b6=z{>0;69uQ7:?e`?`0349::7h:;<1274?=n:1v44?:5y]=>;al3l270=>1;d6?875;3l?7p}n:186[g<5on1jl523049b2=:;8k1j>521319b0=z{k0;6>uQb:?e`?`e349:=7h;;|q`>5<3sWi01kk5f59>710=n<16=?<5f59~wa<72=qUh63ie;d6?853>3l?70?=2;d6?xub290?wSk4=gg9b3=:;=<1j;521309b3=z{o0;69uQf:?ea?`0349?:7h8;<316?`03ty:=7>57z\25>;am3l370=?a;d7?85d13l870<82;d0?853?3l?70?=0;d7?xu6;3:15vP>3:?ea?`>349;n7h<;<1`e?`434;o>7h:;<047?`4349?;7h:;<32e?`434;997h:;|q20?6=krT:863ie;db?857i3l>70?<6;d0?85d03l>70?k1;d0?87c:3l?70<85;d7?85303l>70?=6;d0?87503l?70?=9;d7?xu6>3:15vP>6:?ea?`e349;o7h<;<30e;297~X6m27mh7h:;<121?`23ty=97>53z\51>;4ko0m?63{t0l0;6>uQ8d9>7f2=0016?nk5889~wce=838pRkm4=gd95<34?234lm6k:4}rdf>5<5s4ln6<7:;c352z\25<=:9;;1=4;4}r32e?6=:r7:=l4>949>57>=n<1v1b8e1>{t98i1<74?234;:h7h;;|q25a<72;q6=e;296~;69l0:585210d9b0=z{8;m6=4={<32b?7>=27:>=4i5:p576=838p1<7p}>2383>7}:9;81=4;4=03f>c252z?266<61<16=;6:00m96s|13794?4|588>6<7:;<32f?`33ty:>;4?:3y>570=90?01v3>2682=0=:98n1j;5rs00;>5<5s4;947?65:?25c283>70?>c;d7?xu6;<0;6?uQ1278945>283>7p}>3783>7}:9:<1=4;4=01:>c352z?272<61<16=>75f59~w45?2909w0?<8;3:1>;6;00m:6s|18094?4|5:?:6k?4=2af><352z?017<6i<16?n:59e9~w4b72909wS?k0:?2`=<61<1v:18187c93;2963>d48e1>{t9m81<74?234;o:7h;;|q2`6<72;q6=i=5187894b12o?0q~?k4;296~;6l=0:58521e:9b0=z{8n>6=4={<3g1?7>=27:h:4i4:p5a0=838p17p}>d683>7}:9m=1=4;4=0f;>c252z\135=::>=1=4;4}r045?6=:r79;<4>949>623=n<1v?9=:181840:3;2963=768e0>{t:>91<74?2348<:7h;;|q131<72;q6>::5187897112o?0q~<85;296~;5?<0:58522659b0=z{;==6=4={<042?7>=279;:4i6:p75?=838pR>>6;<13a?7>=2wx?=o50;0x966f283>70=?e;d7?xu48k0;6?u231`95<3<5::o6k:4}r13g?6=:r78949>75b=n<1v>>k:181857l3;2963<0d8e1>{t;8:1<7744=90?01>?;:g68yv56;3:1>v3<1282=0=:;8>1j85rs236>5<5s49:97?65:?05g70=>3;d7?xu49>0;6?u230595<3<5:;h6k:4}r12949>74e=n<1v>?6:18185613;2963<1b8e2>{t;8k1<74?2349:o7h8;|q05g<72;q6?c;296~;49j0:58523019b0=z{:>>6=4={<17`?7>=278=o4i4:p710=838p1>:9:0;6?853l3l>7p}<4683>7}:;==1=4;4=26`>c252z?00=<61<16?9l5f59~w62>2909w0=;9;3:1>;4j6<7:;<17`?`13ty88o4?:3y>71d=90?01>:l:g78yv53k3:1>v3<4b82=0=:;=n1j95rs2a7>5<5s49h87?l1:?0g`<>l2wx?n850;0x96e320?01>m::0;6?xu4k>0;6?u23b69949>7fb=n<1v>m6:18185d13;2963{t;jk1<74?2349ho7h:;|q0gg<72;q6?nl5187896ec2o<0q~=lc;296~;4kj0:58523bf9b1=z{:in6=48{<1`a?7d927:h>4i3:?2`094i3:?26050;0x96eb21n01>mi:0;6?xu4lj0;6?uQ3ea896e22o90q~:>3;290~X39:16=>95f29>71g=n:16=?95f29~yg26>3:1?94l8;g4M54<2.8=h4>cg9Y<=<5s;0?6p*>8b805a=n0l0;66g<0283>>i5k=0;66a>o4>l0;66a>i4l<0;66a<8383>>o4880;66g95;29?j5d83:17d=n5;29?l26;3:17d=j4;29?l7c83:17b=ke;29?l4083:17b28i?7c?n8;28?j7d;3:1(28i?7c?n8;08?j7dl3:1(28i?7c?n8;68?j7dj3:1(28i?7c?n8;48?j7d13:1(28i?7c?n8;:8?j7d?3:1(28i?7c?n8;c8?j7d:3:1(a8826>h6i10976gj:18'5d?=9;1e=l653:9j`?6=,8k26<<4n0c;>1=5<#9h31=?5a1`:93>=n13:1(6`>a98b?>o1290/=l75139m5d>=j21b=h4?:%3b=?753g;j47m4;h3g>5<#9h31=?5a1`:9`>=n9j0;6)?n9;31?k7f03o07d?m:18'5d?=9;1e=l65f:9j5d<72-;j57?=;o3b5$0c:>445<#9h31=?5a1`:957=a8826>h6i10:?65f1183>!7f13;97c?n8;37?>d4=80;6<4?:1y'74c=n;1C?8>4H217?j`62900qo?jf;291?6=8r.8=h4jf:J015=O;:>0(<>>:19j5;h::>5<=;;h::>5<:188yg4683:1?7>50z&05`5;|`2`d<72:0;6=u+30g9a`=O;<:0D>=;;%335?6>i6k80;66sm31294?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn>6=:180>5<7s-9:i7kj;I164>N4;=1b444?::k:`?6=3f;h=7>5;|`13=<72:0;6=u+30g9a`=O;<:0D>=;;%335?6>i6k80;66sm29:94?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn?7k:180>5<7s-9:i7kj;I164>N4;=1/==?50:k;=?6=3`3o6=44o0a2>5<7>52;294~"49l0:5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb2ge>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb2`b>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb2fe>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb0da>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb0d`>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb0dg>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd40>0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd5ih0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd5ik0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn>6<:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn>k=:180>5<7s-9:i7?67:J015=O;:>0ek:50;9jb0<722e:584?::a7f7=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a7f4=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th8j<4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8j?4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8j>4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8j94?:483>5}#;8o1>n5G3428L6533`l?6=44ig794?=nn?0;66gi7;29?j7>=3:17pl7<729q/?=3:17pl7<729q/?=3:17pl7<729q/?=3:17pl0<729q/?5;|`16`<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<6F<519K762=3:17pl=3083>6<729q/?3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>>950;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi>>650;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c00=?6=;3:1=;;hd7>5<=3:17pl=3e83>7<729q/?=3:17pl=3d83>6<729q/??2B89=5G3268mc2=831bj84?::m2=0<722wi>9<50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c077?6=:3:1=;;hd0>5<6=44}c070?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e:=?1<7=50;2x 67b283<7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl=4983>6<729q/?29096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f72f29086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th98o4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c07a?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e:=l1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e:<:1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<:1;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f73329086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th9984?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th99;4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb374>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:;?;I100>oa<3:17dh::188k4?22900qo<:b;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<:c;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a60b=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th9:=4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb342>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb341>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd5>:0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo<96;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a631=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a63>=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb3;e>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:h;1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo0ek:50;9jb0<722cm:7>5;hd4>5<6=44}c0b1?6=;3:1=;;hd7>5<=3:17pl=a683>6<729q/?50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>l>50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`15;|`1=0<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<52;294~"49l0:5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm28:94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm28;94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm28c94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm28`94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg4>k3:1>7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg4?j3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>5m50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c0;`?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e:1o1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<7f;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f7?729086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th95<4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c0:6?6=;3:1=;;hd7>5<=3:17pl=9583>1<729q/?5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn?6?:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn?6>:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`1<7<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`1<6<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<6F<519K7626=4=:183!56m3;;>6F<519K7626F<519K7621<75ff483>>i61<0;66sm26`94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg40k3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>:j50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c04a?6=<3:1N4=91C?>:4ig694?=nn<0;66gi6;29?j7>=3:17pl=7g83>1<729q/?5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn<7k:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn<7j:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn<7i:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn5<7s-9:i7N4;=1bj94?::ke1?6=3`l=6=44ig594?=h90?1<75rb0c2>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:881<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<>d;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=5;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=6;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=7;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=8;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=9;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=a;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=b;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<>3;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<>4;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<>5;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a640=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm20:94?2=83:p(>?j:3`8L6373A9886gi4;29?l`22900ek850;9l5<3=831vn??6:180>5<7s-9:i7?67:J015=O;:>0ek:50;9jb0<722e:584?::a64g=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th9=o4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c02g?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e:8o1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<>f;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a676=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th9><4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb301>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:;91<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<=4;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f4bd29086=4?{%12a?7>?2B89=5G3268mc2=831bj84?::m2=0<722wi=h950;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi=h650;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi=h750;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c3fe?6=:3:1=;;hd0>5<6=44}c3ff?6=:3:1=;;hd0>5<6=44}c3fg?6=:3:1=;;hd0>5<6=44}c3f`?6=<3:1N4=91C?>:4ig694?=nn<0;66gi6;29?j7>=3:17pl>ed83>7<729q/?=3:17pl>de83>7<729q/?=3:17pl>dd83>1<729q/?5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`2a5<72=0;6=u+30g96g=O;<:0D>=;;hd7>5<>i61<0;66sm1d394?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg7b:3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi=h=50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`2a1<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm1d494?2=83:p(>?j:0;;?M5282B8?95ff583>>oa=3:17dh9:188k4?22900qo=k6;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=k7;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=k8;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=k9;290?6=8r.8=h4>999K706<@:9?7dh;:188mc3=831bj;4?::m2=0<722wi?io50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c3e5?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e9o91<7:50;2x 67b2;h0D>;?;I100>oa<3:17dh::188mc0=831d=4;50;9~f4`329096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4`229096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4`129096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4`029096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4`?290>6=4?{%12a?4d3A9><6F<359jb1<722cm97>5;hd5>5<1<75ff483>>i61<0;66sm1gc94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg7a:3:1?7>50z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831vn?>?:187>5<7s-9:i7N4;=1bj94?::ke1?6=3`l=6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm21;94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21c94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21`94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21a94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21f94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21g94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21d94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21394?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xd58;0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn?><:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`141<72=0;6=u+30g95<><@:?;7E=<4:ke0?6=3`l>6=44ig494?=h90?1<75rb326>5<3290;w)=>e;0a?M5282B8?95ff583>>oa=3:17dh9:188k4?22900qo969K706<@:9?7dh;:188mc3=831d=4;50;9~f76029086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3ty=6=4={_4897572o>0q~950;0xZ2=:::21j95rs983>7}Y0279?h4i4:p=?6=:rT270<;4;d7?xuf2909wSo4=36b>c2606=n=1vn4?:3y]g>;5=?0m86s|d;296~Xc348>o7h;;|qf>5<5sWo01?8=:g68yv772909wS??;<01a?`23ty:=7>52z\25>;5>?0m96s|1683>7}Y9>16>>85f49~w4>=838pR<64=31`>c37p}>a;296~X6i279854i5:p5g<72;qU=o5225g9b0=z{8i1<7m7h:;|q2a?6=:rT:i63=618e1>{t><0;6<=1m16=hh59e9>5l?5f49>6d2=n=16>485f29>6<1=n<16>4m5f29>6=5=n<16=4h5f29>64b=n:16>?o5f29>64`=n=16=h95f29>5`?=n=16=k95f29>65d=n:1v;650;7x93>=9j;01?77:g18974>2o9010q~6j:18`[>b34;nj766;<3:e?>>34<36574=0df>=?<5;;;6574=0fb>=?<5::;6574=35;>=?<5;236574=3;g>=?<5:286k=4}r3:6?6=;r789<4i1:?2ac<>=27:5l465:p570949>5d6=n<1v<7k:18187>l3;2963>a18e2>{t90o1<74?234;j<7h8;|q2=c<72;q6=4h5187894g62o?0q~?n0;296~;6i90:58521`39b1=z{8i96=4={_3`6>;5;80:585rs0a0>5<5sW;87S?l3:p5f3=839pRk5Q1b78970?2o>0q~?l6;296~X6k?16>>751878yv7d?3:1>vP>c69>66`=90?0q~?l8;296~X6k116>9;51878yv7d13:1>vP>c89>61d=90?0q~?la;296~X6kh16>8?51878yv7dj3:1>vP>cc9>601=90?0q~?lc;296~X6kj16>8j51878yv7dl3:1>vP>ce9>635=90?0q~?le;296~X6kl16>;751878yv7c83:1jvP>d19>6g4=n:16>l?5f59>65=5f59>5d6=n=16>?65f29>67d=n:16><95f59>5`>=n:16=hl5f29>7a0=n:16=k65f59>65>=n<16>=o5f29~w4b>290?w0?id;3:1>;4n=0m863;4l>0m?6s|1ec94?4|58nj6;<3eg?`43ty:ho4?:3y>5ag=1m16=h851878yv7ck3:1>v3>db82=0=:9l>1j95rs0fg>5<5s4;oh7?65:?2a52wx=ik50;0x94bb283>70?j1;d6?xu6lo0;6?u21ed95<3<58o96k;4}r3f4?6=:r7:i=4>949>5`0=n?1v:18187b93;2963>e28e0>{t9l81<74?234;n?7h:;|q2a6<72;q6=h=5187894c32o?0q~?j4;296~;6m=0:58521d79b1=z{8o>6=4={<3f1?7>=27:i;4i5:p5`1=838p1e983>7}:9l21=4;4=0ff>c052z?2a<<61<16=h<5f59~w4cf2909w0?ja;3:1>;6lo0m86s|1d`94?4|58oi6<7:;<3gb?`23ty:in4?:3y>5`e=90?01v3>ee82=0=:9l<1j95rs0gf>5<5s4;ni7?65:?2a5949>5cg=n=1vf88e0>{t9o>1<74?234;m47h:;|q2b0<72;q6=k;5187894`?2o<0q~?i6;296~;6n?0:58521g:9b2=z{8l<6=4={<3e3?7>=27:j?4i5:p5c>=838p17p}>f883>7}:9o31=4;4=0db>c352z?2bd<61<16=k<5f59~w4`e2909w0?ib;3:1>;6nm0m86s|1ga94?4|58lh6<7:;<3e`?`23ty:jh4?:3y>5cc=9j;01?l8:g68yv7an3:1>v3>fd8:`>;58>0:585rs323>5<5s48;<7?65:?143=?50;0x9766283>706k84}r037?6=:r79<>4>949>652=n=1v?>;:181847<3;2963=048e1>{t:9?1<74?2348;:7h:;|q143<72;q6>=85187897602o>0q~=279<<4i5:p65g=838p1?>n:0;6?847;3l>7p}=0c83>7}::9h1=4;4=327>c052z?14f<61<16>=;5f59~w76c2909w0;58;0m86s|21g94?4|5;:n6<7:;<036?`23ty965`=90?01?>8:g78yv4683:1=;u220295f7<5:2<6k=4=30f>c2<5;8m6k=4=315>c2<5;9<6k=4=31`>c2<5;9o6k=4=361>c2<5;>86k=4=36;>c2<5;>26k=4=36f>c2<5;>m6k=4=377>c2<5;?>6k=4=37b>c2<5;?i6k=4=343>c2<5;<:6k=4=345>c2<5;<<6k=4}r025?6=:r79==46d:?161<61<1v??=:181846:3;2963=148e0>{t:891<74?23489=7h:;|q151<72;q6><:5187897442o?0q~<>5;296~;59<0:585220c9b1=z{;;=6=4={<022?7>=279>?4i4:p641=838p1??8:0;6?846j3l?7p}=1983>7}::821=4;4=33a>c352z?15<<61<16>a;3:1>;59l0m96s|20`94?4|5;;i6<7:;<02b?`23ty9=n4?:3y>64e=90?01?v3=1e82=0=::8?1j85rs33f>5<5s48:i7?65:?16170<=0;d7?xu5:90;6?u223295<3<5;8:6k:4}r015?6=:r79><4>949>674=n<1v?<=:181845:3;2963=228e0>{t:;91<74?2348987h:;|q160<72;q6>?;51878977f2o?0q~<=6;296~;5:?0:58522049b0=z{;8<6=4={<013?7>=279=:4i5:p67>=838p1?<7:0;6?84603l?7p}=2883>7}::;31=4;4=33;>c052z?16d<61<16><75f59~w74e2909w0<=b;3:1>;5900m96s|23f94?4|5;8n6<7:;<005?`33ty9>h4?:3y>67`=90?01?=?:g78yv45n3:1>v3=3182=0=:::;1j85rs316>5<5s488:7?65:?17<>850;0x9750283>70<<8;d6?xu5;>0;6?u222:95<3<5;926k;4}r00f?6=:r79?n4>949>66`=n=1v?=l:181844l3;2963=3d8e1>{t::n1<74?23488j7h:;|q104<72;q6>9<5187897222o>0q~<;2;296~;5<:0:58522569b0=z{;>86=4={<070?7>=279884i5:p611=838p1?:7:0;6?843j3l?7p}=4983>7}::=31=4;4=36b>c352z?10d<61<16>9l5f49~w72c2909w0<;e;3:1>;5=80m86s|25g94?4|5;>m6<7:;<064?`23ty98k4?:3y>606=90?01?;>:g78yv42;3:1>v3=5582=0=::<=1j95rs377>5<5s48>97?65:?1138;50;0x9731283>70<:7;d6?xu5=00;6?u224c95<3<5;?o6k:4}r06e?6=:r799o4>949>60e=n<1v?;m:181842k3;2963=5e8e1>{t:4?2348=?7h;;|q125<72;q6>;?5187897052o?0q~<91;296~;5>;0:58522719b0=z{;<>6=4={<052?7>=279:44i4:p630=838p1?88:0;6?84103l>7p}=6683>7}::?21=4;4=34:>c352z\135=:;ml1j>5rs35;>5<69r79;54>c09>6dg=n:16>4h5f49>6d2=n?16>4;5f59>65<5f29>62b=n=16=4k5f29>644=n<16><:5f29>5`e=n:16=h>5f49>5c5=n=16=k:5f29>656=n=16>=m5f29~w71>2909w0<88;;g?840n3;296s|26c94?4|5;=j6<7:;<0;3?`33ty9;o4?:3y>62d=90?01?9i:g68yv40k3:1>v3=7b82=0=::>o1j95rs35g>5<5s48:k50;0x971b283>70<8f;d5?xu5090;6?u229295<3<5;2<6k;4}r0;5?6=:r794<4>949>62d=n=1v?6=:18184?:3;2963=7c8e1>{t:191<74?23485:51878971d2o>0q~<75;296~;50<0:585226a9b0=z{;2=6=4={<0;2?7>=279;h4i6:p6=1=838p1?68:0;6?840l3l>7p}=8983>42|5;236;<0bf?`33482j7h;;<0b0?`03482m7h<;<0;f?`33486=>=1m16>4:51878yv4?i3:1>v3=8`82=0=::1h1j85rs3:a>5<5s483n7?65:?1=15m50;0x97>d283>70<61;d7?xu50m0;6?u229f95<3<5;2m6k;4}r0;a?6=:r794h4>949>6<2=n?1v?6i:18184?n3;2963=918e0>{t:0:1<74?23482=7h:;|q1=4<72;q6>4?5187897?52o?0q~<62;296~;51;0:58522819b1=z{;386=4={<0:7?7>=279594i4:p6<3=838p1?7::0;6?84>:3l?7p}=9783>7}::0<1=4;4=3:`>c352z?1=2<61<16>5j5f59~w7??2909w0<68;3:1>;50m0m96s|28;94?4|5;326<7:;<0:4?`23ty95l4?:3y>6j3:1>v3=9c82=0=::1o1j95rs3;`>5<5s482o7?65:?1<`4j50;3284>l3;h=63=a28e7>;50h0m?63=948e1>;5090m?63=808e1>;50?0m?63>9b8e7>;5:?0m?63=1d8e0>;6lj0m963>ee8e2>;6lm0m?63>f28e2>;6n?0m?63=018e2>;58l0m?6s|28g94?4|5;3o64j4=3c3>4?23ty95k4?:3y>6<`=90?01?o8:g68yv4f93:1>v3=a082=0=::h<1j95rs3c1>5<5s48j>7?65:?1e0l=50;0x97g4283>70949>6d0=n<1v?o9:18184f>3;2963=a68e1>{t:h=1<74?2348j47h;;|q1e=<72;q6>l65187897g72o>0q~;5j>0:585rs3`1>5<5s48i>7?65:?1f250;1xZ7e3349;<7?l1:?0`=4?:by]755<5;k?6k;4=3c6>c2<5;3i6k:4=3:`>c2<5;2m6k:4=331>c2<5;;h6k:4=0gg>c2<58o:6k:4=0d2>c2<5;:m6k=4}r16f?6=:r78<=46d:?1eg<61<1v>8j:185[51m278i?4i5:?0g76=;<1;6?7d92wx?5:50;0x96>521301>6<:0;6?xu40?0;6?u23909=a=:;1=1=4;4}r1b1?6=:rT8m8523cg9b6=z{:h26=4={_1a=>;4jh0:585rs2`a>5<5s49im7h<;<1ab?7>=2wx?om50;0x96dd283>70=mf;d6?xu4jm0;6?u23cf95<3<5:hm6k84}r1aa?6=:r78nh4>949>7g`=n>1v>m?:181[5d8278o?4>949~w6e62909w0=l1;3:1>;4k;0m96s|3e794?4|V:n>70=ka;3:1>{t;m<1<74?2349o57h;;|q0`2<72;q6?i95187896b>2o<0q~=k8;296~;4l10:58523ec9b0=z{:n26=4={<1g=?7>=278hl4i4:p7ac=838pR>jj;<1gb?7>=2wx?h?50;0xZ6c6349n>7?65:p7`2=838pR>k;;<1e7?`43ty8ih4?:3y]7`c<5:om6<7:;|q0b5<72;q6?hh5f29>7c2=90?0q~=i1;296~;4n80:58523g69b0=z{:l96=4={<1e6?7>=278j94i6:p7c5=838p1>h<:0;6?85a<3l<7p};1283>0}Y<8901>k=:g6896e62o901>h=:g1896dc2o90qpl<6583>45=><0=jvF<359'74c=9820(<6l:23g?l>b2900e<:188m60b2900c;750;9j20<722e:?l4?::k0e0<722c:=44?::m202<722c?=>4?::k0a1<722e:>l4?::m1ef<722e8594?::m2<5<722e:j7>5;n04>5<:183!56m3l97E=:0:J071=hn80;66sm14f94?3=83:p(>?j:dd8L6373A9886g7d;29?l?22900e5750;9j=a<722e:o<4?::a2<<72<0;6=u+30g9ac=O;<:0D>=;;h:g>5<>o>l3:17b?l1;29?xd61h0;684?:1y'74c=mo1C?8>4H217?!7793:0e5j50;9j=0<722c357>5;h;g>5<5<2290;w)=>e;ge?M5282B8?95f8e83>>o>=3:17d66:188mt$23f>`c<@:?;7E=<4:k;=?6=3`3o6=44o0a2>5<53;294~"49l0ni6F<519K762>i6k80;66sm1g83>6<729q/?<6F<359j<<<722c2h7>5;n3`5?6=3th:=<4?:283>5}#;8o1ih5G3428L6533-;;=7>4i9;94?=n1m0;66a>c083>>{e91:1<7;50;2x 67b2ll0D>;?;I100>o?l3:17d7::188m=?=831b5i4?::m2g4<722wi=?o50;794?6|,:;n6hh4H273?M54<2c3h7>5;h;6>5<>i6k80;66sm15594?3=83:p(>?j:dd8L6373A9886g7d;29?l?22900e5750;9j=a<722e:o<4?::a5=1=83?1<7>t$23f>``<@:?;7E=<4:k;`?6=3`3>6=44i9;94?=n1m0;66a>c083>>{e9:;1<7;50;2x 67b2ll0D>;?;I100>o?l3:17d7::188m=?=831b5i4?::m2g4<722wi=8;50;794?6|,:;n6hh4H273?M54<2c3h7>5;h;6>5<>i6k80;66sm1`c94?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn5<7s-9:i7kj;I164>N4;=1/==?50:k;=?6=3`3o6=44o0a2>5<=3:17pl>3283>7<729q/?=3:17pl>8883>7<729q/?=3:17pl8a;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a50`=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a536=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<1<75ff483>>i61<0;66sm1cg94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm1cd94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg5>=3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi?4850;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?4950;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c37=?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e9=k1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e9=h1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo?;c;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f44d29086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th:>i4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:>h4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:>k4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb013>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e9181<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo?73;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?74;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?75;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a5=0=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th:n=4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:n84?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c3a2?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e9k=1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e9k21<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e9k31<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo?ma;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?mb;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a5ge=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm1c094?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xd6j:0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn5<7s-9:i7?68:J015=O;:>0ek:50;9jb0<722cm:7>5;n3:1?6=3th:mn4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb0cg>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:k81<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e:k=1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e:ki1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo999K706<@:9?7dh;:188mc3=831bj;4?::m2=0<722wi=>m50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi=>k50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`27c<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<;6=4=:183!56m3;;>6F<519K762:6=4=:183!56m3;;>6F<519K76296=4<:183!56m38j7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl>4283>6<729q/??2B89=5G3268mc2=831bj84?::m2=0<722wi=9;50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c30`?6=;3:1=;;hd7>5<=3:17pl>5983>6<729q/?7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg72i3:1>7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg72j3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi=8m50;694?6|,:;n6?l4H273?M54<2cm87>5;hd6>5<n6=4<:183!56m38j7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl>4g83>7<729q/?=3:17pl>5183>7<729q/?=3:17pl>5083>7<729q/?=3:17pl>5383>6<729q/??2B89=5G3268mc2=831bj84?::m2=0<722wi=8:50;694?6|,:;n6?l4H273?M54<2cm87>5;hd6>5<i1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e?m0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd0m3:1>7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg1a29096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f=6=83?1<7>t$23f>7e<@:?;7E=<4:ke0?6=3`l>6=44ig494?=nn>0;66a>9483>>{e080;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo?6c;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?6d;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?6e;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?6f;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?n0;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a5d7=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<7>53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb0c0>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd6i=0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900q~?i:181[7a34;m6;|q13?6=99qU>:522682g4=:1j0m963>488e0>;62b8e0>;6:m0m?63>838e0>;60:0m?63>b48e1>;5j;0m?63>3d8e1>;6=00m?63>528e0>;0m3l870?6c;d0?xu503:1>v3=7;:g?84>283>7p}95;29e~X1=27:5l46d:?4e?`334;=<7h;;<3a2?`234;8j7h:;<363?`334;?i7h:;<5e>c5<583m6k=4}r4:>5<5sW<27086:0a2?xu013:1>v399;;g?81f283>7p}8b;297~;1132o70?:d;:g?8>6283>7p}8c;296~;0k3;296370;d6?xu0l3:1>v38d;3:1>;?83l=7p}8e;296~;0m3;296370;d4?xu0n3:1>v38f;3:1>;?93l>7p}70;296~;?83;296371;d7?xu?m3:1=?uQ8d9>50b=0016:4479:?2=d=?<582m6574=0d9<<=:9:k144521039<<=:91:1445213c9<<=:9==144521959<<=:9:;144521479<<=:9hk144521`g9<<=z{0k1<7;69802h6s|10394?0|58;:6;<3a4?`434;i57h:;<3bg?`234;8o7h<;<5g>c57>53z\257=:?h0m963>5g8e7>{t9831<76t^03:?85>=3l?70?m6;d7?84e?3l870?70?;e;d7?87f;3l?7p}>2`83>7}Y9;k01<n4>949>566=n=1v<2g8e0>{t9;o1<74?234;9j7h:;|q26c<72;q6=?h5187894572o?0q~?<1;296~;6;80:o<5213a9b0=z{8996=4={<305??c34;8?7?65:p563=839pR<=:;<0ag?`334;j<7h;;|q27d<72;qU=>o4=01b>4e63ty:?o4?:3y>56g=1m16=>j51878yv74k3:1>v3>3b82=0=:9=81j85rs01f>5<5s4;8i7?65:?201h50;0x945a283>70?;3;d7?xu6<90;6?u215295<3<58>86k;4}r375?6=:r7:8<4>949>513=n<1v<:=:181873:3;2963>3e8e0>{t9=91<74?234;?87h:;|q201<72;q6=9:5187894222o>0q~?;5;296~;6<<0:585212f9b0=z{8><6=4={_373>;6<>0:o<5rs06;>5<5s4;?;77k;<37g?7>=2wx=9750;0x942>283>70?;c;d7?xu6i6k;4}r37f?6=:r7:8o4>949>51e=n<1v<:k:181873?32o70?:4;3:1>{t9=o1<74?234;>>7h;;|q20c<72;q6=9h5187894352o?0q~?:0;296~;6=90:58521469b1=z{8?:6=4={<365?7>=27:994i6:p504=838p1<;=:0;6?872;3l>7p}>5283>7}:9<91=4;4=077>c397>52z?210<6k816=975f49~w4312909w0?:7;3:1>;6=j0m96s|14594?4|58?36<7:;<36f?`33ty:954?:3y>50?=90?01<;m:g78yv7213:1>v3>5`82=0=:95<5s4;>n7?65:?21f949>536=n<1v<6?:181[7?827:4=4>c09~w4>62909w0?70;;g?87?>3;296s|19094?4|58296<7:;<3;2?`33ty:4>4?:3y>5=5=90?01<6::g68yv7?<3:1>v3>8582=0=:91?1j85rs0:6>5<5s4;397?65:?2<3028i:70?72;d6?xu6010;6?u21959=a=:9131=4;4}r3;b?6==r79;77k;<3;b?7d927:4:47d:?274503=0m16=8m51878yv7>:3:1nv3<508e5>;6=m0296399;;6?87>i33>70<8:87894>720?01<i3:1:v3>9`82g4=:9k=1j>521529b6=:95215d9b6=:090m86s|18`94?4|583j65j4=0c7>4?23ty:5n4?:3y>5l3:1>v3>9e82=0=:9h;1j95rs0;f>5<5s4;2i7?65:?2e470?n4;d6?xu6i90;6?u21`295<3<58k96k:4}r3b5?6=:r7:m<4>949>5d4=n<1va28e1>{t9h91<74?234;j87h;;|q2ed<720q6=lo51b3894db2o9012o>01v3>a`8:`>;6im0:585rs0c`>5<5s4;jo7?65:?2ea70?:0;d0?81d2o901<7j:g18yv7fn3:1>v3>ad8:`>;6j=0:585rs0`3>5<5s4;i<7?65:?2fg70?m4;d5?xu6j;0;6?u21c095<3<58h86k:4}r3a7?6=:r7:n>4>949>5g2=n=1vb38e0>{t9k<1<74?234;io7h;;|q2f2<72;q6=o95187894dd2o?0q~?m8;296~;6j10:58521c19b0=z{8h26=4={<3a=?7>=27:n<4i4:p5gg=838p17p}>bc83>7}:9kh1=4;4=0`7>c352z?2ff<61<16=o<5f49~w4dc2909w0?me;3:1>;6jo0m96s|1b294?3|58l15i521929;6jo0:585rs3c`>5<5sW8jo63=be82=0=z{;h96=4={<0a6?7>=279nn4i5:p6g1=838p1?l8:0;6?84el3l=7p}=bb83>7}::ki1=4;4=3`g>c252z\02`=:;0<1j>5rs2;7>5<5sW92863<9682=0=z{:3>6=4={<1:1?7>=2785:4i4:p7<0=838p1>79:0;6?85>?3l>7p}6}Y;h?01<=<:g18944b2o90q~=j4;297~X4m=16=575f29>5=2=n:1v9?<:187[26;27:9846d:?0=04$0:`>67c3f>;o7>5;n1;5;n46>5<>o1n3:17d<6F<359lb4<722wi?5650;794?6|,:;n6hh4H273?M54<2c3h7>5;h;6>5<>i6k80;66sm2`g94?3=83:p(>?j:dd8L6373A9886g7d;29?l?22900e5750;9j=a<722e:o<4?::a6g5=83?1<7>t$23f>``<@:?;7E=<4:k;`?6=3`3>6=44i9;94?=n1m0;66a>c083>>{e:k21<7;50;2x 67b2ll0D>;?;I100>o?l3:17d7::188m=?=831b5i4?::m2g4<722wi:o4?:483>5}#;8o1ik5G3428L6533`2o6=44i8794?=n000;66g6d;29?j7d93:17pl80;291?6=8r.8=h4jf:J015=O;:>0e5j50;9j=0<722c357>5;h;g>5<N4=91C?>:4i9f94?=n1<0;66g79;29?l?c2900c:188yg5629086=4?{%12a?cb3A9><6F<359'557=82c357>5;h;g>5<5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb7594?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xd5k80;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd5k;0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd5k:0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn>;50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?5k50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?5h50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`07<<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<81<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e?:0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo8k:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn;k50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`1fd<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`1fg<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<52;294~"49l0:5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb3`3>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb3`2>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e;;0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd38m0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd38l0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo=8d;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f3`=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a31<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`41?6=:3:1=;;hd0>5<6=44}c55>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb6594?3=83:p(>?j:3a8L6373A9886gi4;29?l`22900ek850;9jb2<722e:584?::a76d=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th8?n4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c10`?6=:3:1=;;hd0>5<6=44}c10a?6=:3:1=;;hd0>5<6=44}c10b?6==3:1N4=91C?>:4ig694?=nn<0;66gi6;29?l`02900c<7::188yg5383:1?7>50z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831v>?50;0x967=9j;01;95f59~w65=838p1>?5889>77<61<1v>:50;0x967=1m16?84>949~w33=83lpR;;4=629=a=:>k02h63=b98:`>;5j:02h63=ad8:`>;1?3;2963<8d8e7>;4;00m86382;d0?80c2o901?ln:g1897d22o901?l?:g18965b2o90q~89:181801283>7088:g78yv0>2908w0=8d;d7?810283>70=5<5s4;<4e>c5=b<5?o1=4;4}r4g>5<5s4c301::5f29~w26=838p1:>51b38923=n:1v:?50;0x926=0m16;>4>949~w24=838p1:<51878925=n<1v::50;0x93`=90?01:95f59~w23=838p1::51878921=n<1v:850;0x923=90?01:95f79~w21=838p1:851878921=n>1vhj50;;xZ`b<5:236574=3cf>=?<5;h86574=3`;>=?<5?h14452718;=>;4;>03563<2;d0?xuak3:1?vPic:?0;>:g3896>?20?01?oj:87897d420?01?l7:87893d=1<16;=465:?072<>=2wx>lj50;1xZ7gc348i=7h;;<10g?`33ty9mh4?:3y>6dc=9j;01>=l:g78yv4fn3:1>v3=ad8;`>;5j80:585rs3`3>5<5s48i<7?65:?1f4o<50;1xZ7d5348h>7h<;<0a2?`33ty9n>4?:3y>6g5=9j;01?m>:g18yv4e<3:1>v3=b28;`>;5j?0:585rs3`6>5<5s48i97?65:?1f3o950;1xZ7d0348in7h;;<10f?`33ty9n54?:3y>6g>=9j;01>=m:g78yv4e13:1>v3=b98;`>;5jk0:585rs3`b>5<5s48im7?65:?1fgn>50;0x97e4283>70=949>6f5=n<1v>=8:181854?3;h=63;0e8e7>{t;:21<7958e9>7=>=0m16?9>51878yv54j3:1>v3<3c82=0=:;:l1j85rs21`>5<5s498o7?65:?07c2wx?>j50;0x965c283>70=;6k;4}r10b?6=:r78?k4>949>716=n=1v>8j:186[51m27=:7h<;<16>c5<5=:n6k:4=25g>c352z\03f=:;>n1=4;4}r1;52z?0<=<>l2784k4>949~w6>b2909w0=7e;3:1>;40o0m96s|41a94?4|V=:h70:?e;3:1>{t<9n1<74?234>;i7h:;|a735=83;>6l75b5yK762<,:;n64?::m02`<722cj:7>5;h1gg?6=3`;8m7>5;n14e?6=3`2i6=44i9c94?=h;h?1<75f12694?=h;l>1<75f19c94?=n;>i1<75`38294?=h;031<75`1g83>>i4n<0;66l<5083>4<729q/?:188yg5a=3:197>50z&05`5<0e5750;9j=a<722e:o<4?::aff<72:0;6=u+30g9a`=O;<:0D>=;;h::>5<;?;I100>o?13:17d7k:188k4e62900qol;:180>5<7s-9:i7kj;I164>N4;=1b444?::k:`?6=3f;h=7>5;|`0=<<72:0;6=u+30g9a`=O;<:0D>=;;h::>5<;?;I100>o?13:17d7k:188k4e62900qo??3;297?6=8r.8=h4je:J015=O;:>0e5750;9j=a<722e:o<4?::aad<72:0;6=u+30g9a`=O;<:0D>=;;h::>5<0e5750;9j=a<722e:o<4?::a714=8391<7>t$23f>`c<@:?;7E=<4:k;=?6=3`3o6=44o0a2>5<6<729q/?<6F<359j<<<722c2h7>5;n3`5?6=3th8:h4?:283>5}#;8o1ih5G3428L6533`226=44i8f94?=h9j;1<75rb2;3>5<4290;w)=>e;gf?M5282B8?95f8883>>o>l3:17b?l1;29?xdb13:1?7>50z&05`;m50;194?6|,:;n6hk4H273?M54<2c357>5;h;g>5<5<4290;w)=>e;gf?M5282B8?95f8883>>o>l3:17b?l1;29?xd4<80;6>4?:1y'74c=ml1C?8>4H217?l>>2900e4j50;9l5f7=831vnl>50;194?6|,:;n6hk4H273?M54<2c357>5;h;g>5<N4=91C?>:4i9f94?=n1<0;66g79;29?l?c2900c:188yg73>3:1?7>50z&05`5;|`gb?6=;3:1N4=91C?>:4$022>5=n000;66g6d;29?j7d93:17pl>6083>6<729q/?5<:188yg70:3:1?7>50z&05`5;|`236<72:0;6=u+30g9a`=O;<:0D>=;;%335?6>i6k80;66sm16694?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn<9::180>5<7s-9:i7kj;I164>N4;=1/==?50:k;=?6=3`3o6=44o0a2>5<53;294~"49l0ni6F<519K762<,8::6<5f8883>>o>l3:17b?l1;29?xdd?3:1?7?53zJ071=#;8o1=5k4i`694?=ni<0;66a<0983>>d4=?0;6>4?:1y'74c=;=l0D>;?;I100>oa<3:17dh::188k4?22900q~o;:181[g3349>:7h:;|qb1?6=:rTj963<578e0>{t;921<73;296srbb494?5=939pD>=;;%12a?7?m2cj87>5;hc6>5<5<4290;w)=>e;17b>N4=91C?>:4ig694?=nn<0;66a>9483>>{ti=0;6?uQa59>700=n<1vl;50;0xZd3<5:?=6k:4}r1353;397~N4;=1/?5}#;8o1?9h4H273?M54<2cm87>5;hd6>5<6=44}rc7>5<5sWk?70=:6;d6?xuf=3:1>vPn5:?013:7?65:~ff2=8391=7=tH217?!56m3;3i6gn4;29?lg22900c>>7:188f63129086=4?{%12a?53n2B89=5G3268mc2=831bj84?::m2=0<722wxm94?:3y]e1=:;<<1j85rs`794?4|Vh?01>;9:g68yv5703:1>vP<099>700=90?0qpl=6c83>6<729q/?t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<=3:17pl<9283>6<729q/?7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg5fk3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi?ho50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?hl50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}cc0>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb267>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb9594?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm27d94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm3`g94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm3df94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm3g;94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66smc383>7<729q/?=3:17pll3;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::af`<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`ab?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{ejh0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xdej3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722win;4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3thi;7>53;294~"49l09m6F<519K7621<75ff483>>i61<0;66smc883>6<729q/?t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<=3:17pljb;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo6;:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn?=50;794?6|,:;n6<76;I164>N4;=1bj94?::ke1?6=3`l=6=44ig594?=h90?1<75rb3694?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg4229086=4?{%12a?7>?2B89=5G3268mc2=831bj84?::m2=0<722wi>;4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c34g?6=:3:1=;;hd0>5<6=44}c34`?6=;3:1=;;hd7>5<=3:17pl>7g83>6<729q/?50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi=:650;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi=:750;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c35=?6=:3:1=;;hd0>5<6=44}c35e?6=:3:1=;;hd0>5<6=44}c35f?6=:3:1=;;hd0>5<6=44}c35g?6=:3:1=;;hd0>5<6=44}c35`?6=:3:1=;;hd0>5<6=44}c35a?6==3:1N4=91C?>:4ig694?=nn<0;66gi6;29?l`02900c<7::188yg71n3:1?7>50z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831vn<9?:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`234<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm17794?3=83:p(>?j:0;:?M5282B8?95ff583>>oa=3:17dh9:188mc1=831d=4;50;9~f40129086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th8;94?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;84?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;;4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;:4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;54?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;44?:783>5}#;8o1>i5G3428L6533`l?6=44ig794?=nn?0;66gi7;29?l`?2900c<7::188ygc629096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f`4=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::aa6<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`f0?6=:3:1=;;hd0>5<6=44}cg6>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rbd494?1=83:p(>?j:3g8L6373A9886gi4;29?l`22900ek850;9jb2<722cm47>5;hd:>5<6=44}c631?6==3:1N4=91C?>:4ig694?=nn<0;66gi6;29?l`02900c<7::188yg27>3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi8=950;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi8=650;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi8=750;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c63e?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e<9h1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo=6c;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=6d;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=6e;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=6f;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=n0;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=n1;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=n2;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=n3;293?6=8r.8=h4=e:J015=O;:>0ek:50;9jb0<722cm:7>5;hd4>5<>i61<0;66sm3`694?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xd4nk0;6:4?:1y'74c=:l1C?8>4H217?l`32900ek;50;9jb3<722cm;7>5;hd;>5<6F<519K7626F<519K7626F<519K7626F<519K7626F<519K76250z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831vn9><:187>5<7s-9:i7N4;=1bj94?::ke1?6=3`l=6=44o0;6>5<52;294~"49l0:5<52;294~"49l0:5<7>52;294~"49l0:5<52;294~"49l0:5<52;294~"49l0:5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm3c494?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg5e?3:1?7>50z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831vn>l7:187>5<7s-9:i7N4;=1bj94?::ke1?6=3`l=6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm10794?3=83:p(>?j:0;:?M5282B8?95ff583>>oa=3:17dh9:188mc1=831d=4;50;9~f47129086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3thhj7>52;294~"49l0:5<t$23f>4653A9><6F<359jb6<722e:584?::a`3<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`g3?6=:3:1=;;hd0>5<6=44}cf;>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rbe;94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188ygbf290?6=4?{%12a?4e3A9><6F<359jb1<722cm97>5;hd5>5<6=44}cf3>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{el80;694?:1y'74c=:k1C?8>4H217?l`32900ek;50;9jb3<722e:584?::a`7<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<6F<519K76250;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722win<4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rbc094?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188ygd429086=4?{%12a?7>?2B89=5G3268mc2=831bj84?::m2=0<722wi==;50;794?6|,:;n6<76;I164>N4;=1bj94?::ke1?6=3`l=6=44ig594?=h90?1<75rb02:>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd68h0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68k0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68j0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68m0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68l0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68o0;684?:1y'74c=:j1C?8>4H217?l`32900ek;50;9jb3<722cm;7>5;n3:1?6=3th:==4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c332?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e99=1<7=50;2x 67b283<7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl>0983>6<729q/?4e63ty9>7>52z?2b??c348=6<7:;|q17?6=:r79?7?65:?12?`33ty987>52z?10?7>=27997h:;|q11?6=:r7997?65:?12?`23ty=57>55z?5b?7>=27::44i3:?220<7<6k816:k4i5:p<6<72hq64?479:?0a14?23ty397>52z?;1?7d927=j7h;;|q;2?6=az\;e>;4n<03563nc;::?8e721301om5889>f=>34;m6574=020>=?<5lk1445227f9<<=:0<03563<438;=>;f932270?>2;::?8ba21301<8>:9;8940521301<88:9;8941521301<9<:9;8941321301<9::9;8941f213015:5f29~w=d=832pR5l4=d`9b6=:9>n1j95216:9b6=:9?n1j>521769b0=:98>1j85211g9b6=z{0o1<7;t=8d95<3<58c3<58;>6k;4=02a>c54e6343m6k;4}rc2>5<5s4k:6;<;e>c2g<7}:ij02h63m3;3:1>{til0;6?u2ad82=0=:j80m96s|ag83>7}:io0:5852b38e0>{tj90;6?u2b182=0=:j;0m96s|b083>7}:j80:5852b28e0>{tj;0;6?u2b382=0=:j:0m96s|a983>d}:j=0:o<52c58b1>;d13l?70k<:g1891612o>01>hm:g7896`d2o901i=5f49>`14?23tyi:7>52z?a2?7>=27i;7h:;|qb=?6=1r7i47?l1:?`1?g234ii6k:4=d79b6=:<9<1j8523g`9b3=:;oo1j>52d98e7>;fn3l>7p}m9;296~;e033o70lm:0;6?xuei3:1>v3ma;3:1>;ej3l>7p}na;29<~;ek3;h=63l6;c6?8ec2o>01h:5f29>051=n:168=>5f29>`24?23tyii7>52z?aa?7>=27ij7h:;|qbf?6=0r7h<7?l1:?`3?g234o=6k;4=52;>c5<5:lm6k=4=e19b3=:l?0m?63nf;d4?xud93:1>v3l0;;g?8e4283>7p}l2;296~;d:3;2963l3;d6?xud03:1?v3l5;c7?8e>283>70mm:g78yvef2908w0m9:`689fd=90?01nj5f49~wfe=838p1n95a59>ga<61<1vnk50;7x9f4=n:16nh4i3:?ae?`434h=6k=4=e095<34?234n26k;4}rf3>5<5s4n;6<7:;c34?234n96k;4}rf0>5<5s4n86<7:;c24?234nj6k;4}rf6>5<5s4n>6<7:;c24?234nj6k84}rf4>5<5s4n<6<7:;c24?234n:6k84}rf:>5<5s4n26<7:;c24?234n;6k;4}rfa>5<5s4i?6>>7;<`4>c266?34hi6k:4}rfg>5<5s4i=6>>7;<`e>c266?34i86k:4}rfe>5<2s4nm6;<35f?`434;=97h9;<321?`134;;o7h<;|qf4?6=:r7oj77k;4?23tyn=7>52z?f5?7>=27n:7h;;|qf6?6=:r7n>7?65:?f2?`13tyn?7>52z?f7?7>=27n:7h7;|qf0?6=:r7n87?65:?f2?`03tyn97>52z?f1?7>=27n:7h6;|qf=?6=4e634im6k=4=`g9b6=z{lk1<7=t=dc95f7<5m31j952b08e0>{tmj0;6?u2e88;=>;bj3;296s|11194?2|V8:870??3;3`5>;38<0m863{t99>1<70q~??6;296~;68?0:58521159b0=z{8:<6=4={<333?7>=27:<54i5:p55?=838p1<>6:0;6?877?3l?7p}>0`83>7}:99k1=4;4=02e>c252z?24g<61<16==h5f49~w46d2909w0??c;3:1>;68o0m:6s|11f94?4|58:o6<7:;<33b?`03ty:55c=90?01v3>0g82=0=:98:1j95rs033>5<5s4;:<7?65:?2437?l1:p545=838p17p}>1583>7}:98>1=4;4=035>c252z?250<61<16=<85f49~w4532909wS?<4:?0efo50;0xZ45f34;?:77k;|q203<72521=n=16==75f59~w4062909w0?91;3`5>;6?o0m86s|17094?5|58<:64j4=041>4e6349j<7h<;|q226<72;q6=;<59e9>530=90?0q~?94;296~;6>=0:58521749b1=z{8<>6=4={<351?7>=27::;4i5:p531=83kp1<88:0a2?85>;3l?70<<:g5894172o>01<8;:g6896102o901>7j:g1896d32o9010q~?98;296~;6>>02h63>7082=0=z{8<26=4={<35=?7>=27::h4i4:p53g=838p1<8n:0;6?871m3l>7p}>6c83>7}:9?h1=4;4=04f>c052z?22f<61<16=;k5f69~w40c2909w0?9d;3:1>;6>o0m96s|17g94?4|5853`=90?01<9?:g78yv7083:1>v3>7182=0=:9>;1j85rs051>57?l1:?0=64?:6y>524=1m16=:=51b38975=n?16?::5f29>7553=n?1v<9;:184870;33o70?84;3`5>;5;3l?70=85;d0?85f93l870=m1;d0?877=3l?7p}>7483>2}:9>>15i5216795f7<5;91j85236:9b6=:;0l1j>523c29b6=:99?1j85rs055>5<5s4;<977k;<34=?7>=2wx=:950;0x9410283>70?89;d7?xu6?10;6?u216:95<3<58=26k;4}r34e?6==r7:;l4>c09>617p}>7b83>7}:9>i1=4;4=05g>c352z?23a<61<16=:k5f49~w41b2909w0?8e;3:1>;6?o0m96s|19c94?4|V82j70=jb;d7?xu60k0;6>uQ19`896g52o901>l8:g68yv41i3:19v3=6c82=0=:9?i1j>521779b2=:98?1j:5211f9b6=z{;6}::?n15i5227a9=a=::?l1=4;4}r175?6=;r788<4>c09>053=n?16?kl5f99~w6252908w0=;2;3`5>;38<0m963{t;=91<7=t=261>:64j4=267>4?23ty8:h4?:3y]73c<5:;|q036<72;q6?;k59e9>72?=90?0q~=84;296~;4?=0:585236;9b0=z{:=>6=4={<141?7>=278;44i4:p720=838p1>99:0;6?85013l=7p}<7683>7}:;>=1=4;4=25:>c152z?03=<61<16?:75f99~w61f290?wS=8a:?014=2wx?:m50;0xZ61d342<6k=4}r1;{t;0:1<783;h=6s|38094?4|5:3;64j4=2;0>4?23ty8544?:3y]7;|q0=g<72;q6?4759e9>7d2=90?0q~=6c;296~;41j0:58523`19b0=z{:3o6=4={<1:`?7>=278m>4i4:p77j:0;6?85f;3l=7p}<9g83>7}:;0l1=4;4=2c0>c>52z?0e5<61<16?l=5f69~w6g62909w0=n1;3:1>;4i:0m56s|3`094?4|5:k96<7:;<1b0?`23ty8m>4?:3y>7d5=90?01>o;:g68yv5f=3:1>vP7d3=9j;0q~=na;296~;4ij0:58523`g9b6=z{:ki6=4={<1bf?7>=278mn4i5:p7db=838p1>o::8f896gb283>7p}6}:;hh1j>523dc9b6=:;k21=4;4}r1a4?6=:r78n=4>949>7g3=n=1v>l>:18185e93;2963{t;k81<74?2349i47h;;|q0f6<72;q6?o=5187896d12o>0q~=m4;296~;4j=0:58523c49b0=z{:h>6=4={<1a1?7>=278n:4i5:p7g0=838p1>l9:0;6?85e03l=7p}7}:;k=1=4;4=2`;>c352z\0`f=::?l1j>5rs2g7>5<5sW9n863=278ii4i3:p7`g=838p1>kn:0;6?85bj3l>7p}7}:;l>15i523df95<353z\0b0=:;o?1=n?4=d09b6=z{:l36=4={<1e1??c349m57?65:p7cg=838p1>h::9f89164283>7p}7}:;oh1=4;4=521>c252z?0bf<61<168=?5f49~w6`c2909w0=id;3:1>;3880m86s|3gg94?4|5:ln6<7:;<635?`13ty8jk4?:3y>7c`=90?019><:g68yv2783:1>v3;0182=0=:<991j;5rs522>5<5s4>;=7?65:?74770:?3;d6?xu38=0;6?u23g;9b6=:<9h1=4;4}r631?6=:r7?<84>949>05d=n=1v9>9:181827>3;2963;0`8e0>{t<9=1<74?234>;57h;;|q74=<72;q68=651878916>2o?0q~:?9;296~;3800:585241c9b0=z{=:j6=4={<63e?7>=27?l;<170?`43twi?8m50;597d<4lrB8?95+30g9=3=#91i1?5<5<>d4=80;6<4?:1y'74c=n;1C?8>4H217?j`62900qo=>f;291?6=8r.8=h4jf:J015=O;:>0e5j50;9j=0<722c357>5;h;g>5<N4=91C?>:4i9f94?=n1<0;66g79;29?l?c2900c:188yg4a93:197>50z&05`5<2290;w)=>e;ge?M5282B8?95f8e83>>o>=3:17d66:188m<6F<359'557=82c357>5;h;g>5<N4=91C?>:4$022>5=n000;66g6d;29?j7d93:17pl=cg83>6<729q/?i>50;194?6|,:;n6hk4H273?M54<2.:<<4?;h::>5<:188yg4c:3:1?7>50z&05`5;|`1`6<72:0;6=u+30g9a`=O;<:0D>=;;%335?6>i6k80;66sm2e694?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn?jm:180>4<4sA9886*<1d82<`=ni=0;66gn5;29?j5703:17o=:6;297?6=8r.8=h4<4g9K706<@:9?7dh;:188mc3=831d=4;50;9~wd2=838pRl:4=275>c36=4={_c6?852>3l?7p}<0983>7}Y;9201>;9:0;6?x{e:mk1<7=51;1xL6533-9:i7?7e:kb0?6=3`k>6=44o22;>5<1<75ff483>>i61<0;66s|a583>7}Yi=16?885f49~wd3=838pRl;4=275>c252z\04==:;<<1=4;4}|`1`<<72:0:6>uG3268 67b282n7do;:188md3=831d?=650;9a700=8391<7>t$23f>62a3A9><6F<359jb1<722cm97>5;n3:1?6=3tyj87>52z\b0>;4=?0m96s|a483>7}Yi<16?885f59~w66?2909wS=?8:?013<61<1vqo1<75fa483>>i4810;66l<5783>6<729q/?52z\b1>;4=?0m86s|31:94?4|V::370=:6;3:1>{zj;n<6=4<:080M54<2.8=h4>8d9je1<722cj97>5;n13:7>53;294~"49l088k5G3428L6533`l?6=44ig794?=h90?1<75rs`694?4|Vh>01>;9:g78yvg22909wSo:;<162?`33ty8<54?:3y]75><5:?=6<7:;|a6a0=8391=7=tH217?!56m3;3i6gn4;29?lg22900c>>7:188f63129086=4?{%12a?53n2B89=5G3268mc2=831bj84?::m2=0<722wxm94?:3y]e1=:;<<1j85rs`794?4|Vh?01>;9:g68yv5703:1>vP<099>700=90?0qpl=d483>6<62:qC?>:4$23f>4>b3`k?6=44i`794?=h;921<75m34494?5=83:p(>?j:26e?M5282B8?95ff583>>oa=3:17b?65;29?xuf<3:1>vPn4:?0135<5sW9;463<5782=0=zuk9;>7>52;294~"49l0:5<52;294~"49l0:5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb3d6>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:o91<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e:mo1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo0ek:50;9jb0<722e:584?::a6`4=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm2d494?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg4b03:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>im50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?=:50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c131?6=:3:1=;;hd0>5<6=44}c132?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e;9=1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo0ek:50;9jb0<722cm:7>5;hd4>5<>oai3:17dhm:188k4?22900qo==1;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==3;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==4;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==5;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==6;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==7;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==8;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==9;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==a;290ek:50;9jb0<722cm:7>5;hd4>5<>oai3:17b?65;29?xd4:;0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo=<1;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a764=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a765=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3ty3o7>57z\;g>;4:j02h63<1g8:`>;4:k02h63<2d8e7>;4:00m?63<338e7>{tmm0;6iuQee9>74`=0016??m5889>6c7=0016??l5889>6fb=0016>nk5889>6f`=0016>i>5889>6a7=0016>i<5889>6a5=0016>i:5889~w4?5290>w0=:1;d2?856n33>70==c;;6?84a933>70==b;;6?xu5k=0;6?uQ2b6897`22o>0q~523339b6=z{;i=6=4:{<0`a?7d9279h84n5:?1``n950;7x97ea28i:700}::m:1=n?4=3f4>d3<5;o96k:4=3db>c5<5:8>6k=4}r0`=?6==r79h<4>c09>6a>=i<16>h:5f59>6cd=n:16??95f29~w7ef290=w0;5l00j963=e78e0>;48?0m863=fb8e7>;4:?0m?6s|2b`94?0|5;n86;<0ge?g2348n47h;;<130?`2348mh7h<;<116a2=9j;01?jm:`7896632o>01?hj:g18yv4cl3:1?v3=d78b0>;5ll0:58522d29b0=z{;nm6=4<{<0g3?g3348n<7?65:?1a7h?50;1x97b?2h>01?k=:0;6?84b<3l>7p}=e283>6}::m31m9522d695<3<5;o=6k;4}r0f1?6=;r79hl4n4:?1a3<61<16>h65f49~w7c02909w04?23ty9il4?:3y>6fc=1m16>i;531:8yv4bj3:1>v3=cg8:`>;5l?08<55rs3g`>5<5s48o<77k;<0g3?5702wx>hj50;0x97b620n01?j7:22;?xu5ml0;6?u22e09=a=::m31?=64}r0fb?6=:r79h>46d:?1`d<4811v?h?:18184c<33o70{t:o;1<7=t=3d2>4e6349;>7h<;<131?`43ty9j?4?:3y>6c7=1m16>k=51878yv4a<3:1>v3=f08;`>;5n<0:585rs3d5>5<2s499j7h;;<0e1?`2348m?7h<;<0eb?7>=278?<4i4:p6c1=838p1?h8:0;6?84an3l?7p}=f983>7}::o21=4;4=3de>c352z?1b<<61<16>kh5f79~w7`f2909w0;5no0m;6s|2g`94?4|5;li6<7:;<0eb?`?3ty9jn4?:3y>6ce=90?01?hi:g;8yv4al3:1>v3=fe82=0=::ol1jl5rs3df>5<5s48mi7?65:?1bc7?65:p755=838pR>><;<133?7>=2wx?=:50;0x9663283>70=?7;d7?xu48<0;6?u231795<3<5::=6k;4}r132?6=:r78<;4>949>751=n<1v>?i:181[56n278=k4>c09~w6472909w0=>f;:g?855:3;296s|33394?4|5:8:6<7:;<11e?`33ty8>>4?:3y>775=90?01>v3<2582=0=:;;k1j;5rs206>5<5s49997?65:?06d70==a;d:?xu4:>0;6?u233595<3<5:8j6k64}r1154>949>77g=nh1v><6:18185513;2963<238e1>{t;;k1<74?23499>7h;;|q06g<72;qU??l4=20a>4e63ty8>n4?:3y>77e=9j;01>=>:g78yv55l3:1>v3<2b8;`>;4:o0:585rs20f>5<5s499i7?65:?06c>50;0x964e21n01>=<:0;6?xu4;80;6?u232395<3<5:986k:4}r106?6=:r78??4>949>765=n<1vqo=9c;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=:9;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=:a;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a731=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a73>=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a73?=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<52;294~"49l0:5<7>53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb8694?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm36294?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm3d494?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm39394?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm3ef94?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm39a94?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm40094?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm3`594?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm9183>7<729q/?=3:17pl62;296?6=8r.8=h4>019K706<@:9?7dh<:188k4?22900qok7:181>5<7s-9:i7??0:J015=O;:>0ek=50;9l5<3=831vn9?::181>5<7s-9:i7??0:J015=O;:>0ek=50;9l5<3=831vn8m50;094?6|,:;n6<>?;I164>N4;=1bj>4?::m2=0<722wi9o4?:383>5}#;8o1==>4H273?M54<2cm?7>5;n3:1?6=3th>m7>52;294~"49l0:<=5G3428L6533`l86=44o0;6>5<9483>>{e=?0;6?4?:1y'74c=99:0D>;?;I100>oa;3:17b?65;29?xd2=3:1>7>50z&05`<6891C?8>4H217?l`42900c<7::188yg3329096=4?{%12a?7782B89=5G3268mc5=831d=4;50;9~f05=8381<7>t$23f>4673A9><6F<359jb6<722e:584?::a17<72;0;6=u+30g9556<@:?;7E=<4:ke7?6=3f;297>5;|`65?6=:3:1=;;hd0>5<6=44}c73>5<5290;w)=>e;334>N4=91C?>:4ig194?=h90?1<75rb5d94?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm4d83>7<729q/?=3:17pl94;296?6=8r.8=h4>019K706<@:9?7dh<:188k4?22900qo8<:181>5<7s-9:i7??0:J015=O;:>0ek=50;9l5<3=831vn;<50;094?6|,:;n6<>?;I164>N4;=1bj>4?::m2=0<722wi:<4?:383>5}#;8o1==>4H273?M54<2cm?7>5;n3:1?6=3th=<7>52;294~"49l0:<=5G3428L6533`l86=44o0;6>5<9483>>{e=m0;6?4?:1y'74c=99:0D>;?;I100>oa;3:17b?65;29?xd203:1>7>50z&05`<6891C?8>4H217?l`42900c<7::188yg5?i3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg2683:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5b;3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5cj3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5c:3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5?=3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg50:3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg50j3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5c<3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5b03:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5d;3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg51i3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg51l3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5b83:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5>93:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5f13:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5a?3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5>03:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5>i3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg50n3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg44;3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg44:3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg41<3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg42m3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg4203:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg42:3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg43k3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg43>3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg4383:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg44i3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg44<3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg45k3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yv472909w0=93;3e?851?3l87p}=1;296~;4>=0:j63<698e7>{t:>0;6?u2376962=:;?i1j>5rs2494?4|V:<019k5f29~w61=838pR>94=5d9b6=z{:21<7750;0xZ6?<5<;1j>5rs2c94?4|V:k018<5f29~w6d=838pR>l4=419b6=z{:i1<7j50;0xZ6b<55rs2g94?4|V:o01885f29~w6`=838pR>h4=459b6=z{=:1<7=n:1v9?50;0xZ17<5<31j>5rs5094?4|V=8018o5f29~w15=838pR9=4=4`9b6=z{=?1<75rs5594?4|V==018k5f29~w1>=838pR964=4d9b6=z{=31<75rs5`94?4|V=h01;<5f29~w1e=838pR9m4=719b6=z{=n1<7=2wx8k4?:2y>70b=?27?=;48;<6e>4?23ty><7>53z?01a::764=4295<3d=:<8<1m63:2;3:1>{t=:0;6>u234f9f>;39?0i70;<:0;6?xu2<3:1?v3<5e8`?826>3i018:51878yv322908w0=:d;f891712m16984>949~w00=839p1>;k:d9>040=m27>:7?65:p12<72:q6?8j5f:?7530:?6=2wx944?:2y>70b=98168<85109>1<<61<1v8o50;1x963c289019?9:01890g=90?0q~;m:181852l3;?70;m:0;6?xu2k3:1>v3<5e822>;2k3;296s|5e83>7}:<8<1=:525e82=0=z{4><55<5s4>::7?6;<7e>4?23ty=<7>52z?753<6i27=<7?65:p24<72;q68<851c9>24<61<1v;<50;0x917128i01;<51878yv042908w0=:d;3g?826>3;o708<:0;6?xu1<3:1?v3<5e82a>;39?0:i6394;3:1>{t><0;68u234f920=:<8<1:852376920=:;21<73?<5:?n6;h4}r:e>5<5sW2m707?:g18yv?72909w0=93;:b?8?7283>7p}61;296~X>9272>7h<;|q:6?6=>r789i47e:?753=2wx5>4?:3y]=6=:1=0m?6s|9583>7}:;?914o529582=0=z{l=1<7=n:1vh650;0x96042h<01h651878yv`d2909w0=:d;d`?852m3lh7p}>0283>7}:;?>1===4=240>4643ty:=?4?:3y>732=98801>8<:031?xu6900;6?u234f954?<5:3483>7}:;;4=247>4523ty:?l4?:3y>732=9:k01>8<:01b?xu6<>0;6?u23769511<5:<86<6m;|q2<5<72;q6?;:519289604282j7p}>c383>7}Y9j801?7p}>c483>7}Y9j?01?=<:0;6?xu6k?0;6?uQ1b489753283>7p}>c683>7}Y9j=01?=n:0;6?xu6k10;6?uQ1b:89727283>7p}>c883>7}Y9j301?:9:0;6?xu6kh0;6?uQ1bc8972d283>7p}>cc83>7}Y9jh01?;=:0;6?xu6kj0;6?uQ1ba8973?283>7p}>ce83>7}Y9jn01?;j:0;6?xu6kl0;6?uQ1bg89703283>7p}>d183>7}:;4=535>4b73ty9>n4?:3y>040=9j801?v3;1782g6=:::81j>5rs310>5<5s4>::7?l5:?176>:50;0x917128i=70<<4;d0?xu5;h0;6?u240495f1<5;9j6k=4}r074?6=:r7?=;4>c99>616=n:1v?:9:181826>3;h563=478e7>{t:=i1<74ef348?o7h<;|q117<72;q68<851b`897352o90q~<:8;296~;39?0:on5224:9b6=z{;?n6=4={<622?7dl2799h4i3:p632=838p19?9:0af?841<3l87p}=7183>7}:;:>4=535>7173ty9nh4?:3y>732=:hi01>;j:3`1?xu5jo0;6?u240496de<5:?n6?ok;|q1g1<72;q68<852b68963d2;i?7p}<0083>7}:<8<1?=?4=27`>6663ty8<>4?:3y>040=;9901>;l:220?xu4800;6?u234f975?<5:?26k=4}r124?6=:r789i4<119>737=n:1v>?i:181852k39:j63<638e0>{t;;h1<764e349>m7h;;|q01=<72;q6?8k56`9>70g=90?0q~=:9;296~;4=00:585234c9b0=z{:?m6=4={<16a?4e?278:?4>949~w6072909w0=91;3:1>;4>;0m96s|37794?4|V:<>70=9a;3:1>{t;?<1<74?2349=57h;;|q022<72;q6?;651878960>2o?0q~=9a;296~;4>00:585237c9b6=z{:;4>m0:585rs24g>5<5s49=o7?65:?02a;4?90:585rs252>5<5sW9<=63<7382=0=z{:=96=4={<157?51m278;?4i3:p72g=838pR>9n;<14f?7>=2wx?:l50;0x96042:=j70=8b;d0?xu4?l0;6?uQ36g8961a283>7p}<7g83>7}:;c552z\0<5=:;1;1j>5rs2:2>5<5s49=?7=8c:?0<4<61<1v>6=:181[5?:278484>949~w6>22909w0:>6;1;6>;40<0m?6s|39;94?4|V:2270=7a;3:1>{t;1k1<76>?3493m7h<;|q0c552z?026<40116?5m51878yv5>83:1>vP<919>7<7=90?0q~=61;296~;4>:085=523839b6=z{:3?6=4={_1:0>;4110:585rs2;;>5<5s49=87=64:?0==3492m7?65:p78<:2;:?85>i3l87p}7}Y;h<01>o8:g18yv5f?3:1?v3;1780e0=:;?>1?l;4=2c4>4?23ty8m54?:3y]7d><5:k26<7:;|q0e<<72;q6?;=53`7896g>2o90q~=l0;296~X4k916?n=51878yv5d;3:1>v3;1780g5=:;j91j>5rs2f2>5<5sW9o=63j<;<1g0?7>=2wx?i:50;0x91712:on70=k4;d0?xu4l<0;6?uQ3e7896be283>7p}7}:<8<1?i;4=2fa>c552z\0`f=:;mn1j>5rs2fg>5<4s49>h7=kc:?026<4lj16?ij51878yv5cm3:1>vP7`6=90?0q~=j0;296~;39?08hh523d29b6=z{:o:6=4={_1f5>;4m:0:585rs2g0>5<5s4>::7=j1:?0a6{t;l=1<7k;;<1f51878yv2683:1>v3<5d874f=:<8:1j>5rs532>5<5sW>:=63;138e7>{t<881<716d34>:>7?65:p042=838pR9?;;<621?`43ty?=84?:5y>70b=<89019?9:530?851<3>:?63;1482=0=zugoj=7>52zJ071=zflk96=4={I100>{imh91<7=;;|lfe=<728qC?>:4}ogb=?6=9rB8?95rndcb>5<6sA9886sae``94?7|@:9?7p`jab83>4}O;:>0qcknd;295~N4;=1vbhoj:182M54<2weilh50;3xL6533tdnn=4?:0yK76251zJ071=zflh96=4>{I100>{imk91<7?tH217?xhbj=0;6=;;|lff=<728qC?>:4}oga=?6=9rB8?95rnd`b>5<6sA9886saec`94?5|@:9?7p`jbb83>6}O;:>0qckmd;297~N4;=1vbhlj:180M54<2weioh50;1xL6533tdno=4?:2yK76253zJ071=zfli96=4<{I100>{imj91<7=tH217?xhbk=0;69uG3268ykcd=3:1>vF<359~j`e12908wE=<4:maf1=83>pD>=;;|lfg=<72;qC?>:4}og`=?6=:rB8?95rndab>5<5sA9886saeb`94?4|@:9?7p`jcb83>7}O;:>0qckld;296~N4;=1vbhmj:181M54<2weinh50;0xL6533tdnh=4?:2yK76253zJ071=zfln96=49{I100>{imm91<7=tH217?xhbl=0;6vF<359~j`b1290:wE=<4:maa1=83=;;|lf`=<728qC?>:4}ogg=?6=:rB8?95rndfb>5<6sA9886saee`94?4|@:9?7p`jdb83>6}O;:>0qckkd;297~N4;=1vbhjj:180M54<2weiih50;1xL6533tdni=4?:2yK76253zJ071=zflo96=4<{I100>{iml91<7=tH217?xhbm=0;6>uG3268ykcb=3:1=vF<359~j`c1290:wE=<4:ma`1=83;pD>=;;|lfa=<728qC?>:4}ogf=?6=9rB8?95rndgb>5<6sA9886saed`94?7|@:9?7p`jeb83>4}O;:>0qckjd;295~N4;=1vbhkj:182M54<2weihh50;3xL6533tdnj=4?:0yK76253zJ071=zfll96=4={I100>{imo?1<7vF<359~j``?2909wE=<4:mac?=838pD>=;;|lfbd<72;qC?>:4}ogef?6=:rB8?95rndd`>5<5sA9886saegf94?4|@:9?7p`jfd83>6}O;:>0qckif;297~N4;=1vbk>?:180M54<2wej=?50;1xL6533tdm53zJ071=zfo:?6=4={I100>{in9?1<7vF<359~jc6?2909wE=<4:mb5?=83;pD>=;;|le4d<72;qC?>:4}od3f?6=9rB8?95rng2`>5<6sA9886saf1f94?7|@:9?7p`i0d83>4}O;:>0qch?f;295~N4;=1vbk??:182M54<2wej54zJ071=zfo;?6=4>{I100>{in8?1<7vF<359~jc7?290:wE=<4:mb4?=838pD>=;;|le5d<728qC?>:4}od2f?6=:rB8?95rng3`>5<6sA9886saf0f94?4|@:9?7p`i1d83>4}O;:>0qch>f;296~N4;=1vbk?4?:0yK76252zJ071=zfo8?6=4>{I100>{in;?1<7vF<359~jc4?290:wE=<4:mb7?=839pD>=;;|le6d<728qC?>:4}od1f?6=:rB8?95rng0`>5<6sA9886saf3f94?4|@:9?7p`i2d83>4}O;:>0qch=f;296~N4;=1vbk=?:182M54<2wej>?50;0xL6533tdm??4?:0yK76252zJ071=zfo9?6=4>{I100>{in:?1<7=tH217?xha;?0;6vF<359~jc5?290:wE=<4:mb6?=838pD>=;;|le7d<728qC?>:4}od0f?6=;rB8?95rng1`>5<6sA9886saf2f94?4|@:9?7p`i3d83>4}O;:>0qch52zJ071=zfo>?6=4>{I100>{in=?1<7:tH217?xh6i=0;6=83;pD>=;;|l2e<<728qC?>:4}o3be?6=9rB8?95rn0ca>5<6sA9886sa1`a94?7|@:9?7p`>ae83>4}O;:>0qc?ne;295~N4;=1vb50;3xL6533td:n<4?:0yK7627>51zJ071=zf8h86=4>{I100>{i9k>1<7?tH217?xh6j<0;6=;;|l2fd<728qC?>:4}o3af?6=9rB8?95rn0`g>5<6sA9886sa1cg94?7|@:9?7p`>bg83>4}O;:>0qc?l0;295~N4;=1vb:182M54<2we=n<50;3xL6533td:o>4?:0yK76251zJ071=zf8i=6=4>{I100>{i9j=1<7?tH217?xh6k10;6=;;|l2ga<728qC?>:4}o3`a?6=9rB8?95rn0ae>5<6sA9886sa1e394?7|@:9?7p`>d383>4}O;:>0qc?k3;295~N4;=1vb51zJ071=zf8n36=4>{I100>{i9m31<7?tH217?xh6lh0;6=;;|l2``<728qC?>:4}o3gb?6=9rB8?95rn0g3>5<6sA9886sa1d394?7|@:9?7p`>e383>4}O;:>0qc?j3;295~N4;=1vb51zJ071=zf8o36=4>{I100>{i9l31<7?tH217?xh6mh0;6=;;|l662<728qC?>:4}o71=?6=9rB8?95rn40b>5<6sA9886sa53`94?7|@:9?7p`:2b83>4}O;:>0qc;=d;295~N4;=1vb8?=4?:0yK76251zJ071=zf<9m6=4>{I100>{i==:1<7?tH217?xh2<80;6=;;|l600<728qC?>:4}o772?6=9rB8?95rn464>5<6sA9886sa55:94?7|@:9?7p`:4883>4}O;:>0qc;;a;295~N4;=1vb8:m:182M54<2we99m50;3xL6533td>8i4?:0yK76251zJ071=zf<>m6=4>{I100>{i=<:1<7?tH217?xh2=80;6=;;|l610<728qC?>:4}o762?6=9rB8?95rn474>5<6sA9886sa54:94?7|@:9?7p`:5883>4}O;:>0qc;:a;295~N4;=1vb8;m:182M54<2we98m50;3xL6533td>9i4?:0yK762i7>51zJ071=zf{I100>{i=?:1<7?tH217?xh2>80;6=;;|l620<728qC?>:4}o752?6=9rB8?95rn444>5<6sA9886sa57:94?7|@:9?7p`:6883>4}O;:>0qc;9a;295~N4;=1vb88m:182M54<2we9;m50;3xL6533td>:i4?:0yK76251zJ071=zf<{I100>{i=>:1<7?tH217?xh2?80;6=;;|l630<728qC?>:4}o742?6=9rB8?95rn454>5<6sA9886sa56:94?7|@:9?7p`:7883>4}O;:>0qc;8a;295~N4;=1vb89m:182M54<2we9:m50;3xL6533td>;i4?:0yK76251zJ071=zf<=m6=4>{I100>{i=1:1<7?tH217?xh2080;64290:wE=<4:m1=2=83;pD>=;;|l6<0<728qC?>:4}o7;2?6=9rB8?95rn4:4>5<6sA9886sa59:94?7|@:9?7p`:8883>4}O;:>0qc;7a;295~N4;=1vb86m:182M54<2we95m50;3xL6533td>4i4?:0yK76251zJ071=zf<2m6=4>{I100>{i=0:1<7?tH217?xh2180;6:3:1=vF<359~j0?4290:wE=<4:m1<2=83;pD>=;;|l6=0<728qC?>:4}o7:2?6=9rB8?95rn4;4>5<6sA9886sa58:94?7|@:9?7p`:9883>4}O;:>0qc;6a;295~N4;=1vb87m:182M54<2we94m50;3xL6533td>5i4?:0yK76251zJ071=zf<3m6=4>{I100>{i=h:1<7?tH217?xh2i80;6=;;|l6e0<728qC?>:4}o7b2?6=9rB8?95rn4c4>5<6sA9886sa5`:94?7|@:9?7p`:a883>4}O;:>0qc;nb;295~N4;=1vb8ol:182M54<2we9lj50;3xL6533td>mh4?:0yK76251zJ071=zf{I100>{i=k;1<7?tH217?xh2j;0;6=;;|l6f3<728qC?>:4}o7a3?6=9rB8?95rn4`;>5<6sA9886sa5c;94?7|@:9?7p`:b`83>4}O;:>0qc;mb;295~N4;=1vb8ll:182M54<2we9oj50;3xL6533td>nh4?:0yK76251zJ071=zf{I100>{i=j;1<7?tH217?xh2k;0;6=;;|l6g3<728qC?>:4}o7`3?6=9rB8?95rn4a;>5<6sA9886sa5b;94?7|@:9?7p`:c`83>4}O;:>0qc;lb;295~N4;=1vb8ml:182M54<2we9nj50;3xL6533td>oh4?:0yK76251zJ071=zf{I100>{i=m;1<7?tH217?xh2l;0;6=;;|l6`3<728qC?>:4}o7g3?6=9rB8?95rn4f;>5<6sA9886sa5e;94?7|@:9?7p`:dc83>4}O;:>0qc;kf;295~N4;=1vb8k=:182M54<2we9h=50;3xL6533td>i;4?:0yK76251zJ071=zf{I100>{i=l31<7?tH217?xh2mk0;6=;;|l6b5<728qC?>:4}o7e1?6=9rB8?95rn4d5>5<6sA9886sa5g594?7|@:9?7p`:f983>4}O;:>0qc96c;295~N4;=1vb:7i:182M54<2we;l>50;3xL6533td7>51zJ071=zf>k86=4>{I100>{i?h>1<7?tH217?xh0i<0;6=;;|l4e`<728qC?>:4}o5bb?6=9rB8?95rn6`3>5<6sA9886sa7c394?7|@:9?7p`8b383>4}O;:>0qc9m3;295~N4;=1vb:l;:182M54<2we;o950;3xL6533td51zJ071=zf>hj6=4>{I100>{i?kh1<7?tH217?xh0jj0;6=;;|l4g5<728qC?>:4}o5`6?6=9rB8?95rn6a0>5<6sA9886sa7b694?7|@:9?7p`8c483>4}O;:>0qc9l6;295~N4;=1vb:m8:182M54<2we;n650;3xL6533td51zJ071=zf>ii6=4>{I100>{i?ji1<7?tH217?xh0km0;6=;;|l4`4<728qC?>:4}o5g6?6=9rB8?95rn6f0>5<6sA9886sa7e694?7|@:9?7p`8d483>4}O;:>0qc9k7;295~N4;=1vb:j7:182M54<2we;i750;3xL6533td51zJ071=zf>nh6=4>{I100>{i?mn1<7?tH217?xh0ll0;6=;;|l4a7<728qC?>:4}o5f7?6=9rB8?95rn6g7>5<6sA9886sa7d794?7|@:9?7p`8e783>4}O;:>0qc9j7;295~N4;=1vb:k7:182M54<2we;h750;3xL6533td51zJ071=zf>oh6=4>{I100>{i?ln1<7?tH217?xh0ml0;6=;;|l4b7<728qC?>:4}o5e7?6=9rB8?95rn6d7>5<6sA9886sa7g794?7|@:9?7p`8f783>4}O;:>0qc9i7;295~N4;=1vb:h7:182M54<2we;k750;3xL6533td51zJ071=zf>lh6=4>{I100>{i?on1<7?tH217?xh0no0;6783:1=vF<359~j=66290:wE=<4:m<52=83;pD>=;;|l;40<728qC?>:4}o:`4?6=9rB8?95rn9a2>5<6sA9886sa8b094?7|@:9?7p`7c583>4}O;:>0qc6l5;295~N4;=1vb5m8:182M54<2we4n650;3xL6533td3o44?:0yK76251zJ071=zf1ih6=4>{I100>{i0jn1<7?tH217?xh?kl0;6dn3:1=vF<359~j=b7290:wE=<4:m=;;|l;`1<728qC?>:4}o:g2?6=9rB8?95rn9f4>5<6sA9886sa8e:94?7|@:9?7p`7d`83>4}O;:>0qc6kb;295~N4;=1vb5jl:182M54<2we4ik50;3xL6533td3hk4?:0yK76251zJ071=zf1o:6=4>{I100>{i0l81<7?tH217?xh?m:0;6b<3:1=vF<359~j=c2290:wE=<4:m<`0=83;pD>=;;|l;a2<728qC?>:4}o:f5<6sA9886sa8dc94?7|@:9?7p`7ec83>4}O;:>0qc6jf;295~N4;=1vb5h?:182M54<2we4k<50;3xL6533tdin<4?:0yK7627>51zJ071=zfkh86=4>{I100>{ijk>1<7?tH217?xhej<0;63:1=vF<359~jgd0290:wE=<4:mfg>=83;pD>=;;|laf<<728qC?>:4}o`ag?6=9rB8?95rnc`g>5<6sA9886sabcg94?7|@:9?7p`mbg83>4}O;:>0qcll1;296~N4;=1vbom=:182M54<2wenn=50;3xL6533tdio94?:0yK76251zJ071=zfki=6=4>{I100>{ijj=1<7?tH217?xhek10;6?uG3268ykdd13:1=vF<359~jgef290:wE=<4:mffd=838pD>=;;|lagf<728qC?>:4}o```?6=9rB8?95rncaf>5<5sA9886sabbd94?7|@:9?7p`md183>4}O;:>0qclk1;296~N4;=1vboj=:182M54<2weni=50;3xL6533tdih94?:3yK76252zJ071=zfkn=6=4={I100>{ijm=1<7?tH217?xhel10;6=;;|la`f<728qC?>:4}o`g`?6=9rB8?95rncff>5<6sA9886sabed94?7|@:9?7p`me183>4}O;:>0qclj1;295~N4;=1vbok=:182M54<2wenh=50;0xL6533tdii94?:3yK76252zJ071=zfko=6=4={I100>{ijl=1<7?tH217?xhem10;6=;;|laaf<728qC?>:4}o`f`?6=9rB8?95rncgf>5<6sA9886sabdd94?7|@:9?7p`mf183>4}O;:>0qcli2;295~N4;=1vboh<:182M54<2wenk:50;3xL6533tdij84?:0yK76251zJ071=zfkl<6=4>{I100>{ijo21<7?tH217?xhen00;6=;;|laba<728qC?>:4}o`eb?6=9rB8?95rnb23>5<6sA9886sac1394?7|@:9?7p`l0583>4}O;:>0qcm?5;295~N4;=1vbn>9:182M54<2weo=950;3xL6533tdh<54?:0yK76251zJ071=zfj:j6=4>{I100>{ik9h1<7?tH217?xhd8j0;6=;;|l`55<728qC?>:4}oa25?6=9rB8?95rnb31>5<6sA9886sac0194?7|@:9?7p`l1583>4}O;:>0qcm>5;295~N4;=1vbn?9:182M54<2weo<950;3xL6533tdh=54?:0yK76251zJ071=zfj;j6=4>{I100>{ik8h1<7?tH217?xhd9j0;6=;;|l`67<728qC?>:4}oa17?6=9rB8?95rnb07>5<6sA9886sac3794?7|@:9?7p`l2783>4}O;:>0qcm=7;295~N4;=1vbn<7:182M54<2weo?o50;3xL6533tdh>o4?:0yK76251zJ071=zfj8o6=4>{I100>{ik;o1<7?tH217?xhd:o0;6=;;|l`7=<728qC?>:4}oa0=?6=9rB8?95rnb1b>5<6sA9886sac2`94?7|@:9?7p`l3b83>4}O;:>0qcm50;3xL6533tdh8<4?:0yK7627>51zJ071=zfj>86=4>{I100>{ik=>1<7?tH217?xhd<<0;63:1=vF<359~jf20290:wE=<4:mg1>=83;pD>=;;|l`0<<728qC?>:4}oa7e?6=9rB8?95rnb6a>5<6sA9886sac5g94?7|@:9?7p`l4g83>4}O;:>0qcm:0;295~N4;=1vbn;=:182M54<2weo8=50;3xL6533tdh994?:0yK76297>51zJ071=zfj?=6=4>{I100>{ik<=1<7?tH217?xhd=10;6=;;|l`1f<728qC?>:4}oa6`?6=9rB8?95rnb7f>5<6sA9886sac4d94?7|@:9?7p`l6183>4}O;:>0qcm91;295~N4;=1vbn8=:182M54<2weh5<50;3xL6533tdo494?:3yK76252zJ071=zfm2=6=4={I100>{il1=1<7vF<359~ja>f2909wE=<4:m`=d=838pD>=;;|lg:4}of;`?6=:rB8?95rne:f>5<5sA9886sad9d94?4|@:9?7p`k9183>7}O;:>0qcj61;296~N4;=1vbi7=:182M54<2weh4=50;0xL6533tdo594?:3yK76252zJ071=zfm3=6=4={I100>{il0=1<713:1>vF<359~ja?f290:wE=<4:m`=;;|lg=f<728qC?>:4}of:`?6=9rB8?95rne;f>5<6sA9886sad8d94?7|@:9?7p`ka183>4}O;:>0qcjn1;295~N4;=1vbio=:182M54<2wehl=50;3xL6533tdom94?:0yK76251zJ071=zfmk36=4>{I100>{ilh31<7?tH217?xhcih0;6=;;|lgec<728qC?>:4}ofa4?6=9rB8?95rne`2>5<6sA9886sadc094?7|@:9?7p`kb283>4}O;:>0qcjm4;295~N4;=1vbil::182M54<2weho950;3xL6533tdon54?:0yK76251zJ071=zfmhj6=4>{I100>{ilkh1<7?tH217?xhcjj0;6r@ARxyEF \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE.ngd b/cpld/XC95144XL/MXSE.ngd deleted file mode 100644 index 3dfd89e..0000000 --- a/cpld/XC95144XL/MXSE.ngd +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$5745=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4009257=6:3E^X][[:SC?54<768:0=;4FNQWW>D:5;325==5=1;KMTPR=I58:6<0>4:00>LHW]]0JSK]M<3195;3G;9;4>7L2>3?78E9736<1J0<;15:C?53823H6:;3;4A=3;:0=F483586O31?78E9476>1J0??51?78E9466<1J0?<17:C?66G;07>0M1714:COA53GKM9Ufyu>?01f8EIC7Wds<=>?1e9BH@6Xe|r;<=>=d:COA5Yj}q:;<==k;@NF4Zkrp9:;<9:4AMG2g>GKM8UTc>?00f8EIC6WVey<=>>129BJA2GIL>>0MCJ74:CPvcb7LQISC;8EZ@TJ5;;245N_GQA847912KTJ^L313<:?DYA[K6:?374A^DPF973601JSK]M<07==>GXNZH7=;06;@]EWG:6?730MRH\B=3;:<=FWOYI0<718:C\BVD;9730MRH\B=03:<=FWOYI0??19:C\BVD;:;4i7LQISC>17?7912KTJ^L322<;?DYA[K69255N_GQA868?3HUM_O2;>99B[CUE4<437LQISC>5:==FWOYI0:07;@]EWG:?611JSK]M<8_H@VBa=FWOYIS?GXNZHT>>QFBTD`?DYA[KU9SDLZFb9B[CUEW:UBNXHl;@]EWGY3W@H^Jn5N_GQA[0YNJ\Lh7LQISC]5[LDRNj1JSK]M_6]JFP@d3HUM_OQ7_H@VBf=FWOYIS4QFBTD7?GGD\<1IMNZ>5:@BGQ423KKHX:4BDPQ1>DBZ[:=7OK]R1pf?GCUZ9xTSb|?012e?GCUZ9xTSb|?0122b>DBZ[:ySRa}012360=EM[X::6LJRS3qa>DBZ[;ySRa}0123b>DBZ[;ySRa}01235c=EM[X:~RQ`r12347385MESP01>DBZ[>>7OK]R4;8F@TUW@EI_i5MESP\[dhc89:;i6LJRS]\ekb789::o6LJRS]\kw6789n0NH\]_^mq45679m1II_\P_np34565l2HN^_QPos23455c3KOY^RQ`r12341bDBZ[xm56LJRSpe[K6f3KOY^hPN135?GCUZ{xn7OK]Rsp\jjr789;m7OK]Rsp\jjr789;:?6LZF028FPOKLV^J^JK[ASAK<>DR[VCEJB?4C59@53K43J2F86MNCU08G@5EKZ:1HBY74CNONMQRBL>1H^HO[EE08GV0i;Bnfew7Xign;<=>>1:Ff?ATDWZ_HOH]\FTN6?ARFKB<0HYOLK058@QGDC{l<7IZNCJpqb>BSIJAy~R``t12354669Geqg;97;0I85JS=2=1>CT484>7H]32?78AV:46<1N_1:14:GP[5b_^cm`56788o0I^Q>_^cm`5678;>0I^Q=d:GP[7YXign;<=>j;DQ\6ZYffm:;<=?j;DQ\6ZYffm:;<=<;;DQ\7a=B[V9TSl`k0123a>CTW:UTmcj?0122a>CTW:UTmcj?01210>CTW=n0I^Q;_^cm`5678l1N_R:P_`lg45679l1N_R:P_`lg4567:8l0I^QBaef\[dbc89:;S@v`r^Pfwpjs484:j6K\_Lcg`ZYflm:;<=QBxnp\V`urd}692?_LzlvZTb{|f0>0>f:GP[HgclVUjhi>?01]N|jtXZly~`y2;>0;8AVYJimnTSljk0123[Zgil9:;<?_^cm`56788;27H]PM`fg[Zgcl9:;?0032=>CTWDkohRQnde2345YXign;<=<>a:GP[HgclVUjhi>?01]\ekb7898:h6K\_P]2[jt789:n7H]PQ^3\kw6789;m7H]PQ^3\kw6789;;j6K\_P]2[jt789::=k5JS^S\5Ziu89:;=?k4ER]R[4Yhz9:;k4ER]R[4Yhz9:;<9k4ER]R[4Yhz9:;<8k4ER]R[4Yhz9:;<;k4ER]R[4Yhz9:;<:k4ER]R[4Yhz9:;<5k4ER]R[4Yhz9:;<4k4ER]\eab789:7=3k4ER]\eab789:7>3k4ER]\eab789:7?3k4ER]\eab789:783;4EUC@Oa=B\HI@Sl`k0123a>CSIJATmcj?0122a>CSIJATmcj?0121a>CSIJATmcj?0120a>CSIJATmcj?0127a>CSIJATmcj?0126a>CSIJATmcj?0125<>C_XHDOII?>;DZSEKBBLVHHHRHFLD37?@^WIGNNHRM@NRVQELHS[8;0IU^NNEGG[LUBWOCGI85J_HMAe>CXAFHTEO[I2:Gq7>Cu:k1N~RMck^KMR43?5F339J07=N=;1B:?5F759JEFU23@KH_<;4I@AP60=NIJY886GMUG08MK>l1BCLM[_lw{4567?l1BCLM[_lw{45670l1BCLM[_lw{45671?1BCLM[r79JKGCUZl1BCOK]R^llp5679o1BCOK]R^llp56798l0EBLJRS]mkq67888m7DAMESP\jjr789;886G@BL68MJDT>2CDOBC_4:KLGV3?0e9JKI6Xe|r;<=>>d:KLH5Yj}q:;<=j4INN3[hs89:;8i5FOM2\ip~789:>86G@L0a8MJJ6Wge<=>>d:KLH4Yig}:;<1BC]YLS578MJTBYm1BC_K^_lw{4567m2CD^H_Pmtz34566n2CD^H_Pmtz345668l1BC_K^_lw{4567:l1BC_K^_lw{4567;l1BC_K^_lw{4567l1BC_K^_lw{4567?l1BC_K^_lw{45670l1BC_K^_lw{45671?1BC_K^r49JKWQ7l2CD^Z>Pmtz3456b3@EY[=Qbuy23457b3@EY[=Qbuy23454b3@EY[=Qbuy23455b3@EY[=Qbuy23452c3@EY[=Qaou2344ci6G@RV3\ekb789:=;6G@Rdcg}46=c:KLWZ@TEVLMh4INQ\BVKXNOn9!D`>119JKVYA[DUMJi<"Io024>OH[VLXARHId3/Jj6eOH[VLXARHId2/Jj46??;HMP[CUJWOLo? Ga4028MJUXNZGTJKj<-Hl655=NGZUM_@QIFe1.Mk0682CD_RH\M^DE`6+Nf>;;7DA\_GQN[C@c;$Ce4<>4INQ\BVKXNOn8!D`6c:KLWZ@TEVLMh9m4INQ\BVKXNOn>o6G@S^DPIZ@Al?i0EB]PFRO\BCb0n2CD_RH\M^DE`2+Nf8:0EB]PFRO\BCb0%@d:==5FOR]EWHYANm=&Ec<>0:KLWZ@TEVLMh:#Fn2a8MJUXNZGTJKj7f:KLWZ@TEVLMh5#Fn028MJUXNZGTJKj7-Hl255=NGZUM_@QIFe:.Mk4682CD_RH\M^DE`=+Nf:;;7DA\_GQN[C@c0$Ce8;5FOU[SA1=NG\:h7DAZ0^ov|5678m1BCX>Pmtz34566l2CDY=Qbuy23454c3@E^OH]8i0EB[>_omw4566l2CDYMBMYUCEH\NRBJZ3>JNJ[KYU;5CO@FF@2=KGNCHMAo4LQO\\JT5W8?0@bok1d9Okdb6WVkeh=>?059N\VC692GS_HQ[ILGPT@EX]JLh7@oeosTfvvohfj1Feca}Vdppmjh53G:97C?8;OGWSJTL92E?7BLZF29LJ@4IU<:1DYY:4Ooafg>IiklUTc>?01f8KkebWVey<=>?1e9LjfcXWfx;<=>=d:Mmg`YXg{:;<==k;Nl`aZYhz9:;<9j4Ooaf[Ziu89:;9i5@nbg\[jt789:=h6Aacd]\kw6789=o7B`le^]lv56781n0Ccmj_^mq4567181[o6^!21305574WE90\LJ;;QCQP61?01"sW%NNOA*><#>?0123456'-:>0\H\FOE"!GIMXAFH),: 732434567(DBq,EGHH!73*56789:;<=.*249SAWOHL3IGG?VPIN@950(1>>81AEt5HHEK>06":91[I_G@D;AOOZ@TJ3>;<=>5pR8KMBN==9/9>6^JRHMG>FJLW@EI6: 73249IM|=@@MC68>*a:RJJZDR[@NSn6^FN^@VWKGJM:1[^Hl4PR]EWHYANm;n7]]PFRO\BCb6%@dm7]]PFRO\BCb6%@d:j6^\_GQN[C@c9$Ce>o5_S^DPIZ@Al;o0\^QISL]EBa4*Agl0\^QISL]EBa4*Ag;:<6^\_GQN[C@c:$Ce==??;QQ\BVKXNOn9!D`>1028TVYA[DUMJi<"Io3155=W[VLXARHId3/Jj45a3YYTJ^CPFGf1)Lh5n2ZXSK]B_GDg6(Oi;o1[_RH\M^DE`7+Nf=l0\^QISL]EBa4*Ag?m7]]PFRO\BCb5%@d=j6^\_GQN[C@c:$Ce;k5_S^DPIZ@Al;'Bb5h4PR]EWHYANm8&Ec7m;QQ\BVKXG|~;o6^\_GQN[Jss88i0\^QISL]Lqq65l2ZXSnc_ds345663X?0^L2?>79QE977611YM1?>:1<5?WG;984>7_O31?78VD:56<1YM1=15:PB81823[K793;4R@>5:0=UI5=596\N<9<6?WG;1790^LC:;SCNGV084R@O@W10_lw{4567991YM@JFS0]nq}6789;:=6\NMEKP5Zkrp9:;<<>>1:PBIAOT9Vg~t=>?00325>TFEMCX=Rczx123444692XJAIG\1^ov|567889:=6\NMEKP5Zkrp9:;<<:>1:PBIAOT9Vg~t=>?00725>TFEMCX=Rczx123440692XJAIG\1^ov|56788=:=6\NMEKP5Zkrp9:;<<6>1:PBIAOT9Vg~t=>?00;24>TFEMCX=Rczx12347773[KFHD]>_lw{4567;8:0^LCKIR3\ip~789:?==5]ALFJW4Yj}q:;<=;>0:PBIAOT9Vg~t=>?0733?WGJL@Y:S`{w0123346TFEMCX>RQ`r1234460:PBIAOT:VUd~=>?0233?WGJL@Y9SRa}0123046?8028VDKCAZ8TSb|?012:3>TFEMCX?:5]ALFJW11>139QEHTbimsTaxv?01224442:PBIWcflpUfyu>?013057=UIDXnmiwPmtz34566<880^LC]e`fz[hs89:;=8?=;SCNV`gcqVg~t=>?00425>TFE[ojhtQbuy23454692XJA_kndx]nq}67899:=6\NMSgb`|Yj}q:;<=:>1:PBIWcflpUfyu>?01725>TFE[ojhtQbuy23450692XJA_kndx]nq}6789=:=6\NMSgb`|Yj}q:;<=6>1:PBIWcflpUfyu>?01;6?WGTMEn0^L]JL^ov|5678l1YM^KC_lw{45679o1YM^KC_lw{456799l0^L]JL^ov|56788;m7_O\EM]nq}6789;9j6\NSDN\ip~789::?k5]ARGO[hs89:;=9h4R@QFHZkrp9:;<<;i;SCPAIYj}q:;<=?9f:PBW@JXe|r;<=>>7g9QEVCKWds<=>?19d8VDUBDVg~t=>?00;f?WGTMEUfyu>?010e?WGTMEUfyu>?0103b>TF[LFTaxv?01215c=UIZOGS`{w012367`o0^L]JL^ov|56781o0^L]JL^ov|5678030^LQ?_N@VBf=UIV:Taxv?012g?WGX8Vg~t=>?00f8VDY7Wds<=>?2e9QEZ6Xe|r;<=>3[KT=RAMUGa8VDY6Wds<=>?d:PB[4Yj}q:;<=?k;SC\5Zkrp9:;Rczx1234a=UIV8Taxv?0122`>TFW;Ufyu>?010g?WGX:Vg~t=>?02f8VDY5Wds<=>?489QEZ5XGK_Mo6\N_2]nq}6789n0^LQ<_lw{45679m1YMR=Pmtz34565l2XJS>Qbuy23455c3[KT?Rczx12341?k;SC\0Zkrp9:;<i5]A^6\ip~789:8h6\N_5]nq}6789>27_OP5^MAQCeS`{w0123`>TFW?013g?WGX=Vg~t=>?03f8VDY2Wds<=>?3e9QEZ3Xe|r;<=>;9:PB[3YHJ\Lh7_OP6^ov|5678m1YMR8Pmtz34566l2XJS;Qbuy23454c3[KT:Rczx12346b?00f8VDY?Wds<=>?2e9QEZ>Xe|r;<=>TB[=1YI^?:;SGPvc30028VVYA[DUMJi?"Io3255=U[VLXARHId0/Jj44682XXSK]B_GDg5(Oi9:;;7_]PFRO\BCb6%@d:8k5]S^DPIZ@Al8'Bb?h4RR]EWHYANm;&Ec=i;SQ\BVKXNOn:!D`;f:PP[CUJWOLo= Ga5g9QWZ@TEVLMh<#Fn7d8VVYA[DUMJi?"Io5e?WUXNZGTJKj>-Hl;b>TTWOYFSKHk1,Km=g=U[VLXARHId3g8VVYA[DUMJi<"Iod8VVYA[DUMJi<"Io324>TTWOYFSKHk2,Km55773[YTJ^CPFGf1)Lh698:0^^QISL]EBa4*Ag;9==5]S^DPIZ@Al;'Bb<=>0:PP[CUJWOLo> Ga1533?WUXNZGTJKj=-Hl21469g9QWZ@TEVLMh?#Fn3d8VVYA[DUMJi<"Io1e?WUXNZGTJKj=-Hl7b>TTWOYFSKHk2,Km1c=U[VLXARHId3/Jj3`#Fn8`8VVYA[DUDyy6l;SQ\BVKXG|~3=n5]S^DPIZIr|18>7_kndx48V`gcq9=0^hoky1pe?Wcflp:ySRa}012355=Umhnr<QPos23457682Xnmiw?r^]lv5678;<0^hoky058V`gcq8xm7_kndx3q[Ziu89:;==5]e`fz5wYXg{:;<=?>0:Pfea6zVUd~=>?0348V`gcq;=0^hoky3pe?Wcflp8ySRa}012355=Umhnr>QPos23457682Xnmiw=r^]lv5678;<0^hoky248V`gcq=k0^hoky^KLFV?i5]e`fz[jt789;8:6\jf@ah=>TbnJd0=06;SgeGkr;9730^hhLnu>1:<=UmoIex1=19:PfbFhs4=427_kiCov?1;?89QacEi|5=546\jfBlw[5>8:PfbFhsW;20^hhLnu]0<>TbnJdS964Rdd@jqY202XnjN`{_7:8V``Df}U<>>5]egAmpZKflmUTmij?012\I}iuW[oxyaz31?00?WcaKg~TAljk_^cg`5678VGscQ]erwop949::1YikMat^Ob`aYXimn;<=>PMymq[Wct}e~7?3<<;SgeGkrXEhnoSRokd1234ZKg{UYi~{ct=6=66=UmoIexRCnde]\eab789:TAua}_Sgpqir;=7887_kiCov\IdbcWVkoh=>?0^O{kwYUmzgx181229QacEi|VGjhiQPaef3456XEqeyS_k|umv?3;413[omOczPM`fg[Zgcl9:;d:PfbFhsWDkohRQnde2345YXign;<=>>e:PfbFhsWDkohRQnde2345YXign;<=>>1e9QacEi|VGjhiQPaef3456XWhdo<=>>1d9QacEi|VGjhiQPaef3456XWhdo<=>>10f8V``Df}UFmijP_`fg4567WVkeh=>?20g8V``Df}UFmijP_`fg4567WVkeh=>?203g?WcaKg~TAljk_^cg`5678VUjbi>?023f?WcaKg~TAljk_^cg`5678VUjbi>?0232`>TbnJdS@okd^]b`a6789UTmcj?0162a>TbnJdS@okd^]b`a6789UTmcj?01625a=UmoIexRCnde]\eab789:TSl`k01265`=UmoIexRCnde]\eab789:TSl`k01265442:PfbFhsWVkoh=>?0=0=57=UmoIexRQnde2345:46880^hhLnu]\eab789:783?=;SgeGkrXWhno<=>?<4<26>TbnJdSRokd12349099;1YikMat^]b`a67896<2:5]egFlj`773[omHb`j_^cm`56788;0^hhKoog\[dhc89:;=k5]egFlj`YXg{:;<=??;Sge@jhbWVey<=>?1008V``CggoTo`~Pep2345743[omHb`j_bos[`w789::=>5]egFlj`YdeyUn}=>?0330?WcaLfdnSnc_ds345649:1YikJ`nd]`iuYby9:;<9?<;Sge@jhbWjg{Sh?012656=UmoNdbhQlmq]fu5678?;87_kiDnlf[fkwWl{;<=>8129QacBhflUha}Qjq1234=743[omHb`j_bos[`w789:2:6\jfSCP2>Tbn[oz;6\jfSgr5<=Umo_ykh`{a:PfbPt`mg~:m6\jfTpdakr5i2XnjX|heov0e>Tbn\xlicz;6:Pfwpjs>2YH_DM\7:Q@WLET9>1XO^GLS358WFUNKZ9<7^M\IBQ73>UD[@IX9>5\EUc8WkbUIDIX[_l4SofQEHET_[;h7^`kR@O@WRT68j1Xbi\NMBQTV47d3Zdo^LCLSVP26g=TfmXJAN]XR3`8WkbUIDIX[_=m;RlgVDKD[^X?n6]adSCNGVQU=k1Xbi\NMBQTV3d<[gnYM@M\WS5a?VhcZHGH_Z\7b:Qm`WGJKZ]Y555[ESOJJDJ?3]CFI^^JC29WJH1<\GGTBHZ;;UPVAf=S[VIGG?VPIN@b?QUXKEATJ^Ln;UQ\GIMXAFHi7Y]PTHOFWUCD>2^XSY@B4:VZT@><\`gncxzN119Wmhch}}KTSb|?01225>Rnele~xLQPos234576:2^bahazt@]\kw6789;;=<5[ilglqqGXWfx;<=>=109Wmhch}}KTSb|?012054=SadodyyOP_np34563981_e`k`uuC\[jt789:>=<5[ilglqqGXWfx;<=>9109Wmhch}}KTSb|?012454=SadodyyOP_np3456?981_e`k`uuC\[jt789:246ZfmdmvpGd<\`gncxzMPsg27>Rnele~xO^}e^]lv56788>0XdcjotvATwcXWfx;<=>>159Wmhch}}H[~hQPos23454682^bahaztC]\kw6789;:7YgbenwwFZYhz9:;<;UknajssJVUd~=>?0332?QojmfNRQ`r123465<]JLj7X]JR^COMDUd3\YN^RXFSH@OA6=QKJ30ZDKX_U[SA6=QXHi0Z]OP_`lg4567l2\[MRQnne23457e3_ZJSRa}0123g>PWIVUd~=>?00a8RUGXWfx;<=>=c:TSEZYhz9:;<>m4VQC\[jt789:?o6X_A^]lv5678Pnl[KFO^Y]65i2a>Pnl[KFO^Y]65i1a>Pnl[KFO^Y]65i0a>Pnl[KFO^Y]65i7a>Pnl[KFO^Y]65i6a>Pnl[KFO^Y]65i5a>Pnl[KFO^Y]65i4a>Pnl[KFO^Y]65i;5a=_AECET VKB!2-5%US]K*;"<.NSBKJ7>^HZ=1SC_<9;YQWHLD03QY_SJ@K7:ZPPZPDK<1SSB@J6:Z\^@TH>2RonRGkb:ZglZVuadCezn5Wdi]SvlkSqyom7Ujg_QpjiScu{`eej6Vkh^Vp`QojmZzno<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl5?]i;87;87U}{4:XFVJ>?9:]bja6789;27Road12347>?3:amp7=d{:1mol4fr`\jjr789:h7k}m_omw45679m1moQaou234576l2lxnR``t12344433`eia95focq:?kGCg|~GI=o4n@FlqqJB88h0bLJ`uuNF447e3gKOcxzCE131f>hFLf@H>>3d9mEAir|EO;SBLZF89mEAir|EO:m6`NDnwwH@76m2dJHb{{LD3\KGSA;2dJ_:5aAR]EWGehF[VCDNn5aAR]JKGYHJ\Li7cO\_omw4566k2dJ_R``t12354ehF[Vddx=>?12a8jDUXff~;<=?;c:lBWZhh|9:;=8m4n@Q\jjr789;=;6`NotvLAf=iIfCHQ@BTD6?kDBZ[<0bOK]R0;8jGCUZVLXNh5aBDPQ[CUEWFH^J45aBDPQ[LIEm2dII_\PIN@\MGSA<2dHM^j4nBCP[FjlW@D]=:5aC@Q\J5??f:lGPDELWVey<=>?1g9m@QGDCVUd~=>?0348jAoiDLh0bIgaLD]LFP@c3gNbbAKPos2345c>1028jAir|FOTbbz?013155=iLfCHQaou23445682dOcxz@E^llp5679=;;7cJ`uuMF[kis89::9<>4nEmvpJCXff~;<=?9119m@jssGLUecy>?00524>hCg|~DIR``t1235=773gNdyyAJ_omw45661=1e@I]7;oNGWZ@TJm1e@I]PFR@\MGSA02dGH^QFOCf8jIBTW@EISBLZFb9mHAUXign;<=?k;oNGWZgil9:;=n5aLEQ\jjr789;o7cBKS^llp56798h0bAJ\_np3457d3gFO_Ra}01225f=iDMYTc>?000`?kJC[Vey<=>>3b9mHAUXg{:;<<:l;oNGWZiu89::9n5aLEQ\kw6788d3gFO_Ra}0122=1=iD^O>7cBXE0a8jIQBWhdo<=>?d:lOS@Yffm:;<=?k;oNTAZgil9:;55aOD]LFP@>3gE}ibny130?kIqm{fju=QNcmp\MKP6k2dDzh|cax2\J5763gE}ibny1]bja6789;97cAyesnb}5Yffm:;<=?>2:lLr`tkip:Tmcj?012157=iGoy`lw?_`lg4567;880bBxjrmcz4Zgil9:;<9?=;oMuawjfq9Ujbi>?01726>hH~lxgmt>Paof3456112dDzh|cax320>hH~lxgmt?PCmiFjZOI^8i0bBxjrmcz5ZH7?2dYM@BXEb9mVDKK_LUDNXH8;oPBIPQBk2dYM@[XE^MAQC20b_K\9:lQAVYNJ\L=7c\@MBQ4?kTHEJY:n6`]OLAP[JDRN?1e^BCXE69mVJKPM8h0b_ABWD]LFP@33g_O_55aUEQ\BVDc3g_O_RH\B^KAQC>?00f8jPQBWhdo<=>?2e9mQRCXign;<=>hQEHUTc>?01:g?kPJIVUd~=>?08a8jSKFWhdo<=>?d:lUIDYffm:;<=?k;oTNEZgil9:;55aVQC\BVDc3g\[MRH\B^MAQC>hPMVLXNn5aWD]EWGYNJ\L37cikbtko`6=uid20tn7:01zo54=k0?:89vctp334(7702rb`d`wuo3;?}jipVk~xbQ?_yfa+fus&qhhhpNOp0cfg>FGp8kj6K4;:0yPe7<4180:o84>35454g<6j>;?wc=7e;38j6>a2?1/?5753938yVg62:3:65<628qXm?4<9082g0<6;=<=b637?!?62=?87Eo7;wVe6?6=93;1?5?tS`097<7=9j?1=>:961`95g16<2.8:44>a79U7=e=:r:mn4>;t3b`?6d3|@:<<7)=;f;3be>\>:38p47l5}%3b7?5312c2h7>5;n1`0?6=3`9jm7>5;n67e?6=3`>9<7>5;n62g?6=3f>:<7>5;h1af?6=3f>;o7>5;n1a`?6=3`>:;7>5;h1`2?6=3f9jo7>5;n1a1?6=3f>;i7>5;h67g?6=3f>9>7>5;n1ef?6=3f9j<7>5;n1b2?6=3`9n=7>5;h;:>5<>i4m:0;66a;3083>>i4ko0;66a>o3>i6mj0;6)?lf;3ff>h6kl0;76a>e`83>!7dn3;nn6`>cd82?>i6n<0;6)?lf;3ff>h6kl0976a>f583>!7dn3;nn6`>cd80?>i6n:0;6)?lf;3ff>h6kl0?76a>f383>!7dn3;nn6`>cd86?>i6n80;6)?lf;3ff>h6kl0=76a>f183>!7dn3;nn6`>cd84?>i6mo0;6)?lf;3ff>h6kl0376a>ed83>!7dn3;nn6`>cd8:?>i6mm0;6)?lf;3ff>h6kl0j76a>e883>!7dn3;nn6`>cd8a?>o3l3:1(;:k7e?6=,8im69m4n0af>7=cg87g>h6kl0876g;7;29 4ea2=i0b5$0ae>1ecd84?>o3;3:1(d=cg87g>h6kl0i76g97>5$0ae>1ecd8e?>o2;3:1(42<3`>n6=4+1bd90f=i9jo1=854i5:94?"6ko0?o6`>cd822>=e;021<7?m:5`91c}O;?=0(>:i:`18^<4=9r31q)?n3;17=>\45<i6=44o212>5<!7dn3;i7c?le;68?l73290/=nh51c9m5fc==21b=>4?:%3`b?7e3g;hi784;h31>5<#9jl1=o5a1bg93>=n980;6)?lf;3a?k7dm3207d??:18'5f`=9k1e=nk59:9jb?6=,8im6d=5<#9jl1=o5a1bg9`>=n:=0;6)?lf;3a?k7dm3o07d<<:18'5f`=9k1e=nk5f:9a7=3=83;1<7>t$26e>4633A9386F<669'7=d=;080(6m:0`;?>{e;1<1<7?50;2x 62a2:<37E=74:J022=#;1h1=o=4$0c7>1323f;h87>5$2:a>4d?32wi?kh50;796?0|,:>m6kk4H2:7?M51?2.:=849;%3b0?22=2P88h4>{8`9yl?02900e4h50;9j=6<722cj;7>5;n3f56;294~"40k0:<=5U35g95~?e2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0=83.84o4>b998yv7b03:1>vP>e99>5`>=9k20q~o8:181[g034;n47?>3:p=c<72;qU5k521d:96<`;27:i5468:p552=838p15<22;0=w)=;f;df?M5?<2B8::5+10792>"6i=0?985U35g95~?e2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<=<7280;6=u+39`97<4<3ty:i54?:3y]5`><58o36e98256=z{0l1<7?27:i5467:p=6<72;qU5>521d:9===z{8:?6=4={<3fb99~yg2783:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl;0`83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e99l1<7750;2x 62a2:=0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>47432c:<44?:%1;f?76;21b==o50;&0m:18'7=d=98907d??c;29 6>e28;876g>0e83>!5?j3;:?65`1c394?"40k0:n554}c324?6=13:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9j55>=83.84o4>1298m46>290/?5l51018?l77i3:1(>6m:030?>o68k0;6)=7b;327>=n99i1<7*<8c8256=5$2:a>4d?32wi=m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm32094?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn>=;:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg54=3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd66;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm15g94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8>m6=4;:183!53n3997E=74:J022=#;1h1?=5+1`690035$2:a>47432c:<54?:%1;f?76;21d=o?50;&06m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c630?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th?<84?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a050=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn9>8:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb52;>5<3290;w)=;f;11?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9l5g7=83.84o4>b998yg7a03:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl>f883>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`2bd<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi=kl50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f4`d29086=4?{%17b?563A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>i6j80;6)=7b;3a<>=zj8lo6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`2bc<72:0;6=u+35d974=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5110;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm28;94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;3j6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c0:f?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th95n4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb3;g>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i66m:030?>o6810;6)=7b;327>=h9k;1<7*<8c82f==55;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&06:18'7=d=98907b?m1;29 6>e28h376sm36c94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>6>43A9386F<669'7=d=;180(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?:k50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm36d94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i61<7>t$26e>64<@:2?7E=97:&01298m460290/?5l51018?l7703:1(>6m:030?>i6j80;6)=7b;3a<>=zj:926=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>=k:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`07`<72<0;6=u+35d976=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298m46?290/?5l51018?l7713:1(>6m:030?>i6j80;6)=7b;3a<>=zj:9m6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c174?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th88<4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a714=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn>:<:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb267>5<2290;w)=;f;10?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9j55?=83.84o4>1298k4d6290/?5l51c:8?xd4;h0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th8?o4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb21`>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i62B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo?<8;291?6=8r.88k4<3:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65f11:94?"40k0:=>54i02:>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"40D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6;j0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm12f94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj89n6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn<=i:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb012>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:?>4?:583>5}#;=l1??5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&07:18'7=d=98907b?m1;29 6>e28h376sm12694?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0b49K7=2<@:<<7)=7b;3a0>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6;4;l0:<;5232d955355`=99301>=j:024?85383;;96s|e;290~Xb34;;j7??a:?07`<68116?9?51178yv`=83>pRk5211d955d<5:926<>8;<30=?77>2wx==4?:4y]55=:99l1==m4=21f>46>349?>7??5:?27<<68>1vk;<10=?77>2wx=?4?:5y]57=:98:1==84=25:>46034;847??6:p56<72=qU=>521029551<5:=26<>9;<3046?34;847??8:p50<72=qU=852102955?<5:=26<>6;<3046134>;87??5:?1=<<68<16?:o51148945128:=7p}>9;29=~X6127:==4>0c9>765=99?019>::026?87a13;;;63=9`8240=:;>k1==94=013>46234;8n7??7:p5d<72jqU=l52102955e<5:996<>8;<37g?77=27?<>4>069>5c>=99?01k3;;:63<7c8242=:9:i1==;4=01f>46134;8j7??6:p5f<720qU=n52102955b<5:9?6<>:;<37a?77=27?<;4>049>5cd=99?01?7m:026?850k3;;963>368243=z{;91<7=t^318946a28:=70={t:=0;6>uQ259>55`=99=01>=k:024?xu1l3:1?vP9d:?74d<68<168==51148yv??2908wS77;<1eb??434>;577<;|q24`<72;qU==k4=032>4d63ty:55`=9k;01:025?xu6990;6?u210295g7<58;:6<>8;|q26c<72;qU=?h4=014>4d63ty:?=4?:3y>566=9k;01<=j:024?xu6;80;6?u212395g7<58996<>8;|q277<72;q6=><51c38945428:=7p}>3283>7}:9:91=o?4=017>4603ty:?94?:3y>562=9k;01<=::024?xu6;<0;6?u212795g7<589=6<>8;|q273<72;q6=>851c38945028:<7p}>3983>7}:9:21=o?4=017>4613ty:?44?:3y>56?=9k;01<=<:024?xu6;h0;6?u212c95g7<589m6<>8;|q27g<72;q6=>l51c38945628:=7p}>3b83>7}:9:i1=o?4=012>4603ty:?i4?:3y>56b=9k;01<=<:02;?xu6;l0;6?u212g95g7<589>6<>9;|q27c<72;q6=>h51c38945528:=7p}>4c83>7}Y9=h01<:i:0`2?xu6m6<>8;|q20a<72;q6=9j51c38942a28:=7p}>4d83>7}:9=o1=o?4=06e>46?3ty:mh4?:3y>7=3=999019>6:8d8yv7fn3:1>v3<8782g1=:;ol1m:5rs0d4>5<5sW;m;63>fg82f4=z{8l36=4={<3e069~w4`>2909w0?i9;3a5>;6nm0:<;5rs0db>5<5s4;mm7?m1:?2ba<68>1vfg8242=z{8lh6=4={<3eg?7e927:jh4>079~w4`c2909w0?id;3a5>;6nl0:<:5rs0df>5<5s4;mi7?m1:?2bc<68?1v?78:181[4>?2795h4>b09~w7??2909w0<68;3a5>;51j0:<:5rs3;:>5<5s48257?m1:?1=`<68?1v?7n:18184>i3;i=63=9e8243=z{;3i6=4={<0:f?7e92795i4>069~w7?d2909w0<6c;3a5>;51l0:<:5rs3;g>5<5s482h7?m1:?1=`<6811v>=>:181[549278?;4>b09~w6552909w0=<2;3a5>;4;?0:<;5rs210>5<5s498?7?m1:?070<68?1v>=;:181854<3;i=63<348242=z{:9>6=4={<101?7e9278?;4>069~w65?2909wS=<8:?07f<6j81v>=6:18185413;i=63<3`8243=z{:9j6=4={<10e?7e9278?n4>079~w65e2909w0=;4;j0:<:5rs21g>5<5s498h7?m1:?006<68>1v>=j:181854m3;i=63<3c8243=z{:9m6=4={<10b?7e9278894>079~w6272909w0=;0;3a5>;4<=0:<:5rs262>5<5s49?=7?m1:?001<6811v>:=:181853:3;i=63<45824<=z{:>86=4={<177?7e9278?l4>069~w6232909w0=;4;3a5>;4;k0:<:5rs25;>5<5s493<7?m1:?006<68?1v>96:18185013;i=63<818242=z{:=j6=4={<14e?7e9278;k4>079~w61e2909w0=8b;3a5>;4?l0:<;5rs25`>5<5s491v>9k:181850l3;i=63<81824==z{:=n6=4={<14a?7e9278;k4>069~w61a2909w0=8f;3a5>;4090:<;5rs2de>5<5s49mj7?j8:?74<?:0`2?xu38;0;6?u23gd9=2=:<921=o?4}r637?6=:r7?<>4>b09>05>=99=0q~:?4;296~;38=0:n<524159550;97>52z?740<6j8168=951158yv27>3:1>v3;0782f4=:<921==64}r633?6=:r7?<:4>b09>05>=99<0q~:?9;293~;3800:i5521gc9553<58lh6<>9;<0:079>56g=99?01<=m:025?xu38k0;6?u241;9=2=:<9k1=o?4}r623?6=:rT?=:524129553?i7>54z\70`=:9=n1==;4=25g>46234;8h7??5:~f135290887m7:d5xL6003-9?j7?i6:X:6?4|03k1q)?n3;17=>\45<5<5<5<5<1<75`3g694?=h9li1<7*>cg82ag=i9jo1<65`1dc94?"6ko0:io5a1bg95>=h9o?1<7*>cg82ag=i9jo1>65`1g694?"6ko0:io5a1bg97>=h9o91<7*>cg82ag=i9jo1865`1g094?"6ko0:io5a1bg91>=h9o;1<7*>cg82ag=i9jo1:65`1g294?"6ko0:io5a1bg93>=h9ll1<7*>cg82ag=i9jo1465`1dg94?"6ko0:io5a1bg9=>=h9ln1<7*>cg82ag=i9jo1m65`1d;94?"6ko0:io5a1bg9f>=n900;6)?lf;3;?k7dm3:07d?8:18'5f`=911e=nk51:9j50<72-;hj7?7;o3`a?4<3`;?6=4+1bd95==i9jo1?65f1283>!7dn3;37c?le;68?l75290/=nh5199m5fc==21b=<4?:%3`b?7?3g;hi784;h33>5<#9jl1=55a1bg93>=nn3:1(cd8b?>od290/=nh5199m5fc=j21b>94?:%3`b?7?3g;hi7m4;h00>5<#9jl1=55a1bg9`>=n:;0;6)?lf;3;?k7dm3o07d<>:18'5f`=911e=nk5f:9j65<72-;hj7?7;o3`a?7732c:j7>5$0ae>4>5<#9jl1=55a1bg957=cg82<>h6kl0:?65f1783>!7dn3;37c?le;37?>d40<0;6<4?:1y'71`=99>0D>6;;I153>"40k085?5+1`69003<3th9=;4?:481>3}#;=l1jh5G3968L6003-;:9784$0c7>1323S9?i7?t9c8~m<1=831b5k4?::k:7?6=3`k<6=44o0g;>5<5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>67:182>5<7s-93n7=62:m2f=<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6j11vl950;0xZd1<58o36e981=c=z{0=1<7?28h37psm1c;94?3=:3:i:gg8L6>33A9=;6*>1485?!7f<3>>96T<4d82019Y71c=9r3i6pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;52z\2a==:9l21=o64}rc4>5<5sWk<70?j8;327>{t1o0;6?uQ9g9>5`>=:0l0q~78:181[?034;n4778;|q:7?6=:rT2?63>e98:<>{t99>1<7650349347?m8:~f26=8391>7:t$26e>cb<@:2?7E=97:&2e1<3=<1Q?9k51z;a>xo>;3:17do8:188k4c?2900n7<1s-9?j7hi;%3b0?22=2P88h4>{8`9yj7b03:17d?k0;29?lg02900e4h50;9j=6<722hmj7>56;294~"40k0:<=5U35g95~?e2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0b29l5f2=83.84o4>b998yvg02909wSo8;4743ty2?7>52z\:7>;an3337p}6f;296~X>n27mj7<6f:p5a6=838pR6503ty:i54?:3y]5`><5ol1=o64}r155}#;?<186*<4g8241=#;1h1?4<4$0c7>1323f;;?7>5$2:a>4d?32wx=h650;0xZ4c?34;n47?j8:pe2<72;qUm:521d:9e2=z{091<789:49~yg45=3:1?7<54z&00c>of?3:17b?j8;29?g7b03:197<56z&00ce983>>o6l90;66gn7;29?l?a2900e4=50;9abc<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpl=3683>6<52=q/?9h5fe9K7=2<@:<<7)?>5;48 4g32=?>7W=;e;3x=g>i6m10;66l>e983>0<52?q/?9h5fg9'5d2=<:j:0y:f?{h9l21<75f1e294?=ni>0;66g6f;29?l?42900nkh50;494?6|,:2i6<>?;[17a?7|1k0ve10e4650;&0021b?>950;&010e?7i:18'7=d=:0l07b?m8;29 6>e28h376sm39594?7=83:p(>6m:0`0?j7d<3:1(>6m:0`;?>{ti>0;6?uQa69>bc<69:1v4=50;0xZ<5<5ol1555rs8d94?4|V0l01kh528d8yv7c83:1>vP>d19>bc<4;>1v=838p1kh5969>7=1=9j>0qpl>:182>5<7s-9=:7:4$26e>4633-93n7=62:&2e1<3=<1d===50;&052z\:7>;6m102?6s|2;297~;6m10:h=521d:9=c=:93;;?6*<6786?x{e:9;1<7=52;6x 62a2on0D>6;;I153>"69<0=7)?n4;661>\45<5<{8`9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:2<6=4>:183!5?j3;i?6a>c583>!5?j3;i465rs`594?4|Vh=01kh51018yv?42909wS7<;<>d183>7}Y9m:01kh53258yv7b03:1>vP>e99>bc<6j11v>87:1818`a20=01>68:0a7?x{e93:1=7>50z&023<33-9?j7??4:&0521d:9=6=z{;0;6>u21d:95a6<58o364h4=08246=#;?<196srb20;>5<42;0?w)=;f;dg?M5?<2B8::5+10792>"6i=0?985U35g95~?e2tc2?7>5;hc4>5<5<22;0=w)=;f;de?!7f<3>>96T<4d825;h3g4?6=3`k<6=44i8d94?=n1:0;66lif;292?6=8r.84o4>019Y71c=9r3i6pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;3?6=93:11<7*<8c82f==;27mj777;|q:b?6=:rT2j63if;0:b>{t9m:1<7e983>7}Y9l201kh51c:8yv5103:1>v3if;;4?85??3;h86srb083>4<729q/?;854:&00c<68=1/?5l53808 4g32=?>7b??3;29 6>e28h376s|1d:94?4|V8o370?j8;3f<>{ti>0;6?uQa69>5`>=i>1v4=50;0xZ<5<58o364=4}r094?5|58o360=zuk9ih7>53;090~"4188;4Z26f>4}>j3wd=h650;9j5a6=831bm:4?::k:b?6=3`386=44bgd94?0=83:p(>6m:023?_53m3;p5o4ri030>5<#;1h1=<=4;h;4>5<#;1h15:54i8:94?"40k02465f32594?"40k08?:54i3;e>5<#;1h1>4h4;n3at$2:a>4d43f;h87>5$2:a>4d?32wxm:4?:3y]e2=:no0:=>5rs8194?4|V0901kh5999~w<`=838pR4h4=gd96<`52z\2`5=:no08?:5rs0g;>5<5sW;n463if;3a<>{t;?21<75<6290;w)=96;68 62a28:?7)=7b;1:6>"6i=0?985`11194?"40k0:n554}r3f;27:i5463:p6?6=;r7:i54>d19>5`>=1o16=7??3:&023<23twi>l>50;196?2|,:>m6kj4H2:7?M51?2.:=849;%3b0?22=2P88h4>{8`9yl?42900el950;9l5`>=831i=h650;796?0|,:>m6kh4$0c7>1323S9?i7?t9c8~k4c?2900e5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>68:182>5<7s-93n7?m3:m2g1<72-93n7?m8:9~wd1=838pRl94=gd95454=gd976152z\2a==:no0:n55rs24;>5<5s4lm6494=2:4>4e33twi=7>51;294~"4>?0?7)=;f;330>"40k085?5+1`69003<3ty:i54?:3y]5`><58o36e98b3>{t1:0;6?uQ929>5`>=1:1v?4?:2y>5`>=9m:012.:m94;549Y71c=9r3i6pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<6s0h1qb?j8;29?l7c83:17do8:188m<`=831b5>4?::`eb?6=>3:13:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5??3:1=7>50z&0n3:1>vP6f:?eb?4>n2wx=i>50;0xZ4b734lm6>=8;|q2a=<72;qU=h64=gd95g>52z?eb??03493;7?l4:~f4<7280;6=u+37490>"4=838pR:j:0y:f?{n1:0;66gn7;29?j7b03:17o?j8;291?4=>r.88k4if:&2e1<3=<1Q?9k51z;a>xi6m10;66g>d183>>of?3:17d7i:188m<5=831ijk4?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l51c18k4e3290/?5l51c:8?xuf?3:1>vPn7:?eb?76;2wx5>4?:3y]=6=:no0246s|9g83>7}Y1o16jk4=9g9~w4b72909wS?k0:?eb?54?2wx=h650;0xZ4c?34lm67=;%3b0?22=2e:<>4?:%1;f?7e021ve99~wd1=838pRl94=0g;>d1e98:b>;628:87)=96;78yxd5lh0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm2ed94?5=83:p(>:i:0`6?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg25i3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e<8k1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb301>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i652;294~"40D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd4k;0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm2e094?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;n86=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>lj:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg26l3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?km50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f6`c29086=4?{%17b?7e=2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th?>n4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a07b=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn95<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg25n3:197>50z&00c<4;2B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=n9921<7*<8c8256=5$2:a>4d?32wi?k950;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f6`?29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=i9;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4nh0;684?:1y'71`=;:1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>47432e:n<4?:%1;f?7e021vn?;::180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb375>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i6;7>53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0b49K7=2<@:<<7)=7b;3a0>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:6=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb37e>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9:>4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=6583>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e:??1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi>;850;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c05=?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg41i3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=6c83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`12f<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;5$2:a>47432e:n<4?:%1;f?7e021vn?9?:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4093:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==7>53;294~"48:18'7=d=98907b?m1;29 6>e28h376sm26794?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn?98:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb35;>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5?k0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9;n4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a62b=8391<7>t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:>o1<7=50;2x 62a28h>7E=74:J022=#;1h1=o:4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&06m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c0;6?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th94>4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=8583>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6029086=4?{%17b?563A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>i6j80;6)=7b;3a<>=zj;236=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c0;=?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg4?i3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi>5j50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm29g94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;2m6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?7?:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`1g2<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;i26=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?mn:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4dj3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=cb83>0<729q/?9h5329K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54i02;>5<#;1h1=<=4;h33=?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9oh4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=cg83>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i66m:030?>i6j80;6)=7b;3a<>=zj;i36=4<:183!53n3;i96F<859K731<,:2i6:18'7=d=9k207pl=b383>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e:kn1<7=50;2x 62a28h>7E=74:J022=#;1h1=o:4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd5jo0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9o=4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a6f7=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn?m=:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4d;3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"40D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5j=0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9n84?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=b783>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`1f2<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;h36=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?l6:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`1fd<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;hi6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?ll:187>5<7s-9?j7?m6:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54i02;>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn?o7:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4f13:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"40D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5ij0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm2`f94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;kn6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c0bb?6=;3:10D>88;%1;f?7e<2.:m94;549j550=83.84o4>1298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:h91<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi>l:50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm2`794?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i61<7>t$26e>64<@:2?7E=97:&01298m460290/?5l51018?l7703:1(>6m:030?>i6j80;6)=7b;3a<>=zj;k<6=4;:183!53n3;i:6F<859K731<,:2i67:18'7=d=98907b?m1;29 6>e28h376sm1c`94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8hh6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c3a`?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th:nh4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a5g`=83?1<7>t$26e>65<@:2?7E=97:&01298m460290/?5l51018?l7703:1(>6m:030?>o6800;6)=7b;327>=h9k;1<7*<8c82f==53;294~"48:18'7=d=98907b?m1;29 6>e28h376sm22;94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn?:l:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg43l3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=4d83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e:=l1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb373>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i6=7>52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi>>o50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f75e29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo<6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c00`?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg44m3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==54;294~"46F<859K731<,:2i6>>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&0:18'7=d=9k207pl=4183>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th98?4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb360>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i66m:030?>i6j80;6)=7b;3a<>=zj;>=6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?:8:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`10=<72:0;6=u+35d974=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5<00;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?:n:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb36a>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd58:0;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?>j:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg47n3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=1183>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`154<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi><<50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f77429096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo<>4;290?6=8r.88k4<2:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65f11:94?"40k0:=>54o0`2>5<#;1h1=o64;|`150<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi>=:50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f762290?6=4?{%17b?7e>2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>4d?32wi>=850;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm21594?2=83:p(>:i:208L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;h336m:030?>i6j80;6)=7b;3a<>=zj;:26=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?>n:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`14g<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;:h6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?>k:187>5<7s-9?j7?m6:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54i02;>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn9?=:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg26;3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl;1583>1<729q/?9h51c48L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9l5g7=83.84o4>b998yg26=3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0a;290?6=8r.88k4<2:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65f11:94?"40k0:=>54o0`2>5<#;1h1=o64;|`15g<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f77c29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo<>e;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd59o0;684?:1y'71`=;:1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>47432e:n<4?:%1;f?7e021vn?5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`164<72:0;6=u+35d974=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5900;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?<8:187>5<7s-9?j7==;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876g>0983>!5?j3;:?65`1c394?"40k0:n554}c01b?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg4483:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=3083>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e::81<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb310>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i652;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi>>850;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f74?29086=4?{%17b?7e=2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9>44?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=2`83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`16g<72=0;6=u+35d95g0<@:2?7E=97:&06m:030?>o6810;6)=7b;327>=h9k;1<7*<8c82f==54;294~"46F<859K731<,:2i6>>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&0:18'7=d=9k207pl=2e83>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i66m:030?>i6j80;6)=7b;3a<>=z{j0;6?uQc:?112<68?1vi4?:3y]`>;5=o0:<;5rsd83>7}Ym279:84>079~wc<72;qUj63=6c8243=z{8:1<71;296~X69279;:4>079~w44=838pR<<4=35g>4613ty:?7>52z\27>;50:0:<;5rs0694?4|V8>01?66:025?xu6>3:1>vP>6:?110<68>1v<950;0xZ41<5;2o6<>8;|q2`?6=:rT:h63=5e8242=z{8o1<7f;296~X6n279:44>069~w76=838pR?>4=34e>4603ty9=7>52z\15>;5?<0:<:5rs3094?4|V;801?9m:024?xu5;3:1>vP=3:?1<4<68>1v?:50;0xZ72<5;2<6<>8;|q5`?6=9;qU:i52718b3>;59?0j;63>b88b3>;5k00:<:522ba9550<5;hn6<>:;<0ab?77?279o94>049>6dd=99=01462348:<7??6:?15`<68<16>><51178yv17290>w09?:0g;?84d83;;963=518240=::8;1==;4=30;>4613ty247>5cz\:<>;59?02?63>b88:7>;0833870<=5;;0?844?338706<><;<022??a34;i577i;|q2f<<72>q6=o751d:897ef28:>70;58;<01b?77>2wx=oo50;0x94d>20=018;|q2ff<72;q6=om51c3894da28:37p}>be83>7}:9kn1=o?4=0`e>46>3ty:nh4?:3y>5gc=9k;019;|q2a<<72;qU=h74=37;>4d63ty:il4?:3y]5<=Y9lk0q~?jc;297~X6=2T:in5229d955052z\2aa=::?:1=o?4}r3fa?6=:rT:ih5227495g752z\2ac=::?i1=o?4}r3e4?6=:rT:j=5226095g752z\2b4=::>21=o?4}r3e6?6=:rT:j?5226g95g752z\2b6=::1>1=o?4}r3e0?6=:rT:j95229c95g752z\2b0=::0:1=o?4}r3e3?6=nrT:j:522ec9553<5;i26<>9;<0`5?77=279mo4>079>5g`=99<01?:i:026?842:3;;963=3d8243=::9l1==;4=331>46234>:=7??5:?15c<68?16>?h51158975628:>7p}=0183>1}::;>1=o?4=50e>461349mm7??6:?757<68<1v?>>:18184793;n463=228240=z{;:96=4={<035?g0348;h7?m1:p655=838p1?><:0`2?847j3;;:6s|21694?4|5;:?6;<033?7702wx>=;50;0x976228h:70{t:9<1<74d6348;57??7:p651=838p1?>8:0`2?847l3;;46s|21:94?4|5;:36;<03e?77>2wx>=750;0x976>28h:70{t:9k1<74d6348;n7??7:p65d=838p1?>m:0`2?847k3;;:6s|21a94?4|5;:h6;<03`?77?2wx>=k50;0x976b28h:70{t:9l1<74d6348;97??8:p646=838p1???:0`2?84713;;:6s|20394?4|5;;:6;<032?77>2wx><<50;0x977528h:70{t:891<74d6348;o7??7:p642=838p1??;:0`2?847l3;;:6s|20794?4|5;;>6;<033?77>2wx><850;0x977128o370<=2;331>{t:8=1<7<1<5;;26;|q15=<72;q6><651c38974628:=7p}=1`83>7}::8k1=o?4=303>4613ty9=o4?:3y>64d=9k;01??i:024?xu59j0;6?u220a95g7<5;;m6<>7;|q15a<72;q6>7}::8o1=o?4=33:>4603ty9=k4?:3y>64`=9k;01?8;|q164<72;q6>??51c38977>28:=7p}=2383>7}::;81=o?4=307>4613ty9>>4?:3y>675=9k;01?<;:024?xu5:<0;6?u223795`><5;nm6<>9;|q163<72;q6>?;5a69>67c=9k;0q~<=7;296~;5:>0:n<5223f955052z?16=<6j816>?o51148yv4513:1>v3=2882f4=::;i1==64}r01e?6=:r79>l4>b09>67d=99<0q~<=b;296~;5:k0:n<5223a955152z?16f<6j816>?j51158yv45l3:1>v3=2e82f4=::;o1==84}r01b?6=:r79>k4>b09>67d=99=0q~<<0;296~;5;90:n<5223:955152z?174<6j816>?o51158yv44:3:1>v3=3382f4=::;h1==64}r007?6=:r79?>4>b09>67e=99<0q~<<4;296~;5;=0:n<5223;955052z?170<6j816>?751158yv44>3:1>v3=3782f4=::;o1==94}r003?6=9?q6>>951d:896e528:>70<:5;332>;5=?0:<85224f9550<5;?n6<>:;<057?77>279:94>049>63?=99<01?8n:026?841n3;;:63=718240=::>?1==84=355>4623485?5114897>528:>70<77;332>;5010:<85229f9550<5;2n6<>:;|q17=<72;q6>>95a69>61d=9k;0q~<<9;296~;5;00:n<5222a955052z?17d<6j816>9651158yv44j3:1>v3=3c82f4=::=k1==94}r00g?6=:r79?n4>b09>617=99<0q~<52z?17`<6j816>9<51148yv44n3:1>v3=3g82f4=::=81==94}r074?6=:r798=4>b09>615=99=0q~<;1;296~;5<80:n<5225795517>52z?107<6j816>9851158yv43;3:1>v3=4282f4=::==1==94}r070?6=:r79894>b09>66e=99=0q~<;5;296~;5<<0:n<5225`955052z?103<6j816>9951148yv43?3:1>v3=4682f4=::=21==84}r07b09>61?=99=0q~<;9;296~;5<00:n<5225c955052z?10d<6j816>9l51158yv43k3:1>v3=4b82f4=::=;1==94}r07`?6=:r798i4>b09>66b=99=0q~<;e;296~;552z?10c<6j816>>h51148yv4283:1>v3=5182f4=:::l1==64}r065?6=:r799<4>b09>616=99<0q~<:2;296~;5=;0:n<52252955187>52z?110<6j816>8651148yv42=3:1>v3=5782f4=::<=1==94}r062?6=:r799:4>b09>60>=99=0q~<:c;296~;5=m0:n<522729550h7>52z?11`<6j816>8h51158yv42m3:1>v3=5g82f4=::?:1==94}r056?6=:r79:>4>b09>630=99<0q~<93;296~;5>=0:n<52277955152z?120<6j816>;851158yv4103:1>v3=6882f4=::?i1==84}r05=?6=:r79:l4>b09>63d=99=0q~<9a;296~;5>k0:n<5227a955152z?12c<6j816>:<51148yv41n3:1>v3=7182f4=::>;1==94}r044?6=:r79;<4>b09>624=99=0q~<84;296~;5?<0:n<5226:955052z?133<6j816>:951158yv40>3:1>v3=7682f4=::>21==94}r04e?6=:r79;o4>b09>62c=99<0q~<8b;296~;5?j0:n<5226f955152z?13a<6j816>:k51158yv4?83:1>v3=8082f4=::1>1==84}r0;5?6=:r794?4>b09>6=5=99=0q~<72;296~;50:0:n<52296955152z?1<2<6j816>5o51148yv4??3:1>v3=8982f4=::131==94}r0;b09>6=g=99=0q~<7c;296~;50m0:n<52282955052z?1<`<6j816>5h51158yv4?m3:1>v3=8g82f4=::0:1==94}r0:3?6=:rT95:5240c9553510y>6d6=9l201?j=:026?84d?3;;;63=cb824==::kn1==84=3a0>460348jm7??5:?1e0<68?16=oj51178975>28:<70<;59:0:<8522159551<5;;j6<>9;<02f?77=279>:4>079>665=99?0q~079~w7g42909w0;5i>0:<;5rs3c7>5<5s48j87?m1:?1e3<68?1v?o::18184f=3;i=63=a68242=z{;k=6=4={<0b2?7e9279m:4>099~w7g?2909w0;5io0:<:5rs3c:>5<5s48j57?m1:?1e6<68?1v?on:18184fi3;i=63=a28242=z{;ki6=4={<0bf?7e9279m;4>069~w7gd2909w0;5i=0:<;5rs3cg>5<5s48jh7?m1:?1e1<68>1v?oj:18184fm3;i=63=a7824==z{;km6=4={<0bb?7e9279m84>069~w7d7290:8v3=b182a==::m91==84=3a4>461348ho7??9:?1g7<68<16>o=5114897g528:>70;5im0:<8521ca9553<5;>h6<>:;<00e?77=279?i4>079>655=99<01??;:024?846=3;;963=1`8242=::8i1==;4=304>460348887??5:p6g7=838p1?l?:`5897dd28h:7p}=b383>7}::k81=o?4=3`0>4603ty9n>4?:3y>6g5=9k;01?ll:024?xu5j=0;6?u22c695g7<5;h26<>9;|q1f0<72;q6>o;51c3897d028:<7p}=b783>7}::k<1=o?4=3``>46?3ty9n:4?:3y>6g1=9k;01?l7:025?xu5j10;6?u22c:95g7<5;h26<>8;|q1f<<72;q6>o751c3897df28:<7p}=b`83>7}::kk1=o?4=3`a>4613ty9no4?:3y>6gd=9k;01?ll:025?xu5jm0;6?u22cf95g7<5;hj6<>9;|q1f`<72;q6>ok51c3897d328:<7p}=bg83>7}::kl1=o?4=3`6>4613ty9o=4?:3y>6f6=9k;01?l::024?xu5k80;6?u22b395g7<5;h36<>8;|q1g7<72;q6>n<51c3897de28:<7p}=c283>7}::j91=o?4=3`5>4613ty9o94?:3y>6f2=9k;01?l9:024?xu5k<0;64c?348hn7??5:?1f7<68<16>oj5115897g?28:>70;5il0:<8521c`9553<5;>o6<>:;<071?77>279<>4>069>642=99201?>;:026?846i3;;463=1e8240=::;=1==64=316>4623ty9o;4?:3y>6f3=i>16>n651c38yv4d?3:1>v3=c682f4=::jl1==84}r0`=?6=:r79o44>b09>6fc=99<0q~52z?1gg<6j816>i>51158yv4dk3:1>v3=cb82f4=::j21==94}r0``?6=:r79oi4>b09>6fc=99=0q~52z?1gc<6j816>i>51148yv4c83:1>v3=d182f4=::j21==84}r0g5?6=:r79h?4>b09>6a5=99=0q~ih51c38yv4ci3:1>v3=d`82f4=::ml1==94}r11<5=;86<>:;|q06<<724613488j7??7:?155<68>16>>>51178yv55j3:1ovP<2c9>6fe=99=01?mk:025?84d;3;;:63=b58243=::k=1==84=31:>461348??7??6:?151<68?16>=651148977?28:=70<<6;331>{t;0<1<7d1<5;n86;|q0e<<72?qU?l74=53g>460349mh7??6:?76f<68<16?k951178917328:<7p}7}Y;kn01>lk:0g;?xu4jo0;6?u23cf9=6=:;ko1=o?4}r1`5?6=:r78ni4n7:?0g7<6j81v>k?:181[5b8278j44>049~w6`32909wS=i4:?0b0<6j81v>h9:18185a=3;;963069~w6`?2909w0=i8;3a5>;4nh0:<55rs2d:>5<5s49m57?m1:?0bd<6801v>hm:181[5aj278ji4>b09~w6`d2909w0=ic;3a5>;4nm0:<:5rs533>5<5sW>:<63;1482f4=z{=;:6=4={<625?7e927?=94>079~w1752909w0:>2;3a5>;39=0:<55rs530>5<5s4>:?7?m1:?750<68>1v9?;:181826<3;i=63;148243=z{=;26=4={_62=>;39h0:n<5rs53`>5<5sW>:o63;1e82f4=z{=;m6=4={_62b>;3:l0:<85rs50:>5<5sW>9563;2`82f4=z{=8i6=4={<61e?77=27?>k4>b09~w14d2909w0:=c;3a5>;3:o0:<:5rs50g>5<5s4>9h7?m1:?76c<6811v9n6=4:{_67a>;39m0:<;523ga9553<5=8o6<>:;<1e7i:1827?022?lpD>88;%17b?75l2.:m>4<489Y71c=9r3i6pg68;29?l75?3:17d?;b;29?l7603:17d=n9;29?j162900e;j50;9l506=831b?h>50;9j57`=831d=8j50;9j01c=831b8i:50;9l7f`=831d=4950;9l60<722e9h7>5;c1;1?6=93:10D>88;%1;f?5>:2.:m94;549l555=83.84o4>b998yg70;3:197<56z&00c7W=;e;3x=g>o>;3:17do8:188k4c?2900n5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:;>5<6290;w)=7b;1:6>i6j10;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:n55rs`594?4|Vh=01n3:1>vP6f:?2a=<51o1v4950;0xZ<1<58o36494}r;0>5<5sW3870?j8;;;?xu68=0;6?u21d:9761<5:2360D>88;%3b0?22=2P88h4>{8`9yl?02900e4h50;9j=6<722cj;7>5;n3f56;294~"40k0:<=5U35g95~?e2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0=83.84o4>b998yv7b03:1>vP>e99>5`>=9k20q~o8:181[g034;n47?>3:p=c<72;qU5k521d:96<`;27:i5468:p552=838p15<22;0=w)=;f;df?M5?<2B8::5+10792>"6i=0?985U35g95~?e2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<=<7280;6=u+39`97<4<3ty:i54?:3y]5`><58o36e98256=z{0l1<7?27:i5467:p=6<72;qU5>521d:9===z{8:?6=4={<3fb99~yg4c290>6?49{%17b?`b3A9386F<669'5d2=<:j:0y:f?{n1>0;66g6f;29?l?42900el950;9l5`>=831i=h650;494?6|,:2i6<>?;[17a?7|1k0ve10e4650;&0021b?>950;&010e?7i:18'7=d=:0l07b?m8;29 6>e28h376sm39:94?7=83:p(>6m:2;1?j7e03:1(>6m:0`;?>{t9l21<77}Yi>16=h651018yv?a2909wS7i;<3fn2wx5:4?:3y]=2=:9l215:5rs8194?4|V0901v3>e98072=:;121=o64}|`b0?6=;3818v*<4g8e`>N40=1C?;94$0c7>1323S9?i7?t9c8~m<5=831bm:4?::m2a=<722h:i54?:481>3}#;=l1jk5+1`69003n6=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;3x=g=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|a5d>=8391>7:t$26e>cb<@:2?7E=97:&2e1<3=<1Q?9k51z;a>xo>;3:17do8:188k4c?2900n7<1s-9?j7hi;%3b0?22=2P88h4>{8`9yj7b03:17d?k0;29?lg02900e4h50;9j=6<722hmj7>56;294~"40k0:<=5U35g95~?e2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0b29l5f2=83.84o4>b998yvg02909wSo8;4743ty2?7>52z\:7>;an3337p}6f;296~X>n27mj7<6f:p5a6=838pR6503ty:i54?:3y]5`><5ol1=o64}r155}#;?<186*<4g8241=#;1h1?4<4$0c7>1323f;;?7>5$2:a>4d?32wx=h650;0xZ4c?34;n47?j8:pe2<72;qUm:521d:9e2=z{091<789:49~yg4229086?4;{%17b?`c3A9386F<669'5d2=<:j:0y:f?{n1:0;66gn7;29?j7b03:17o?j8;291?4=>r.88k4if:&2e1<3=<1Q?9k51z;a>xi6m10;66g>d183>>of?3:17d7i:188m<5=831ijk4?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l51c18k4e3290/?5l51c:8?xuf?3:1>vPn7:?eb?76;2wx5>4?:3y]=6=:no0246s|9g83>7}Y1o16jk4=9g9~w4b72909wS?k0:?eb?54?2wx=h650;0xZ4c?34lm67=;%3b0?22=2e:<>4?:%1;f?7e021ve99~wd1=838pRl94=0g;>d1e98:b>;628:87)=96;78yxd6=90;6>4=:5y'71`=nm1C?5:4H244?!7f<3>>96T<4d82:i:gd8 4g32=?>7W=;e;3x=g5<>o>;3:17ohi:185>5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:4>5<6290;w)=7b;3a7>i6k=0;6)=7b;3a<>=z{h=1<75<5sW3m70hi:3;e?xu6l90;6?uQ1e289c`=;:=0q~?j8;296~X6m116jk4>b99~w60?2909w0hi:85896>028i?7psm1;295?6=8r.8:;4;;%17b?77<2.84o4<939'5d2=<<:18'7=d=9k207p}>e983>7}Y9l201vPn7:?2a=4?:3y]=6=:9l215>5rs383>6}:9l21=i>4=0g;><`<580:<>5+37491>{zj88=6=4<:387!53n3lo7E=74:J022=#98?1:6*>a58710=];=o1=v7m:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c3:3?6==381:v*<4g8ea>N40=1C?;94$0c7>1323S9?i7?t9c8~m<1=831b5k4?::k:7?6=3`k<6=44o0g;>5<5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>67:182>5<7s-93n7=62:m2f=<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6j11vl950;0xZd1<58o36e981=c=z{0=1<7?28h37psm15294?3=:3:i:gg8L6>33A9=;6*>a58710=];=o1=v7m:|k:3?6=3`3m6=44i8194?=ni>0;66a>e983>>d6m10;6;4?:1y'7=d=99:0V>:j:0y:f?{n9891<7*<8c8256==n110;6)=7b;;;?>o4;>0;6)=7b;103>=n:0l1<7*<8c81=c=<3th8454?:083>5}#;1h1?4<4o0`;>5<#;1h1=o64;|q2a=<72;qU=h64=0g;>4d?3tyj;7>52z\b3>;6m10:=>5rs8d94?4|V0l01?3:1>vP67:?2a=<>?2wx5>4?:3y]=6=:9l21555rs027>5<5s4;n47=<7:?0<=<6j11vqo?:d;291?4=>r.88k4ie:J0<1=O;?=0({8`9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:236=4>:183!5?j392>6a>b983>!5?j3;i465rs0g;>5<5sW;n463>e982f==z{h=1<7n27:i54=9g9~w<1=838pR494=0g;><10583>7}:9l21?>94=2:;>4d?3twi=4k50;796?0|,:>m6kk4H2:7?M51?2.:m94;549Y71c=9r3i6pg67;29?l?a2900e4=50;9je2<722e:i54?::`2a=<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd4010;6<4?:1y'7=d=;080ce983>7}Y9l201vPn7:?2a=<69:1v4h50;0xZ<`<58o36?7i;|q:3?6=:rT2;63>e98:3>{t1:0;6?uQ929>5`>=111v<>;:18187b0398;63<8982f==zuk;?;7>55;092~"45;hc4>5<5<1290;w)=7b;334>\45<#;1h15554i214>5<#;1h1?>94;h0:b?6=,:2i6?7i;:m2f=<72-93n7?m8:9~f6>?290:6=4?{%1;f?5>:2e:n54?:%1;f?7e021vb99~wd1=838pRl94=0g;>4743ty2j7>52z\:b>;6m1095k5rs8594?4|V0=015`>=;:=01>67:0`;?x{e9?h1<7;52;4x 62a2oo0D>6;;I153>"6i=0?985U35g95~?e2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<=<7280;6=u+39`97<4<3ty:i54?:3y]5`><58o36e98256=z{0l1<7?27:i5467:p=6<72;qU5>521d:9===z{8:?6=4={<3fb99~yg7c93:1?7<54z&00c>of?3:17b?j8;29?g7b03:197<56z&00ce983>>o6l90;66gn7;29?l?a2900e4=50;9abc<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpl>d483>6<52=q/?9h5fe9K7=2<@:<<7)?>5;48 4g32=?>7W=;e;3x=g>i6m10;66l>e983>0<52?q/?9h5fg9'5d2=<:j:0y:f?{h9l21<75f1e294?=ni>0;66g6f;29?l?42900nkh50;494?6|,:2i6<>?;[17a?7|1k0ve10e4650;&0021b?>950;&010e?7i:18'7=d=:0l07b?m8;29 6>e28h376sm39594?7=83:p(>6m:0`0?j7d<3:1(>6m:0`;?>{ti>0;6?uQa69>bc<69:1v4=50;0xZ<5<5ol1555rs8d94?4|V0l01kh528d8yv7c83:1>vP>d19>bc<4;>1v=838p1kh5969>7=1=9j>0qpl>:182>5<7s-9=:7:4$26e>4633-93n7=62:&2e1<3=<1d===50;&052z\:7>;6m102?6s|2;297~;6m10:h=521d:9=c=:93;;?6*<6786?x{ei?0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:844?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a5d6=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn5<50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm16794?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8==6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?h50;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c3f1?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th:i;4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`0`4<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?i<50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm14d94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn<8>:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb041>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6<;0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:8>4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a512=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn<:::180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb065>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6100;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:5l4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a5t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn<7l:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb0;g>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6l>0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm1ea94?5=83:p(>:i:0`6?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg7cl3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi=h>50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm1d394?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8o96=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb0f;>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i629086=4?{%17b?7e=2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:hl4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl>dc83>1<729q/?9h51c48L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9l5g7=83.84o4>b998yg7c;3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"48:18'7=d=98907b?m1;29 6>e28h376sm2ec94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;nm6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c0f0?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg4b=3:187>50z&00c<6j?1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>47432e:n<4?:%1;f?7e021vn<;=:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg72<3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi=8;50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm14494?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8?<6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c36N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg7213:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==m7>53;294~"48:18'7=d=98907b?m1;29 6>e28h376sm14`94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0b49K7=2<@:<<7)=7b;3a0>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn<9?:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg7093:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==7>54;294~"46F<859K731<,:2i6>>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&0:18'7=d=9k207pl>6583>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`220<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi=;850;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f40029096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo?98;297?6=8r.88k4<1:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c35=?6=;3:10D>88;%1;f?7e<2.:m94;549j550=83.84o4>1298m460290/?5l51018?j7e93:1(>6m:0`;?>{e9?k1<7:50;2x 62a2:80D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>47432e:n<4?:%1;f?7e021vn5:50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f=3=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn5850;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f=1=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn5650;794?6|,:>m6>=4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907d??8;29 6>e28;876g>0883>!5?j3;:?65`1c394?"40k0:n554}c::>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6jk0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm1ca94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8ho6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c3aa?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th:nk4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl>c183>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`2g4<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj8i96=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|q11?6=:rT9963=5;3f<>{t:m0;6<>t^3f897b=9l201l851158943a28:=70?90;331>;6<;0:<;521519553<58326<>9;<3:e?77=27:hn4>069>6ag=99?01<;;:024?871n3;;963>688243=:0?0:<8521c`9553<1<5;l1=o?4}r4g>5528:=70?86;332>;6lm0:<:521479551<589;<350?77?273;7??5:?2f`<68<1v:?50;0xZ27<5>;1=h64}r:2>5<5s4=:6l94=9095g7<1<58=86494=9;95g74d634236<>8;|q;1?6=:r7397?m1:?;<3<6j816454>089~w=1=838p15951c389=?=99=0q~67:1818>?28h:7066:025?xu>03:1=?uQ999>525=1:16;<463:?2f<<>;279h77<;<5<58k364=4=379=6=:9<:15>521349=6=:90=15>521529=6=:95218g9=6=:9==15>5217`9=6=:9m;15>521e79=6=z{h>1<7<5;l1==84}rc6>5<5s4k?6l94=`495g752z\25==:9;<1m:5rs005>5<1s4;9:7?j8:?2`2<68<16=h>5115894b428:<70?:2;331>;?=3;;96s|13594?5|V88<706=:024?870=3;;96s|13d94?>|V88m70=k0;332>;6lm0:<;522ed9553<58?>6<>9;<35`?77?27::94>079>5f4=99<0q~?;0;296~X6<916=9>51d:8yv7393:1>v3>418b3>;65<5s4;?>7?m1:?203<68?1v<:<:181873;3;i=63>448243=z{8>?6=4={<370?7e927:884>069~w4222909w0?;5;3a5>;65<5s4;?;7?j8:?207<68>1v<:7:181873?3k<70?;9;3a5>{t9=h1<7=t^06a?84b<3;;:63>bg8243=z{8?;6=4={_364>;6=90:i55rs072>5<5s4;><7o8;<367?7e92wx=8<50;0x943528h:70?:8;333>{t9<>1<74d634;>m7??6:p503=838p1<;::0`2?87213;;:6s|14494?4|58?=6;<36=?77?2wx=8950;0x943028h:70?:b;333>{t9<21<74d634;>?7??6:p50?=838p1<;6:0`2?872i3;;;6s|14c94?4|58?j6;<36f?77>2wx=8l50;0x943e28h:70?:3;333>{t94d63ty:9k4?:3y>50`=9k;01<8=:025?xu6>90;6?u217295g7<58<:6<>8;|q224<72;q6=;?51c38940528:<7p}>6283>7}:952z?221<6j816=;651148yv71=3:1>v3>6482f4=:9?21==94}r352?6=:r7::;4>b09>53g=99<0q~?97;296~;6>>0:n<5217c955>52z?22=<6j816=;751158yv7113:1>v3>6882f4=:9?k1==94}r35f?6=:r7::o4>e99>50`=99=0q~?9c;296~;6>m0:n<52160955152z?22`<6j816=:?51148yv71m3:1>v3>6g82f4=:9>;1==94}r35b?6=:r7:;=4>b09>524=9920q~?80;296~;6?80:n<52160955052z?236<6m116>h;51158yv70<3:1>v3>728b3>;6??0:n<5rs056>5<5s4;<97?m1:?233<68>1v<78:181[7>?27:5:4>e99~w4??2909w0?67;c4?87>l3;i=6s|18;94?4|58326;<3:`?77>2wx=4o50;0x94?f28h:70?6c;332>{t90h1<74d634;2o7??7:p5l3;;;6s|18g94?4|583n6;6i10:i55218g9=2=:9==15:522g8242=z{8k26=4<{<3b228:870?83;;e?81620l01516=1o16=8j59g9>553d=1o1vdd8240=:9<<1==;4=053>46234;=97??5:?;2wx=oo50;0x94d>20=018;|q2ff<72;q6=om51c3894e728:=7p}>be83>7}:9kn1=o?4=0a3>4603ty:nh4?:3y>5gc=9k;019;|q2g5<72;q6=n>51c3894e628:<7p}>c083>7}:9j;1=o?4=0a1>4603ty:o?4?:3y>5f4=9k;01<58o>6<>:;<3gb?77=27:i=4>079>5a5=99<01<;8:026?871m3;;:63>668240=:9ki1==;4}r3g6?6=:r7:h<4n7:?2`1<6j81vd58242=z{8n>6=4l{<3g1?7b027:i;4>079>5ae=99<01:026?87b:3;;:63>d58243=:9<>1==84=07;>46134;=i7??7:?223<68<16494>049>5gb=99?0q~?k6;296~;6l<0j;63>dc82f4=z{8n<6=4={<3g3?7e927:i?4>069~w4b?2909w0?k8;3a5>;6lk0:<55rs0f:>5<5s4;o57?m1:?2`d<68?1vdc8243=z{8nh6=4={<3gg?7e927:h44>079~w4bc2909w0?kd;3a5>;6m:0:<;5rs0ff>5<5s4;oi7?m1:?2a6<68>1vd`8242=z{8o;6=4={<3f4?7e927:h54>079~w4c62909w0?j1;3a5>;6l10:<:5rs0g1>5<5s4;n>7?m1:?2`g<68>1vd88242=z{8o?6=4={<3f1?7e927:i;4>069~w4c0290>w0<::`5894?020=01<:?:8589d0=99<017}::mk1=o?4=3g7>4603ty9hk4?:3y>6a`=9k;01?k::02;?xu5m=0;6?u22d695g7<5;o>6<>9;|q0e<<72;qU?l74=2f2>4623ty8ok4?:3y]7f`<5:n96;|q0`5<72;q6?i>51c3896b528:=7p}7}:;m;1=o?4=2f1>4603ty8i=4?:2y]7`6<58>26<>:;<370?77=2wx816=;?51148yxd4100;6n4=b;10M51?2.88k4>039'5d5=;=30V>:j:0y:f?{h<==1<75`3b194?=n;h31<75`6e83>>oak3:17d9=:188m21=831b>i;50;9j6ag=831b>ih50;9l7g1=831b==k50;9a7=3=83;1<7>t$26e>4633A9386F<669'7=d=;080(6m:0`;?>{e;j91<7;52;4x 62a2oo0D>6;;I153>"6i=0?985U35g95~?e2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<=<7280;6=u+39`97<4<3ty:i54?:3y]5`><58o36e98256=z{0l1<7?27:i5467:p=6<72;qU5>521d:9===z{8:?6=4={<3fb99~yg4c>3:197<56z&00c7W=;e;3x=g>o>;3:17do8:188k4c?2900n5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:;>5<6290;w)=7b;1:6>i6j10;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:n55rs`594?4|Vh=01n3:1>vP6f:?2a=<51o1v4950;0xZ<1<58o36494}r;0>5<5sW3870?j8;;;?xu68=0;6?u21d:9761<5:23678t$26e>cc<@:2?7E=97:&2e1<3=<1Q?9k51z;a>xo>?3:17d7i:188m<5=831bm:4?::m2a=<722h:i54?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l53808k4d?290/?5l51c:8?xu6m10;6?uQ1d:894c?28h37p}n7;296~Xf?27:i54>129~w<`=838pR4h4=0g;>7?a3ty2;7>52z\:3>;6m102;6s|9283>7}Y1:16=h65999~w4632909w0?j8;103>;4010:n55r}c0f4?6==381:v*<4g8ea>N40=1C?;94$0c7>1323S9?i7?t9c8~m<1=831b5k4?::k:7?6=3`k<6=44o0g;>5<5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>67:182>5<7s-93n7=62:m2f=<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6j11vl950;0xZd1<58o36e981=c=z{0=1<7?28h37psm7283>0<52?q/?9h5fd9K7=2<@:<<7)?n4;661>\45<>of?3:17b?j8;29?g7b03:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k085?5`1c:94?"40k0:n554}r3f7}Y1o16=h6528d8yv?02909wS78;<3f52z\:7>;6m10246s|11694?4|58o36>=8;<1;m6kk4H2:7?M51?2.:m94;549Y71c=9r3i6pg67;29?l?a2900e4=50;9je2<722e:i54?::`2a=<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd4010;6<4?:1y'7=d=;080ce983>7}Y9l201vPn7:?2a=<69:1v4h50;0xZ<`<58o36?7i;|q:3?6=:rT2;63>e98:3>{t1:0;6?uQ929>5`>=111v<>;:18187b0398;63<8982f==zuk9=m7>55;092~"45;hc4>5<5<1290;w)=7b;334>\45<#;1h15554i214>5<#;1h1?>94;h0:b?6=,:2i6?7i;:m2f=<72-93n7?m8:9~f6>?290:6=4?{%1;f?5>:2e:n54?:%1;f?7e021vb99~wd1=838pRl94=0g;>4743ty2j7>52z\:b>;6m1095k5rs8594?4|V0=015`>=;:=01>67:0`;?x{e;10;6>4=:5y'71`=nm1C?5:4H244?!76=3<0(0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q2n7s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t9c8~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psm6d83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e>o0;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?k6:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4bi3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=ec83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`0g?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th8o44?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a7fg=8391<7>t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&05<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg1e29086=4?{%17b?7e=2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th<97>52;294~"40D>88;%1;f?7e<2.:m94;549j550=83.84o4>1298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:l81<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb3g0>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5lm0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm2eg94?5=83:p(>:i:0`6?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg4c03:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=d883>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn9:7:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg2313:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?o650;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c54>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c5g>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c5e>5<2290;w)=;f;10?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9j55?=83.84o4>1298k4d6290/?5l51c:8?xd4>l0;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>8i:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`035<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?:?50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f615290>6=4?{%17b?543A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>o6810;6)=7b;327>=n9931<7*<8c8256=<3th8;>4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rs2:94?4|5:21=h64=7d9550<5<5:31=o?4}r1a>5<5s4936l94=2a95g76`6=i>16>il5a69>6a0=i>16:k4>b09>7f?=99?01>8l:025?81f28:>709::026?84b:3;;963=de8240=::m21==;4=252>4623ty=i7>52z?5a?7e927=j7??7:p34<72:q6?o65114892`=9k;01>9=:025?xu0:3:1?vP82:?42?77>274>e99>32<68<1v::50;0x925=1>16;;4>b09~w23=838p1:;51c38920=99=0q~98:180[1034=i6<>9;<5`>4623ty<47>52z?43d<6j816;o4>069~w2e=838p1:951c3892`=99<0q~9k:18181d28h:709i:024?xu0m3:1>v38d;3a5>;0n3;;46s|7g83>7}:?l0:n<527g824<=z{oi1<77t^ga896e420901?j9:81897be20901?k?:818925=1:16;5463:?02d<>;27857??5:p55c=839pR<>j;<1`e?77>278:n4>069~w4gb2903w0=75;337>;4k:02j63=d78:b>;5lk02j63=e18:b>;0;33m7097:8d8960f20l0q~i751148960a28:=7p}=d783>7}::m<1=h64=24e>4603ty9h:4?:3y>6a0=1>16>i751c38yv4c03:1>v3=d982f4=::m31==94}r0ge?6=;rT9hl522dc9553<5;nn6<>9;|q1`g<72;q6>il51d:897c>28:>7p}=db83>7}::mh15:522eg95g752z?1`a<6j816>ik51158yv4cn3:1?vP=dg9>6`5=99<01>8j:025?xu5m90;6?u22d295`><5:8;|q1a4<72;q6>h>5969>6`5=9k;0q~52z?1ag<6j816?:>51178yv4b13:1>v3=e882f4=::lh1==84}r0fe?6=:r79il4>b09>6`d=99=0q~=9a;296~;4>h0:i55245:955352z?02db09~w60c2908w0=9a;;4?85d;33<70=83;3a5>{t;?o1<74d6349<>7??7:p73`=838p1>8i:0`2?850:3;;46s|36294?4|5:=;6;<146?7712wx?:?50;0x961628h:70=83;333>{t;>81<74d6349o6;<4f>462349h6<>:;<67=?77>278n54>069~w6d02909wS=m7:?0f=<6j81v>m<:181[5d;278o>4>e99~w6e?2909w0=l3;c4?85di3;i=6s|3b;94?4|5:i26;<1`e?77?2wx89950;0xZ12034>?57?m1:p01>=838p19:7:0`2?82313;;;6srb2;f>5<6=3k26o:tH244?!53n3;9o6*>a2800<=];=o1>v76:8f9yj75?3:17d:;7;29?l5d;3:17d?n2;29?j7603:17b=n9;29?ld62900e9?8:188m4372900c>l::188m<3=831b594?::m0a5<722c:8l4?::m75c<722c:m<4?::k0f2<722e8oo4?::m0`1<722e997>5;n604?6=3k9397>51;294~"4r.88k4ie:J0<1=O;?=0({8;9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:236=4>:183!5?j392>6a>b983>!5?j3;i465rs0g;>5<5sW;n463>e982f==z{h=1<7n27:i54=9g9~w<1=838pR494=0g;><10583>7}:9l21?>94=2:;>4d?3twin:4?:281>1}#;=l1ji5G3968L6003-;j87::5:X00`<6s031qd7<:188md1=831d=h650;9a5`>=83?1>78t$26e>c`<,8k?69;:;[17a?7|100vc5;cde>5<1290;w)=7b;334>\45<#;1h15554i214>5<#;1h1?>94;h0:b?6=,:2i6?7i;:m2f=<72-93n7?m8:9~f6>0290:6=4?{%1;f?7e;2e:o94?:%1;f?7e021vl950;0xZd1<5ol1=<=4}r;0>5<5sW3870hi:8:8yv?a2909wS7i;7?a3ty:h=4?:3y]5a6<5ol1?>94}r3f<1<5:2<6188;4o020>5<#;1h1=o64;|q2a=<72;qU=h64=0g;>4c?3tyj;7>52z\b3>;6m10j;6s|9283>7}Y1:16=h65929~w7<72:q6=h651e2894c?20l01<4>029'730==2wvnnl50;196?2|,:>m6kj4H2:7?M51?2.:m94;549Y71c=9r326pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<6s031qb?j8;29?l7c83:17do8:188m<`=831b5>4?::`eb?6=>3:13:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5??3:1=7>50z&0n3:1>vP6f:?eb?4>n2wx=i>50;0xZ4b734lm6>=8;|q2a=<72;qU=h64=gd95g>52z?eb??03493;7?l4:~f4<7280;6=u+37490>"4=838pR7<3s-9?j7hk;I1;0>N4>>1/=l:54478^62b28q257sf9283>>of?3:17b?j8;29?g7b03:197<56z&00ce983>>o6l90;66gn7;29?l?a2900e4=50;9abc<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpll3;297?4=0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q257s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t988~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psmbg83>6<52=q/?9h5fe9K7=2<@:<<7)?n4;661>\45<5<{8;9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:2<6=4>:183!5?j3;i?6a>c583>!5?j3;i465rs`594?4|Vh=01kh51018yv?42909wS7<;<>d183>7}Y9m:01kh53258yv7b03:1>vP>e99>bc<6j11v>87:1818`a20=01>68:0a7?x{e93:1=7>50z&023<33-9?j7??4:&0521d:9=6=z{;0;6>u21d:95a6<58o364h4=08246=#;?<196srb2f7>5<42;0?w)=;f;dg?M5?<2B8::5+1`69003n65;n3f55;092~"4a58710=];=o1=v76:|m2a=<722c:h=4?::kb3?6=3`3m6=44i8194?=eno0;6;4?:1y'7=d=99:0V>:j:0y:=?{n9891<7*<8c8256==n110;6)=7b;;;?>o4;>0;6)=7b;103>=n:0l1<7*<8c81=c=<3th84:4?:083>5}#;1h1=o=4o0a7>5<#;1h1=o64;|qb3?6=:rTj;63if;327>{t1:0;6?uQ929>bc<>02wx5k4?:3y]=c=:no095k5rs0f3>5<5sW;o<63if;103>{t9l21<77}:no02;63<8682g1=zuk;1<7?50;2x 6012=1/?9h51168 6>e2:397)?n4;661>i68:0;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:i55rs`594?4|Vh=014643-9=:7;4}|`11?6=;3818v*<4g8e`>N40=1C?;94$0c7>1323S9?i7?t988~m<5=831bm:4?::m2a=<722h:i54?:481>3}#;=l1jk5+1`69003n6=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;3x=<=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|a54>=8391>7:t$26e>cb<@:2?7E=97:&2e1<3=<1Q?9k52z;:>:i:gd8 4g32=?>7W=;e;0x=<<>l3wd=h650;9j5a6=831bm:4?::k:b?6=3`386=44bgd94?0=83:p(>6m:023?_53m38p5446d;j545=83.84o4>1298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpli9;297?4=0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q257s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t988~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psm28694?5=:3>p(>:i:gf8L6>33A9=;6*>a58710=];=o1=v76:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c:g>5<42;0?w)=;f;dg?M5?<2B8::5+1`69003n65;n3f55;092~"4a58710=];=o1=v76:|m2a=<722c:h=4?::kb3?6=3`3m6=44i8194?=eno0;6;4?:1y'7=d=99:0V>:j:0y:=?{n9891<7*<8c8256==n110;6)=7b;;;?>o4;>0;6)=7b;103>=n:0l1<7*<8c81=c=<3th84:4?:083>5}#;1h1=o=4o0a7>5<#;1h1=o64;|qb3?6=:rTj;63if;327>{t1:0;6?uQ929>bc<>02wx5k4?:3y]=c=:no095k5rs0f3>5<5sW;o<63if;103>{t9l21<77}:no02;63<8682g1=zuk;1<7?50;2x 6012=1/?9h51168 6>e2:397)?n4;661>i68:0;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:i55rs`594?4|Vh=014643-9=:7;4}|`030<72:0969u+35d9ba=O;1>0D>88;%3b0?22=2P88h4>{8;9yl?42900el950;9l5`>=831i=h650;796?0|,:>m6kh4$0c7>1323S9?i7?t988~k4c?2900e5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>68:182>5<7s-93n7?m3:m2g1<72-93n7?m8:9~wd1=838pRl94=gd95454=gd976152z\2a==:no0:n55rs24;>5<5s4lm6494=2:4>4e33twi=7>51;294~"4>?0?7)=;f;330>"40k085?5+1`69003<3ty:i54?:3y]5`><58o36e98b3>{t1:0;6?uQ929>5`>=1:1v?4?:2y>5`>=9m:017:t$26e>cb<@:2?7E=97:&2e1<3=<1Q?9k51z;:>xo>;3:17do8:188k4c?2900n7<1s-9?j7hi;%3b0?22=2P88h4>{8;9yj7b03:17d?k0;29?lg02900e4h50;9j=6<722hmj7>56;294~"40k0:<=5U35g95~?>2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0b29l5f2=83.84o4>b998yvg02909wSo8;4743ty2?7>52z\:7>;an3337p}6f;296~X>n27mj7<6f:p5a6=838pR6503ty:i54?:3y]5`><5ol1=o64}r155}#;?<186*<4g8241=#;1h1?4<4$0c7>1323f;;?7>5$2:a>4d?32wx=h650;0xZ4c?34;n47?j8:pe2<72;qUm:521d:9e2=z{091<789:49~yg26n3:1?7<54z&00c7W=;e;3x=<>i6m10;66l>e983>0<52?q/?9h5fg9'5d2=<:j:0y:=?{h9l21<75f1e294?=ni>0;66g6f;29?l?42900nkh50;494?6|,:2i6<>?;[17a?7|100ve10e4650;&0021b?>950;&010e?7i:18'7=d=:0l07b?m8;29 6>e28h376sm39594?7=83:p(>6m:0`0?j7d<3:1(>6m:0`;?>{ti>0;6?uQa69>bc<69:1v4=50;0xZ<5<5ol1555rs8d94?4|V0l01kh528d8yv7c83:1>vP>d19>bc<4;>1v=838p1kh5969>7=1=9j>0qpl>:182>5<7s-9=:7:4$26e>4633-93n7=62:&2e1<3=<1d===50;&052z\:7>;6m102?6s|2;297~;6m10:h=521d:9=c=:93;;?6*<6786?x{e;l:1<7=52;6x 62a2on0D>6;;I153>"6i=0?985U35g95~?>2tc2?7>5;hc4>5<5<22;0=w)=;f;de?!7f<3>>96T<4d825;h3g4?6=3`k<6=44i8d94?=n1:0;66lif;292?6=8r.84o4>019Y71c=9r326pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;3?6=93:11<7*<8c82f==;27mj777;|q:b?6=:rT2j63if;0:b>{t9m:1<7e983>7}Y9l201kh51c:8yv5103:1>v3if;;4?85??3;h86srb083>4<729q/?;854:&00c<68=1/?5l53808 4g32=?>7b??3;29 6>e28h376s|1d:94?4|V8o370?j8;3f<>{ti>0;6?uQa69>5`>=i>1v4=50;0xZ<5<58o364=4}r094?5|58o360=zuk9j57>53;090~"4188;4Z26f>4}>13wd=h650;9j5a6=831bm:4?::k:b?6=3`386=44bgd94?0=83:p(>6m:023?_53m3;p544ri030>5<#;1h1=<=4;h;4>5<#;1h15:54i8:94?"40k02465f32594?"40k08?:54i3;e>5<#;1h1>4h4;n3at$2:a>4d43f;h87>5$2:a>4d?32wxm:4?:3y]e2=:no0:=>5rs8194?4|V0901kh5999~w<`=838pR4h4=gd96<`52z\2`5=:no08?:5rs0g;>5<5sW;n463if;3a<>{t;?21<75<6290;w)=96;68 62a28:?7)=7b;1:6>"6i=0?985`11194?"40k0:n554}r3f;27:i5463:p6?6=;r7:i54>d19>5`>=1o16=7??3:&023<23twi?nl50;196?2|,:>m6kj4H2:7?M51?2.:m94;549Y71c=9r326pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<6s031qb?j8;29?l7c83:17do8:188m<`=831b5>4?::`eb?6=>3:13:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5??3:1=7>50z&0n3:1>vP6f:?eb?4>n2wx=i>50;0xZ4b734lm6>=8;|q2a=<72;qU=h64=gd95g>52z?eb??03493;7?l4:~f4<7280;6=u+37490>"4=838pR7<3s-9?j7hk;I1;0>N4>>1/=l:54478^62b28q2h7sf9283>>of?3:17b?j8;29?g7b03:197<56z&00ce983>>o6l90;66gn7;29?l?a2900e4=50;9abc<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpl=9283>6<52=q/?9h5fe9K7=2<@:<<7)?n4;661>\45<5<{8;9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:2<6=4>:183!5?j3;i?6a>c583>!5?j3;i465rs`594?4|Vh=01kh51018yv?42909wS7<;<>d183>7}Y9m:01kh53258yv7b03:1>vP>e99>bc<6j11v>87:1818`a20=01>68:0a7?x{e93:1=7>50z&023<33-9?j7??4:&0521d:9=6=z{;0;6>u21d:95a6<58o364h4=08246=#;?<196srb9c94?5=:3>p(>:i:gf8L6>33A9=;6*>a58710=];=o1=v76:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c140?6=;3818v*<4g8e`>N40=1C?;94$0c7>1323S9?i7?t988~m<5=831bm:4?::m2a=<722h:i54?:481>3}#;=l1jk5+1`69003n6=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;3x=<=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|aeg<72:0969u+35d9ba=O;1>0D>88;%3b0?22=2P88h4>{8;9yl?42900el950;9l5`>=831i=h650;796?0|,:>m6kh4$0c7>1323S9?i7?t988~k4c?2900e5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>68:182>5<7s-93n7?m3:m2g1<72-93n7?m8:9~wd1=838pRl94=gd95454=gd976152z\2a==:no0:n55rs24;>5<5s4lm6494=2:4>4e33twi=7>51;294~"4>?0?7)=;f;330>"40k085?5+1`69003<3ty:i54?:3y]5`><58o36e98b3>{t1:0;6?uQ929>5`>=1:1v?4?:2y>5`>=9m:016?49{%17b?`b3A9386F<669'5d2=<:j:3y:=??c2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b2;q2577k:|k256<72-93n7?>3:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5?03:1=7>50z&04h4}r;4>5<5sW3<70?j8;;4?xu>;3:1>vP63:?2a=<>02wx==:50;0x94c?2:9<70=78;3a<>{zj8?h6=4<:387!53n3lo7E=74:J022=#98?1:6*>a58710=];=o1=v76:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}cgb>5<42;0?w)=;f;dg?M5?<2B8::5+10792>"6i=0?985U35g95~?>2tc2?7>5;hc4>5<5<22;0=w)=;f;de?!7f<3>>96T<4d825;h3g4?6=3`k<6=44i8d94?=n1:0;66lif;292?6=8r.84o4>019Y71c=9r326pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;3?6=93:11<7*<8c82f==;27mj777;|q:b?6=:rT2j63if;0:b>{t9m:1<7e983>7}Y9l201kh51c:8yv5103:1>v3if;;4?85??3;h86srb083>4<729q/?;854:&00c<68=1/?5l53808 4g32=?>7b??3;29 6>e28h376s|1d:94?4|V8o370?j8;3f<>{ti>0;6?uQa69>5`>=i>1v4=50;0xZ<5<58o364=4}r094?5|58o360=zuk;<;7>53;090~"46;5+1`69003n65;n3f55;092~"4a58710=];=o1=v76:|m2a=<722c:h=4?::kb3?6=3`3m6=44i8194?=eno0;6;4?:1y'7=d=99:0V>:j:0y:=?{n9891<7*<8c8256==n110;6)=7b;;;?>o4;>0;6)=7b;103>=n:0l1<7*<8c81=c=<3th84:4?:083>5}#;1h1=o=4o0a7>5<#;1h1=o64;|qb3?6=:rTj;63if;327>{t1:0;6?uQ929>bc<>02wx5k4?:3y]=c=:no095k5rs0f3>5<5sW;o<63if;103>{t9l21<77}:no02;63<8682g1=zuk;1<7?50;2x 6012=1/?9h51168 6>e2:397)?n4;661>i68:0;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:i55rs`594?4|Vh=014643-9=:7;4}|`23=<72:0969u+35d9ba=O;1>0D>88;%321?0<,8k?69;:;[17a?4|1002h7sf9283>>of?3:17b?j8;29?g7b03:197<56z&00c5;hc4>5<>dan3:1:7>50z&05$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>68:182>5<7s-93n7?m3:m2g1<72-93n7?m8:9~wd1=838pRl94=gd95454=gd976152z\2a==:no0:n55rs24;>5<5s4lm6494=2:4>4e33twi=7>51;294~"4>?0?7)=;f;330>"40k085?5+1`69003<3ty:i54?:3y]5`><58o36e98b3>{t1:0;6?uQ929>5`>=1:1v?4?:2y>5`>=9m:012.:m94;549Y71c=:r3264j5}h;0>5<=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;0x=<<>l3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l51c18k4e3290/?5l51c:8?xuf?3:1>vPn7:?eb?76;2wx5>4?:3y]=6=:no0246s|9g83>7}Y1o16jk4=9g9~w4b72909wS?k0:?eb?54?2wx=h650;0xZ4c?34lm67=;%3b0?22=2e:<>4?:%1;f?7e021ve99~wd1=838pRl94=0g;>d1e98:b>;628:87)=96;78yxd6010;6>4=:5y'71`=nm1C?5:4H244?!76=3<0(0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q257s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t988~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psm19;94?5=:3>p(>:i:gf8L6>33A9=;6*>1485?!7f<3>>96T<4d82:i:gd8 4g32=?>7W=;e;3x=<5<>o>;3:17ohi:185>5<7s-93n7??0:X00`<6s031qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:4>5<6290;w)=7b;3a7>i6k=0;6)=7b;3a<>=z{h=1<75<5sW3m70hi:3;e?xu6l90;6?uQ1e289c`=;:=0q~?j8;296~X6m116jk4>b99~w60?2909w0hi:85896>028i?7psm1;295?6=8r.8:;4;;%17b?77<2.84o4<939'5d2=<<:18'7=d=9k207p}>e983>7}Y9l201vPn7:?2a=4?:3y]=6=:9l215>5rs383>6}:9l21=i>4=0g;><`<580:<>5+37491>{zj82j6=4<:387!53n3lo7E=74:J022=#98?1:6*>a58710=];=o1=v76:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c3;f?6=;3818v*<4g8e`>N40=1C?;94$036>3=#9h>188;4Z26f>7}>133o6pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<5s0315i4ro0g;>5<=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|a5<6=8391>7:t$26e>cb<@:2?7E=97:&250<03-;j87::5:X00`<5s0315i4ri8194?=ni>0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b2;q2577k:|m2a=<722c:h=4?::kb3?6=3`3m6=44i8194?=eno0;6;4?:1y'7=d=99:0V>:j:3y:=??c2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0b29l5f2=83.84o4>b998yvg02909wSo8;4743ty2?7>52z\:7>;an3337p}6f;296~X>n27mj7<6f:p5a6=838pR6503ty:i54?:3y]5`><5ol1=o64}r155}#;?<186*<4g8241=#;1h1?4<4$0c7>1323f;;?7>5$2:a>4d?32wx=h650;0xZ4c?34;n47?j8:pe2<72;qUm:521d:9e2=z{091<789:49~ygb529086<4<{I153>"4>oe83:17b=<0;29?g5>83:1?7>50z&00c<40:1C?5:4H244?!5?j393>6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wxmk4?:3y]ec=:;0:1==94}r`3>5<5sWh;70=60;332>{t;::1<783;i=6srbe394?5=939pD>88;%17b?7f?2cjj7>5;h`3>5<5<4290;w)=;f;1;7>N40=1C?;94$2:a>6>53-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xufn3:1>vPnf:?0=5<68>1vo>50;0xZg6<5:3;6<>9;|q075<72;qU?>>4=2;3>4d63twih=4?:282>6}O;?=0(>:i:0c4?lga2900eo>50;9l766=831i?4>50;194?6|,:>m6>6<;I1;0>N4>>1/?5l53908 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}rce>5<5sWkm70=60;333>{tj90;6?uQb19>7<6=99<0q~=<0;296~X4;916?4>51c38yxddn3:1?7?53zJ022=#;=l1=l94i`d94?=nj90;66a<3183>>d4190;6>4?:1y'71`=;190D>6;;I153>"40k084?5+1`690035$2:a>47432e:n<4?:%1;f?7e021vlh50;0xZd`<5:3;6<>8;|qa4?6=:rTi<63<918243=z{:9;6=4={_104>;4190:n<5r}c0:6?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg1029086=4?{%17b?563A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>i6j80;6)=7b;3a<>=zjhk1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?nk50;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c1f2?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th8i:4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl;2483>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e<;<1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wimh4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a721=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn5h50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f7?129096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=j9;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd3:10;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm42694?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zjjn1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rbbg94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i682.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wiol4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pll5;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xdd>3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}ca1>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{el?0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3tho47>53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&05<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg>d29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo<6:186>5<7s-9?j7?m7:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54i02;>5<#;1h1=<=4;h33=?6=,:2i6t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:k0;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?m50;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c3:6?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th:5>4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb0;7>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:4i4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl>8d83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e91l1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi=:h50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f4>729096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo?71;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd60;0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm19194?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj82?6=4::183!53n3987E=74:J022=#;1h1?=5+1`690035$2:a>47432c:<54?:%1;f?76;21b==750;&0:18'7=d=9k207pl>8483>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6129086=4?{%17b?563A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>i6j80;6)=7b;3a<>=zj82<6=4<:183!53n3;i96F<859K731<,:2i6:18'7=d=9k207pl>7`83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`23g<72<0;6=u+35d95g1<@:2?7E=97:&06m:030?>o6810;6)=7b;327>=n9931<7*<8c8256=<3th:;n4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e;k:1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb2`2>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i67>52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?o:50;494?6|,:>m6>:4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907d??8;29 6>e28;876g>0883>!5?j3;:?65f11c94?"40k0:=>54o0`2>5<#;1h1=o64;|`fg?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3thnh7>52;294~"40D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3thnj7>52;294~"40D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3thm=7>57;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&06:18'7=d=98907d??a;29 6>e28;876g>0c83>!5?j3;:?65`1c394?"40k0:n554}c674?6==3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9j55>=83.84o4>1298m46>290/?5l51018?j7e93:1(>6m:0`;?>{e<=;1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi89<50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f12429096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo:;4;297?6=8r.88k4<1:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c671?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg23>3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?i750;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f6bf29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=kb;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4lj0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm3ef94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:nn6=48:183!53n39>7E=74:J022=#;1h1?=5+1`690035$2:a>47432c:<54?:%1;f?76;21b==750;&0n:18'7=d=98907d??b;29 6>e28;876a>b083>!5?j3;i465rb2fe>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd3;?0;6:4?:1y'71`=;<1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>47432c::18'7=d=9k207pl;3683>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e<:21<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb51:>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i68m7>52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi8>m50;694?6|,:>m6><4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907d??8;29 6>e28;876a>b083>!5?j3;i465rb51g>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd3;l0;694?:1y'71`=;;1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>4d?32wi?hl50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f6cd29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=jd;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4ml0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm3dd94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:l;6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>h>:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb2d1>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd4n:0;694?:1y'71`=;;1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>4d?32wi=?750;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm13c94?3=83:p(>:i:0`4?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9j55>=83.84o4>1298m46>290/?5l51018?j7e93:1(>6m:0`;?>{e9;h1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wihl4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a``<72=0;6=u+35d977=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298m46?290/?5l51018?j7e93:1(>6m:0`;?>{elo0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sme183>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{em80;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sme383>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{em:0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sme583>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`f1?6=<3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9j55>=83.84o4>1298k4d6290/?5l51c:8?xdcj3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wihn4?:583>5}#;=l1??5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&07:18'7=d=98907b?m1;29 6>e28h376smde83>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vnoo50;794?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65f11:94?"40k0:=>54i02:>5<#;1h1=<=4;n3a5?6=,:2i682.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32winn4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207plmd;297?6=8r.88k4<1:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c`f>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd69h0;684?:1y'71`=9k=0D>6;;I153>"40k0:n95+1`690035$2:a>47432c:<54?:%1;f?76;21b==750;&0:18'7=d=9k207pl>1d83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`25c<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi=?>50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f44629096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo?=2;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd6::0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm13694?3=83:p(>:i:218L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;h333:9l5g7=83.84o4>b998yg75=3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi=m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm10a94?5=83:p(>:i:0`6?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg76l3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wx>84?:3y]60=::<0:i55rs3:94?4|5;?1m:522b82f4=z{;31<75<5s48j6;<0a>4603ty9n7>52z?1f?7e9279o7??7:p34<72b09>52`=99?01<9m:025?875i3;;:63>1g8240=z{1k1<7<5>=1==94}r:a>5<5<5:o;64=4=2aa><5<5:k264=4=257><5<5;3864=4=07`><5<5hh15>528b82f4=z{1n1<7<5>=1==84}r:f>5<3s42o6l94=9c9e2=:9;=1m:528g82f4=z{0>1<7?n{_;7?824833870l8:8189fd=1:16o:463:?`7??434hm64=4=2f7><5<5;?15>5210:9=6=:n002?63=958:7>;?l33870=85;;0?8gd20901<<8:8189`g=1:16=:95929>52>=1:16=:j5929>5=>=1:16=575929>5=g=1:16=5l5929>5<6=1:164n4>049~w<3=832pR4;4=gc9553<58386<>9;<3;a?77=27:4>4>049>52g=99=01<<6:024?875;3;;96s|a883>0}:ih0:n<521929553<58=i6<>8;<31e?77?27:>=4>049~wdd=838p1ll51d:89dg=99=0q~ol:1818gd28o370on:025?xufl3:1?v3nc;c4?8ge2h=01lk51c38yvd62909wSl>;d14c?34im6lh4=e69551<5li1==;4=563>46>34>8:7??6:?77=<68<16hh4>079>a5<68<16no4>049~wg>=838p1o95a69>f`<6j81vo750;0x9g?=9k;01om51158yvdf2909w0ln:0`2?8dc28:=7p}mb;296~;ej3;i=63md;333>{tjj0;6?u2bb82f4=:jl0:<;5rscf94?4|5kn1=o?4=cg95514c?34im6o>4=e69550<5lo1==;4=562>46134>8:7??7:?772<68<16hh4>069>`c<68<16nl4>079~wf6=838p1oh5a69>g7<6j81vn?50;0x9f7=9k;01n<51158yvd32902w0m<:0g;?8b72k:01i8511489c6=99?019:>:024?824>3;;463;388240=:m:0:<852b`8242=z{j>1<76=47{4c?34n:6o>4=e:9550<5ll1==;4=561>46234>8n7??5:?f6?77=27im7??8:pg=<72;q6o:4n7:?`e?7e92wxo44?:3y>g<<6j816ol4>069~wg0=832p1nl51d:89a4=j916j<4>069>015=99?019=n:026?8bb28:370k>:026?8df28:27p}lc;296~;dj3k<70mj:0`2?xudl3:1>v3ld;3a5>;dm3;;;6s|d283>6}:l90jj63k4;3a5>;c>3;;;6s|d483>6}:l80jj63k6;3a5>;c03;;;6s|d683>7}:l;0jj63k8;3a5>{tl00;68u2ce8240=:k00:<852c48240=:k80:<852de82f4=z{mk1<71==94}rfa>5<5s4ni6;4603tyoo7>52z?gg?7e927oh7??7:p``<72;q6hh4>b09>`g<68?1vih50;0x9a`=9k;01h;51158yvc72909w0k?:0`2?8c228:=7p}j1;296~;b93;i=63j5;33<>{tm;0;6?u2e382f4=:lj0:<;5rsd194?4|5l91=o?4=ea955>4d634no6<>9;|qf1?6=:r7n97?m1:?gf?77?2wxi;4?:3y>gc<4;916o?4>079~w`1=838p1i>532289f0=99<0q~k7:1818b62:9;70mn:025?xub13:1>v3k2;104>;dm3;;:6s|e`83>0}:mh0:i5521939553<58=i6<>7;<31e?77027:><4>049~w`d=838p1ho5a69>b4<6j81vhm50;0x9`e=9k;01k?51148yvcc2909w0kk:0`2?8`628:37p}je;296~;bm3;i=63i1;33e>{tmo0;6?u2eg82f4=:n80:<45rsg294?4|5o:1=o?4=g3955dd1<5o21=h64=ec9553<5k31==;4}rd:>5<4s4l2646134hh6<>9;|qef?6=:r7m477<;4d63ty:=54?:5y]54><58;3627??;4>089~w47>2909w0?>8;c4?876l3;i=6s|10c94?4|58;j6;<32`?77>2wx=c;333>{t98i1<74d634;:h7??7:p54c=838p1;<310?77>2wx=?>50;0x944728h:70?=4;333>{t9;;1<74d634;987??8:p574=838p1<<=:0`2?875<3;;56s|13194?4|58886;<311?77?2wx=?:50;0x944328h:70?=5;332>{t9;?1<74d634;:n7??7:p571=838pR<<8;<313?7b02wx=?650;0x944020=01<9;|q26d<72;q6=?o51c38944e28:<7p}>4`83>7}Y9=k01>k8:025?xu6=90;6?uQ1428943d2h=0q~?:c;291~;6=j0:i5522`8242=:9081==;4=0:g>46134;:i7??6:p521=838p1<98:0g;?87>=3;;:6s|16:94?5|58=<6l94=05;>4c?349on7??5:p52?=838p1<97:`58941d28h:7p}>7`83>7}:9>k1=o?4=05`>4613ty:;o4?:3y>52d=9k;01<9l:024?xu6?m0;6lu216f95`><5:in6<>9;<0:>46>34;3:7??6:?23d<68?16?o<5117896b>28:>70=jf;331>;6:00:<;5210`955052z?23ab09~w41a2909w0?8f;3a5>;60=0:<;5rs0:3>5<5s4;3<7?m1:?2<1<68>1v<6>:18187?93;i=63>85824==z{8296=4={<3;6?7e927:494>089~w4>42909w0?73;3a5>;60<0:<:5rs0:7>5<5s4;387?m1:?2<0<68?1v<6::18187?=3;i=63>878242=z{82=6=4={<3;2?7e927:4:4>069~w4>?2903w0?78;3f<>;4kl0:<:522c8243=:91=1==84=2`2>462349o;7??5:?0a`<68<16=898b3>;6000:i55228824==:;hl1==;4=2f;>462349nh7??5:?25d<6811v<6n:18487?13k<70?7a;3f<>;513;;:6346234;:m7??6:p5=d=83=p1<6n:`5894>e28o370<6:024?85e;3;;9634603ty:4n4?:3y>5=d=i>16=5h51c38yv7?l3:1>v3>8e82f4=:91l1==84}r3;a?6=:r7:4h4>b09>5=`=99=0q~?60;291~;6190:i5522`8243=:90>1==84=0:g>46034;:i7??7:p5<7=838p1<7?:`5894?228h:7p}>9383>7}:9081=o?4=0;0>4603ty:5>4?:3y>5<5=9k;01<7;:024?xu61=0;6?u218695g7<583>6<>8;|q2e4<72;qU=l?4=505>4613ty:m?4?:2y]5d4<5:no6<>:;<1e6?77>2wx>4?50;7x97?528h:70?72;331>;6?k0:<45213c955?<58896<>:;|q1=6<72;q6>4=51d:897?528:<7p}=9583>7}::0>1=h64=3;1>4613ty9584?:2y>6<2=i>16>4=5a69>6<0=9k;0q~=84;297~;4?=0:i552452955><5=9=6<>n;|q030<72:q6?:;51d:8912728:<70:<6;33f>{t;><1<7=t=256>d1<5:=?6l94=254>4d63ty8m44?:3y]7d?<5:k267g2=9k;0q~=nf;296~;4io0:n<523c6955152z?0f5<6j816?o:51148yv5e93:1>v31==64}r1a6?6=:r78n?4>b09>7g2=9930q~=m3;296~;4j:0:n<523c6955g54z\0f0=:;1?1===4=513><`<588<64h4}r1a3?6=:rT8n:528g8240=z{:i86=4={_1`7>;fm3;;96s|3b`94?4|V:ii70=lb;3f<>{t;jn1<7d1<5:in6;|q0`1<72;qU?i:4=2f7>4c?3ty8h;4?:3y>7a2=i>16?ih51c38yv5c?3:1>v3b09>7ac=99<0q~=k9;296~;4l00:n<523eg955>52z?0`d<6j816?ik511c8yv5cj3:1>v3b09>7ac=99h0q~=kd;296~;4lm0:n<523ed955152z?0``<6j816?ih51148yv5b83:1>vP7`6=9l20q~=j5;296~;4m>0:n<523d;955352z?0a3<6j816?h951158yv5b03:1>v3;4m00:n<5rs2gb>5<4s49n:7??5:?760<68<16?k=51c38yv5bj3:1>v3b09>7c6=99=0q~=jd;296~;4mm0:n<523g1955052z?0a`<6j816?k?51148yv5bn3:1>v3b09>7c4=99=0q~=i1;296~;4n80:n<523g1955>7>52z?0b7<6j816?k=51158yv26?3:1>vP;169>6<0=99?0q~:>f;296~X39o168v3;2782f4=:<;21==;4}r611?6=:r7?>84>b09>070=99=0q~:=7;296~;39o0j;63;2982f4=z{=9;6=4<{_604>;3;90:i552ee8240=z{=986=4={<604?g034>887?m1:p063=838p19=?:858915b28h:7p};3783>7}:<:<1=o?4=51g>4613ty??:4?:3y>061=9k;019=l:024?xu3;10;6?u242:95g7<5=9h6<>9;|q77<<72;q68>751c38915d28:37p};3`83>7}:<:k1=o?4=51f>4613ty??o4?:3y>06d=9k;019=j:02;?xu3;j0;6?u242a95g7<5=9o6<>8;|q77a<72;q68>j51c38915b28:<7p};3g83>7}:<:>1==;4=565>4d63ty?8=4?:3y>016=9k;019:9:025?xu3<80;6?u245395g7<5=>>6<>9;|q707<72;q689<51c38912328:=7p};4283>7}:<=91=o?4=567>4603ty?894?:3y>012=9k;019:::024?xu3<<0;6?u245795g7<5=>=6<>8;|q702<72;qU8994=254>4623twi?4950;597d<4lrB8::5+35d9e5=#9h91?974Z26f>4}>j3wd??l50;9j6`e=831d??750;9jbf<722e89=4?::m01f<722c2:7>5;c1;1?6=93:10D>88;%1;f?5>:2.:m94;549l555=83.84o4>b998yg5283:197<56z&00c7W=;e;3x=g>o>;3:17do8:188k4c?2900n5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:;>5<6290;w)=7b;1:6>i6j10;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:n55rs`594?4|Vh=01n3:1>vP6f:?2a=<51o1v4950;0xZ<1<58o36494}r;0>5<5sW3870?j8;;;?xu68=0;6?u21d:9761<5:23678t$26e>cc<@:2?7E=97:&2e1<3=<1Q?9k51z;a>xo>?3:17d7i:188m<5=831bm:4?::m2a=<722h:i54?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l53808k4d?290/?5l51c:8?xu6m10;6?uQ1d:894c?28h37p}n7;296~Xf?27:i54>129~w<`=838pR4h4=0g;>7?a3ty2;7>52z\:3>;6m102;6s|9283>7}Y1:16=h65999~w4632909w0?j8;103>;4010:n55r}c12=?6==381:v*<4g8ea>N40=1C?;94$036>3=#9h>188;4Z26f>4}>j3wb5:4?::k:b?6=3`386=44i`594?=h9l21<75m1d:94?0=83:p(>6m:023?_53m3;p5o4ri030>5<#;1h1=<=4;h;4>5<#;1h15:54i8:94?"40k02465f32594?"40k08?:54i3;e>5<#;1h1>4h4;n3a=83;1<7>t$2:a>6?53f;i47>5$2:a>4d?32wx=h650;0xZ4c?34;n47?m8:pe2<72;qUm:521d:95457}Y1>16=h65969~w<5=838pR4=4=0g;><>52z?2a=<4;>16?5651c:8yxd4=j0;684=:7y'71`=nl1C?5:4H244?!7f<3>>96T<4d82019Y71c=9r3i6pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;52z\2a==:9l21=o64}rc4>5<5sWk<70?j8;327>{t1o0;6?uQ9g9>5`>=:0l0q~78:181[?034;n4778;|q:7?6=:rT2?63>e98:<>{t99>1<7650349347?m8:~f7`229086?4;{%17b?`c3A9386F<669'543=>2.:m94;549Y71c=9r3i6pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<6s0h1qb?j8;29?l7c83:17do8:188m<`=831b5>4?::`eb?6=>3:13:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5??3:1=7>50z&0n3:1>vP6f:?eb?4>n2wx=i>50;0xZ4b734lm6>=8;|q2a=<72;qU=h64=gd95g>52z?eb??03493;7?l4:~f4<7280;6=u+37490>"4=838pR:j:0y:f?{n1:0;66gn7;29?j7b03:17o?j8;291?4=>r.88k4if:&2e1<3=<1Q?9k51z;a>xi6m10;66g>d183>>of?3:17d7i:188m<5=831ijk4?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l51c18k4e3290/?5l51c:8?xuf?3:1>vPn7:?eb?76;2wx5>4?:3y]=6=:no0246s|9g83>7}Y1o16jk4=9g9~w4b72909wS?k0:?eb?54?2wx=h650;0xZ4c?34lm67=;%3b0?22=2e:<>4?:%1;f?7e021ve99~wd1=838pRl94=0g;>d1e98:b>;628:87)=96;78yxd5n>0;6>4=:5y'71`=nm1C?5:4H244?!76=3<0(0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q2n7s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t9c8~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psm2g:94?5=:3>p(>:i:gf8L6>33A9=;6*>1485?!7f<3>>96T<4d82:i:gd8 4g32=?>7W=;e;3x=g5<>o>;3:17ohi:185>5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:4>5<6290;w)=7b;3a7>i6k=0;6)=7b;3a<>=z{h=1<75<5sW3m70hi:3;e?xu6l90;6?uQ1e289c`=;:=0q~?j8;296~X6m116jk4>b99~w60?2909w0hi:85896>028i?7psm1;295?6=8r.8:;4;;%17b?77<2.84o4<939'5d2=<<:18'7=d=9k207p}>e983>7}Y9l201vPn7:?2a=4?:3y]=6=:9l215>5rs383>6}:9l21=i>4=0g;><`<580:<>5+37491>{zj;l26=4<:387!53n3lo7E=74:J022=#98?1:6*>a58710=];=o1=v7m:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c0ee?6=;3818v*<4g8e`>N40=1C?;94$036>3=#9h>188;4Z26f>4}>j3wb5>4?::kb3?6=3f;n47>5;c3f"6i=0?985U35g95~?e2te:i54?::k2`5<722cj;7>5;h;e>5<3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<2<7280;6=u+39`95g5<3tyj;7>52z\b3>;an3;:?6s|9283>7}Y1:16jk468:p=c<72;qU5k52fg81=c=z{8n;6=4={_3g4>;an398;6s|1d:94?4|V8o370hi:0`;?xu4>10;6?u2fg8:3>;40>0:o95r}c394?7=83:p(>89:59'71`=99>0(>6m:2;1?!7f<3>>96a>0283>!5?j3;i465rs0g;>5<5sW;n463>e982a==z{h=1<75<4s4;n47?k0:?2a=<>n27:6<><;%152?31}#;=l1ji5G3968L6003-;:9784$0c7>1323S9?i7?t9c8~m<5=831bm:4?::m2a=<722h:i54?:481>3}#;=l1jk5+1`69003n6=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;3x=g=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|a6ce=8391>7:t$26e>cb<@:2?7E=97:&250<13-;j87::5:X00`<6s0h1qd7<:188md1=831d=h650;9a5`>=83?1>78t$26e>c`<,8k?69;:;[17a?7|1k0vc5;cde>5<1290;w)=7b;334>\45<#;1h15554i214>5<#;1h1?>94;h0:b?6=,:2i6?7i;:m2f=<72-93n7?m8:9~f6>0290:6=4?{%1;f?7e;2e:o94?:%1;f?7e021vl950;0xZd1<5ol1=<=4}r;0>5<5sW3870hi:8:8yv?a2909wS7i;7?a3ty:h=4?:3y]5a6<5ol1?>94}r3f<1<5:2<6188;4o020>5<#;1h1=o64;|q2a=<72;qU=h64=0g;>4c?3tyj;7>52z\b3>;6m10j;6s|9283>7}Y1:16=h65929~w7<72:q6=h651e2894c?20l01<4>029'730==2wvn>><:180>4<4sA9=;6*<4g82e2=nio0;66gm0;29?j5483:17o=60;297?6=8r.88k4<829K7=2<@:<<7)=7b;1;6>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i683;;:6s|32294?4|V:9;70=60;3a5>{zj::96=4<:080M51?2.88k4>a69jec<722ci<7>5;n104?6=3k92<7>53;294~"45G3968L6003-93n7=72:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376s|ag83>7}Yio16?4>51158yvd72909wSl?;<1:4?77>2wx?>>50;0xZ6573492<7?m1:~f66629086<4<{I153>"4>oe83:17b=<0;29?g5>83:1?7>50z&00c<40:1C?5:4H244?!5?j393>6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wxmk4?:3y]ec=:;0:1==94}r`3>5<5sWh;70=60;332>{t;::1<783;i=6srb223>5<42808wE=97:&00c<6i>1bmk4?::ka4?6=3f98<7>5;c1:4?6=;3:10D>88;%1;f?5?:2.:m94;549j550=83.84o4>1298m460290/?5l51018?j7e93:1(>6m:0`;?>{tio0;6?uQag9>7<6=99=0q~l?:181[d73492<7??6:p766=838pR>=?;<1:4?7e92wvn?hi:180>4<4sA9=;6*<4g82e2=nio0;66gm0;29?j5483:17o=60;297?6=8r.88k4<829K7=2<@:<<7)=7b;1;6>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i683;;:6s|32294?4|V:9;70=60;3a5>{zj;ln6=4<:080M51?2.88k4>a69jec<722ci<7>5;n104?6=3k92<7>53;294~"45G3968L6003-93n7=72:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376s|ag83>7}Yio16?4>51158yvd72909wSl?;<1:4?77>2wx?>>50;0xZ6573492<7?m1:~f7`c29086<4<{I153>"4>oe83:17b=<0;29?g5>83:1?7>50z&00c<40:1C?5:4H244?!5?j393>6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wxmk4?:3y]ec=:;0:1==94}r`3>5<5sWh;70=60;332>{t;::1<783;i=6srb20b>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i6j7>52;294~"46m:030?>i6j80;6)=7b;3a<>=zj:;o6=4<:183!53n3;i96F<859K731<,:2i6:18'7=d=9k207pl<1c83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e;9<1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?=650;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm31c94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{e;9o1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?<>50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm31694?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:8h6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg55m3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0f;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4:90;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm33394?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:896=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c117?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th8>94?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a773=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn><9:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg55?3:157>50z&00c<4?2B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=n9921<7*<8c8256=5$2:a>47432c:k:18'7=d=98907b?m1;29 6>e28h376sm34094?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:??6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c161?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th89;4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a701=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn>;7:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg5213:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl<5`83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e;6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>47432c:<44?:%1;f?76;21b==o50;&0m:18'7=d=98907d??c;29 6>e28;876a>b083>!5?j3;i465rb270>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd4>;0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th8:>4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a732=8391<7>t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==;4=h0:<8523719553<`<5:;264h4=27`><`52z\1af=:;8n1==84}r0f`?6=>r79j84>e99>6cb=io16?=851158966328:>70=>f;331>;4=;0:<85rs3gf>5<2s48m:7?j8:?1ba079>776=99?01>;;:026?xu5mo0;68u22g595`><5;ln6o>4=22;>4613499=7??5:?010<68<1v?h?:18684a03;n463=fg8a4>;48h0:<;523309553<5:?=6<>:;|q1b4<72k751d:896672k:01>>l:025?855;3;;963<598240=z{;l96=49{<0ee?7b0278<<4m0:?04`<68?16??k51148964328:>70=:7;331>{t:o91<78t=3da>4c?349;>7l?;<124?77>278>n4>069>773=99?01>;6:026?xu5n=0;69u22ga95`><5::86o>4=20`>4613499:7??5:p753=839p1?hj:`d8966128h:70=?8;333>{t;9=1<7=t=3de>d`<5::36;<13e?77?2wx?=750;1x96672hl01>>n:0`2?857k3;;;6s|31`94?5|5:::6lh4=22`>4d6349;i7??7:p75b=839p1>>=:`d8966b28h:70=>0;333>{t;9l1<7d`<5:;;6;|q054<72;q6>k;5a69>752=9k;0q~=>2;296~;5n?0j;63=fe8075=z{:;86=4={<0e3?g0348mi7=<0:p742=838p1?h7:`5897`a2:9;7p}<1483>7}::o31m:52312976652z?1bd6573ty8=44?:2y>74?=9l201>4d63ty8=n4?:3y>74?=1>16?4623499;7?m1:?027<68?1v>?i:181856n3;i=63<268243=z{:8;6=4={<114?7e9278>:4>069~w6462909w0==1;3a5>;4:>0:<55rs201>5<5s499>7?m1:?062<6801v><<:181855;3;i=63<26824d=z{:8?6=4={<110?7e9278>:4>0c9~w6422909w0==5;3a5>;4:>0:5<5s499:7?m1:?062<68m1v><6:181[551278>l4>b09~w64e2909wS==b:?06c<6j81v>h4>069~w64b2909w0==e;3a5>;4:o0:<:5rs273>5<5sW9><63<5182a==z{:?:6=4={<164??0349>?7?m1:p704=838p1>;=:0`2?852j3;;:6s|34694?4|5:??6;<16f?77?2wx?8;50;0x963228h:70=:b;33<>{t;<<1<74d6349>n7??9:p701=838p1>;8:0`2?852j3;;n6s|34:94?4|5:?36;<16f?77i2wx?8750;0x963>28h:70=:b;33g>{t;4d6349>?7??7:p70d=838p1>;m:0`2?852;3;;:6s|34a94?4|V:?h70=:c;3f<>{t;4c?349=>7??7:p70c=838p1>;k:858960728h:7p}<5g83>7}:;4603ty8:<4?:3y>70e=1>16?;:51c38yv51:3:1>v3<6382f4=:;?>1==84}r157?6=:r78:>4>b09>732=99=0qpl7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e;0>1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb2;6>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i62B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=n3;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4i=0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th85n4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a7t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==6F<859K731<,:2i64>4H00f?!7>>3;:?6*>a58710=n99?1<7*<8c8256=<3th8mo4?:383>5}#;=l1=<<4H2:7?M51?2.84o460:J26`=#90<1=<=4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn9<>:181>5<7s-9?j7?>2:J0<1=O;?=0(>6m:828L44b3-;2:7?>3:&2e1<3=<1b==;50;&0:18'7=d=9k207pl7<729q/?9h51008L6>33A9=;6*<8c8:4>N6:l1/=4851018 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb53;>5<5290;w)=;f;326>N40=1C?;94$2:a><6<@88n7)?66;327>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`0g2<72;0;6=u+35d9544<@:2?7E=97:&082B:>h5+1849545<,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd4m;0;6?4?:1y'71`=9880D>6;;I153>"40k02<6F>2d9'5<0=9890(6m:030?>i6j80;6)=7b;3a<>=zj0k1<7<50;2x 62a28;97E=74:J022=#;1h15=5G13g8 4?128;87)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==6F<859K731<,:2i64>4H00f?!7>>3;:?6*>a58710=n99?1<7*<8c8256=<3thm;7>52;294~"4"61?0:=>5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?32wi88>50;094?6|,:>m6N4>>1/?5l5919K57c<,83=65<7s-9?j7?>2:J0<1=O;?=0(>6m:828L44b3-;2:7?>3:&2e1<3=<1b==;50;&0:18'7=d=9k207pl93;296?6=8r.88k4>139K7=2<@:<<7)=7b;;3?M75m2.:5;4>129'5d2=<::18'7=d=98907b?m1;29 6>e28h376sm6383>7<729q/?9h51008L6>33A9=;6*<8c8:4>N6:l1/=4851018 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb7394?4=83:p(>:i:031?M5?<2B8::5+39`9=5=O9;o0(<79:030?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n554}c7e>5<5290;w)=;f;326>N40=1C?;94$2:a><6<@88n7)?66;327>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`6a?6=:3:10D>88;%1;f??73A;9i6*>978256=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i682B:>h5+1849545<,8k?69;:;h331?6=,:2i6t$26e>4753A9386F<669'7=d=191C=?k4$0;5>4743-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b998yg3e29096=4?{%17b?76:2B8495G3758 6>e20:0D<1298k4d6290/?5l51c:8?xd2i3:1>7>50z&00c<69;1C?5:4H244?!5?j33;7E?=e:&2=3<69:1/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?>{e=00;6?4?:1y'71`=9880D>6;;I153>"40k02<6F>2d9'5<0=9890(6m:030?>i6j80;6)=7b;3a<>=zj<21<7<50;2x 62a28;97E=74:J022=#;1h15=5G13g8 4?128;87)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==6F<859K731<,:2i64>4H00f?!7>>3;:?6*>a58710=n99?1<7*<8c8256=<3th>:7>52;294~"4"61?0:=>5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?32wi:n4?:383>5}#;=l1=<<4H2:7?M51?2.84o460:J26`=#90<1=<=4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn;l50;094?6|,:>m6N4>>1/?5l5919K57c<,83=65<7s-9?j7?>2:J0<1=O;?=0(>6m:828L44b3-;2:7?>3:&2e1<3=<1b==;50;&0:18'7=d=9k207pl99;296?6=8r.88k4>139K7=2<@:<<7)=7b;;3?M75m2.:5;4>129'5d2=<::18'7=d=98907b?m1;29 6>e28h376sm6983>7<729q/?9h51008L6>33A9=;6*<8c8:4>N6:l1/=4851018 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb7594?4=83:p(>:i:031?M5?<2B8::5+39`9=5=O9;o0(<79:030?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n554}c45>5<5290;w)=;f;326>N40=1C?;94$2:a><6<@88n7)?66;327>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`51?6=:3:10D>88;%1;f??73A;9i6*>978256=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i682B:>h5+1849545<,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd36;;I153>"40k02<6F>2d9'5<0=9k20(6m:030?>i6j80;6)=7b;3a<>=zj=;n6=4=:183!53n3;i>6F<859K731<,:2i64>4H00f?!7>>3;i46*>a58710=n99?1<7*<8c8256=<3th?=;4?:383>5}#;=l1=o<4H2:7?M51?2.84o460:J26`=#90<1=o64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn9>k:181>5<7s-9?j7?m2:J0<1=O;?=0(>6m:828L44b3-;2:7?m8:&2e1<3=<1b==;50;&0:18'7=d=9k207pl7<729q/?9h51c08L6>33A9=;6*<8c8:4>N6:l1/=4851c:8 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb2cg>5<5290;w)=;f;3a6>N40=1C?;94$2:a><6<@88n7)?66;3a<>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`0f3<72;0;6=u+35d95g4<@:2?7E=97:&082B:>h5+18495g><,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd3::0;6?4?:1y'71`=9k80D>6;;I153>"40k02<6F>2d9'5<0=9k20(6m:030?>i6j80;6)=7b;3a<>=zj:ln6=4=:183!53n3;i>6F<859K731<,:2i64>4H00f?!7>>3;i46*>a58710=n99?1<7*<8c8256=<3th8m84?:383>5}#;=l1=o<4H2:7?M51?2.84o460:J26`=#90<1=o64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn>o7:181>5<7s-9?j7?m2:J0<1=O;?=0(>6m:828L44b3-;2:7?m8:&2e1<3=<1b==;50;&0:18'7=d=9k207pl;1c83>7<729q/?9h51c08L6>33A9=;6*<8c8:4>N6:l1/=4851c:8 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb2a`>5<5290;w)=;f;3a6>N40=1C?;94$2:a><6<@88n7)?66;3a<>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`0a1<72;0;6=u+35d95g4<@:2?7E=97:&082B:>h5+18495g><,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd4l:0;6?4?:1y'71`=9k80D>6;;I153>"40k02<6F>2d9'5<0=9k20(6m:030?>i6j80;6)=7b;3a<>=zj:n>6=4=:183!53n3;i>6F<859K731<,:2i64>4H00f?!7>>3;i46*>a58710=n99?1<7*<8c8256=<3th8nl4?:383>5}#;=l1=o<4H2:7?M51?2.84o460:J26`=#90<1=o64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn?;n:181>5<7s-9?j7?m2:J0<1=O;?=0(>6m:828L44b3-;2:7?m8:&2e1<3=<1b==;50;&0:18'7=d=9k207pl=5883>7<729q/?9h51c08L6>33A9=;6*<8c8:4>N6:l1/=4851c:8 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb3:a>5<5290;w)=;f;3a6>N40=1C?;94$2:a><6<@88n7)?66;3a<>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`1<0<72;0;6=u+35d95g4<@:2?7E=97:&082B:>h5+18495g><,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd5?00;6?4?:1y'71`=9k80D>6;;I153>"40k02<6F>2d9'5<0=9k20(6m:030?>i6j80;6)=7b;3a<>=zj;=86=4=:183!53n3;i>6F<859K731<,:2i64>4H00f?!7>>3;i46*>a58710=n99?1<7*<8c8256=<3th9:i4?:383>5}#;=l1=o<4H2:7?M51?2.84o460:J26`=#90<1=o64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn?88:181>5<7s-9?j7?m2:J0<1=O;?=0(>6m:828L44b3-;2:7?m8:&2e1<3=<1b==;50;&0:18'7=d=9k207pl=6083>7<729q/?9h51c08L6>33A9=;6*<8c8:4>N6:l1/=4851c:8 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb37a>5<5290;w)=;f;3a6>N40=1C?;94$2:a><6<@88n7)?66;3a<>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`116<72;0;6=u+35d95g4<@:2?7E=97:&082B:>h5+18495g><,8k?69;:;h331?6=,:2i6t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb2d94?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn9>50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj=;1<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f14=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb5194?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn9:50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj=?1<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f10=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb5594?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn9650;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj=31<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f1g=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb5`94?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn9j50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj=o1<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f1`=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb4294?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn8?50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj<81<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f05=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb4694?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn8;50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj031<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4i0a5>5<#;1h1=n84;|`:f?6=93:121vn4j50;394?6|,:2i6N69=1/=4851018m4e1290/?5l51b48?xda>3:1=7>50z&0>3;:?6g>c783>!5?j3;h:65rb0g:>5<6290;w)=7b;3`2>N4>>1C=<:4$0;5>4d?3f;h:7>5$2:a>4e132wi=ho50;394?6|,:2i6N69=1/=4851c:8k4e1290/?5l51b48?xd6mj0;6<4?:1y'7=d=9j<0D>88;I320>"61?0:n55`1b494?"40k0:o;54}c3f`?6=93:121vn5<7s-93n7?l6:J022=O98>0(<79:0`;?j7d>3:1(>6m:0a5?>{e9ll1<7?50;2x 6>e28i=7E=97:J251=#90<1=o64o0a5>5<#;1h1=n84;|`2b5<7280;6=u+39`95f0<@:<<7E?>4:&2=3<6j11d=n850;&0c79K731<@8;?7)?66;3a<>i6k?0;6)=7b;3`2>=zj8l96=4>:183!5?j3;h:6F<669K542<,83=6t$2:a>4e13A9=;6F>159'5<0=9k20cf583>4<729q/?5l51b48L6003A;:86*>9782f==h9j<1<7*<8c82g3=51;294~"40k0:o;5G3758L4733-;2:7?m8:m2g3<72-93n7?l6:9~f6g7290:6=4?{%1;f?7d>2B8::5G1068 4?128h37b?l6;29 6>e28i=76sm3`494?7=83:p(>6m:0a5?M51?2B:=95+18495g>5}#;1h1=n84H244?M76<2.:5;4>129j5f0=83.84o4>c798yg5fk3:1=7>50z&0>3;i46a>c783>!5?j3;h:65rb2`6>5<6290;w)=7b;3`2>N4>>1C=<:4$0;5>4d?3f;h:7>5$2:a>4e132wi?o750;394?6|,:2i6N69=1/=4851c:8k4e1290/?5l51b48?xd4jk0;6<4?:1y'7=d=9j<0D>88;I320>"61?0:=>5f1b494?"40k0:o;54}c1a`?6=93:121vn>m;:182>5<7s-93n7?l6:J022=O98>0(<79:0`;?j7d>3:1(>6m:0a5?>{e;j<1<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4i0a5>5<#;1h1=n84;|`0gg<7280;6=u+39`95f0<@:<<7E?>4:&2=3<6j11d=n850;&0c79K731<@8;?7)?66;3a<>i6k?0;6)=7b;3`2>=zj:n?6=4>:183!5?j3;h:6F<669K542<,83=6t$2:a>4e13A9=;6F>159'5<0=9890e4<729q/?5l51b48L6003A;:86*>9782f==h9j<1<7*<8c82g3=51;294~"40k0:o;5G3758L4733-;2:7?m8:m2g3<72-93n7?l6:9~f16d290:6=4?{%1;f?7d>2B8::5G1068 4?128h37b?l6;29 6>e28i=76sm41g94?7=83:p(>6m:0a5?M51?2B:=95+18495g>5}#;1h1=n84H244?M76<2.:5;4>b99l5f0=83.84o4>c798yg26?3:1=7>50z&0>3;:?6g>c783>!5?j3;h:65rb53:>5<6290;w)=7b;3`2>N4>>1C=<:4$0;5>4d?3f;h:7>5$2:a>4e132wi8N69=1/=4851c:8k4e1290/?5l51b48?xd3:90;6<4?:1y'7=d=9j<0D>88;I320>"61?0:=>5f1b494?"40k0:o;54}c616?6=93:121vn9=>:182>5<7s-93n7?l6:J022=O98>0(<79:0`;?j7d>3:1(>6m:0a5?>{e<=k1<7?50;2x 6>e28i=7E=97:J251=#90<1=o64o0a5>5<#;1h1=n84;|`70f<7280;6=u+39`95f0<@:<<7E?>4:&2=3<69:1b=n850;&0c79K731<@8;?7)?66;327>o6k?0;6)=7b;3`2>=zj8ki6=4?:183!53n39?:6F<649K713=6>u+35`95f?<,:>j6:k:183>5<7s-9?j7=;d:&2e1<3=<1C?9;4L2:g>6}#;=h1=nm4$26b>4ee3-9?o7?ld:~w70=838p1>7j:37896g528:>7p}=7;296~;41o099637b<5:k<6<>:;|q0a?6=;rT8i63:6;331>;4m3;h:6s|3g83>6}Y;o169:4>049>7c<6k?1v9>50;1xZ16<5<21==;4=5295f0:6=4<{_62?83>28:>70:>:0a5?xu3:3:1?vP;2:?6e?77=27?>7?l6:p06<72:qU8>525c8240=:<:0:o;5rs5694?5|V=>018m51178912=9j<0q~:::180[2234?o6<>:;<66>4e13ty?:7>53z\72>;2m3;;963;6;3`2>{t<>0;6>uQ469>1c<68<168:4>c79~w1>=839pR964=729553<5=21=n84}r6:>5<4sW>2708>:026?82>28i=7p};a;297~X3i27=>7??5:?7e?7d>2wx8o4?:2y]0g=:>:0:<8524c82g3=z{=n1<7=t^5f8932=99?019j51b48yv2b2908wS:j;<46>46234>n6;3n3;h:6s|5183>6}Y=916::4>049>15<6k?1v8?50;1xZ07<5?21==;4=4395f028:>70;=:0a5?xu2;3:1?vP:3:?5e?77=27>?7?l6:p11<72:qU99526c8240=:==0:o;5rs4794?5|V03i019;=:b9>13<6j81v8950;1x96??2m1688<5d:?63?7e92wx954?:2y>7<>=m27?9?4j;<7;>4d63ty>57>53z?0==>>7h4=4;95g7>>7??;<7b>4d63ty>n7>53z?0==<6927?9?4>1:?6f?7e92wx9n4?:2y>7<>=9;1688<5139>1f<6j81v8j50;1x96??289019;=:01890b=9k;0q~;j:18085>03;?70::2;37?83b28h:7p}:f;297~;4110:963;53821>;2n3;i=6s|6183>7}:<<81=;526182f4=z{?;1<7=t=2;;>41<5=?96<94=7395g734>>>7?6;<41>4d63ty=?7>52z?0==<6i27=?7?m1:p21<72;q6?4651b9>21<6j81v;;50;0x913528n01;;51c38yv012909w0::2;3f?80128h:7p}97;296~;3=;0:j6397;3a5>{t>10;6?u2440965=:>10:n<5rs7;94?4|5=?96??4=7;95g7;|q5f?6=;r78554=3:?717<5;27=n7?m1:p2f<72:q6?465259>004=:=16:n4>b09~w3b=83?p1>77:7f891352?n01>7i:7f896?>2?n01>78:848yv>72909w0=6f;52?85>13=<7p}69;297~X>1272m7??5:?:=?7d>2wx5l4?:3y>7b09~w5<1s4924777;<666???3492j777;<1:=?`d3492;7hl;<;`>4d63ty2h7>53z\:`>;>m3;;9636d;3`2>{t1l0;6?u238g9=0=:1l0:n<5rsg494?5|Vo<01k9511789c0=9j<0q~h8:18185>m3h:70h8:0`2?xu68l0;6?u238:955c<5:326<>j;|q25=<72;q6?4h510:896?b28;37p}>2683>7}:;0l1=?94=2;f>4403ty:>k4?:3y>7<>=9;l01>7i:00e?xu6<90;6?u238d9516<5:3n6<:n;|q20g<72;q6?46515`896?a28>i7p}>5183>7}:;0l1=8>4=2;f>4373ty:9i4?:3y>7<`=97j:0c1?xu61>0;6?u238d95<1<5:3n6;|q2a<<72:qU=h74=370>4d634;n57?l6:p5`g=839pRc79~w4cd2908wS?jc:?11d<6j816=hm51b48yv7bl3:1?vP>ee9>60d=9k;01uQ1dg8970628h:70?je;3`2>{t9ll1<7=t^0ge?841?3;i=63>eg82g3=z{8l;6=4<{_3e4>;5>m0:n<521g295f053z\2b4=::>91=o?4=0d2>4e13ty:j?4?:2y]5c4<5;=26;<3e6?7d>2wx=k=50;1xZ4`4348b09>5c2=9j<0q~?i5;297~X6n<16>5l51c3894`228i=7p}>f683>7}:;021=k94=571>4`03ty99>4?:3y>004=9l301?;<:026?xu5=00;6?u244095`g<5;?26<>:;|q11d<72;q688<51da8973f28:>7p}=5c83>7}:<<81=hj4=37a>4623ty9:<4?:3y>004=9lo01?8>:026?xu5>>0;6?u244095``<5;<<6<>:;|q12a<72;q688<51g28970c28:>7p}=7283>7}:<<81=k?4=350>4623ty9;44?:3y>004=9o801?96:026?xu5?o0;6?u244095c5<5;=m6<>:;|q1<0<72;q688<51g6897>228:>7p}=8c83>7}:<<81=k;4=3:a>4623ty95:4?:3y>7<>=:0=019;=:3;4?xu5m?0;6?u238d96a2<5:326?jn;|q1a2<72;q688<52e6896?>2;n>7p}=eb83>7}:<<81>hm4=2;4>7cd3ty8>44?:3y>004=;;301>78:20:?xu4:k0;6?u2440977d<5:3<6>7p}<3983>7}:;021?>64=2;`>4623ty89=4?:3y>7<1=;<:01>7k:025?xu4=j0;6?u2385970e<5:3>6<>9;|q0=6<72;q6?475739>7<3=9k;0q~=64;296~;41=0:n<52387955152z?0=<<5lo16?4j51c38yv5>j3:1>v3<9b82f4=:;0n1==94}r1b4?6=;rT8m=523`795g7<5:k;67}:;h91=o?4=2c7>4603ty8m84?:3y>7d2=9k;01>o::026?xu4i?0;6>uQ3`4896g?28h:70=n6;3`2>{t;h21<74d6349j47??5:p7dg=839pR>on;<1bf?77=278ml4>c79~w6ge290?w0::2;1b=>;41o08m45238;97d?<5:ki6;|q0ef<72:qU?lm4=2cg>4d6349jo7?l6:p7db=838p1>7j:2c:?85fl3;;96s|3c794?5|V:h>70=m6;3a5>;4j<0:o;5rs2`5>5<5s492i7=m5:?0f3<68<1v>l6:180[5e1278nl4>b09>7g?=9j<0q~=ma;296~;41008n:523cc955353z\0fg=:;ki1==;4=2`a>4e13ty8nn4?:3y>7ll:0`2?xu4jm0;6>uQ3cf896e728h:70=md;3`2>{t;j:1<76dc349h<7??5:p7f2=839pR>m;;<1`1?7e9278o94>c79~w6e22909w0=69;1`7>;4k<0:<85rs2a5>5<4sW9h:637f1=9k;0q~=lb;297~X4kk16?nm51c3896ee28i=7p}7}:;0o1?nl4=2a`>4623ty8ok4?:2y]7f`<5:n86;<1`b?7d>2wx?i=50;0x96?a2:im70=k3;331>{t;m>1<7=t^2f7?85c=3;i=636=4={<1:a?5c<278h84>049~w6c62908wS=j1:?0a7<68<16?h?51b48yv5b:3:1?v3;5380a5=:;0l1?h>4=2g1>4d63ty8i>4?:2y]7`5<5:o?6;<1f7?7d>2wx?h:50;0x96?b2:o;70=j4;331>{t;oh1<7=t^2da?85am3;i=63049~w16d2908wS:?c:?74a<6j8168=m51b48yv27l3:1>v3;5380b1=:<9n1==;4}r63a?6=;rT?7p};1183>6}Y<8:019?9:0`2?82683;h:6s|40494?4|5=?969??;<622?77=2wx8<950;1xZ17034>:47??5:?752<6k?1v9?7:18085>03>:;63<9d8752=:<821=o?4}r62=?6=;rT?=45240`95g7<5=;267p};1b83>6}Y<8i019?j:0`2?826k3;h:6s|40g94?4|5=?969?l;<62a?77=2wx8?>50;1xZ14734>9=7??5:?765<6k?1v9<>:180822:3>:j63<9g875c=:<;;1=o?4}r616?6=;rT?>?5243195g7<5=8967p};3083>6}Y<:;019==:0`2?82493;h:6s|42094?4|5:3n69=?;<606?77=2wx89o50;1xZ12f34>?n7?m1:?70d<6k?1v9:m:18185>13>?;63;4c8240=z{=>h6=4<{_67g>;3?h7>52z?0=`<3<>1689j51c38yv23n3:1?vP;4g9>006=99?019:i:0a5?xu3=90;69u238:901c<5=?969:j;<1:b?23m27?9=4>b09~yk71k?0;69uG3758yk71k>0;69uG3758yk71k10;6>uG3758yk71k00;65<6sA9=;6G>c7824:|m53ed290:wE=97:K2g3<6s80vqc?9ce83>4}O;?=0Ex{i9?in6=4>{I153>O6k?0:w<4r}o35gc<728qC?;94I0a5>4}62twe=;j?:182M51?2C:o;4>{08~yk71l80;65<6sA9=;6G>c78244?:0yK731:|m53b3290:wE=97:K2g3<6s80vqc?9d483>4}O;?=0Ex{i9?n=6=4>{I153>O6k?0:w<4r}o35`2<728qC?;94I0a5>4}62twe=;j7:182M51?2C:o;4>{08~yk71l00;65<6sA9=;6G>c7824:|m53bd290:wE=97:K2g3<6s80vqc?9de83>4}O;?=0Ex{i9?nn6=4>{I153>O6k?0:w<4r}o35`c<728qC?;94I0a5>4}62twe=;k?:180M51?2we=;k>:180M51?2we=;k=:180M51?2we=;k<:180M51?2we=;k;:180M51?2we=;k::180M51?2we=;k9:180M51?2we=;k8:180M51?2we=;k7:180M51?2we=;k6:187M51?2we=;kn:181M51?2we=;km:180M51?2we=;kl:187M51?2we=;kk:181M51?2we=;kj:181M51?2we=;ki:181M51?2we=;h?:181M51?2we=;h>:181M51?2we=;h=:181M51?2we=;h<:181M51?2we=;h;:181M51?2we=;h::180M51?2we=;h9:180M51?2we=;h8:1827~N4>>1vb<8i8;290~N4>>1vb<8i9;295~N4>>1B=n851z39y_5303;p544rZ022>4}4<10vqc?9f`83>66|@:<<7p`>6g`94?7|@:<<7D?l6;3x5?{];=21=v7m:|X244<6s:>36psa17d`>5<3lrB8::5rn04e`?6=9rB8::5F1b495~7=uS9?47?t9e8~^46628q8854r}o35b`<72;qC?;94}o35bc<728qC?;94I0a5>4}62twe=:>?:187M51?2we=:>>:180M51?2we=:>=:184M51?2we=:><:187M51?2we=:>;:180M51?2we=:>::187M51?2we=:>9:180M51?2we=:>8:184M51?2we=:>7:187M51?2we=:>6:187M51?2we=:>n:182M51?2C:o;4>{38~yk708k0;65<6sA9=;6G>c78274}O;?=0Ex{i9>;;6=4>{I153>O6k?0:w?4r}o3454<728qC?;94I0a5>4}52twe=:?=:182M51?2C:o;4>{38~yk709:0;65<6sA9=;6G>c7827wE=97:m52402908wE=97:m524?2908wE=97:m524>2909wE=97:m524f2909wE=97:m524e2908wE=97:m524d2908wE=97:m524c2909wE=97:m524b290:wE=97:m524a2909wE=97:m5257290:wE=97:m5256290:wE=97:K2g3<6s;0vqc?83383>4}O;?=0qc?83283>4}O;?=0qc?83583>4}O;?=0qc?83483>4}O;?=0Ex{i9>9=6=4>{I153>{i9>9<6=4>{I153>O6k?0:w<4r}o347=<72=qC?;94}o347<<728qC?;94I0a5>4}52twe=:=n:187M51?2we=:=m:182M51?2C:o;4>{38~yk70;j0;69uG3758yk70;m0;65<5sA9=;6sa161e>5<6sA9=;6G>c78246}O;?=0qc?84483>4}O;?=0Ex{i9>>=6=4={I153>{i9>><6=4>{I153>O6k?0:w?4r}o340=<72=qC?;94}o340<<728qC?;94I0a5>4}52twe=::n:181M51?2we=::m:182M51?2C:o;4>{38~yk705<4sA9=;6sa166e>5<6sA9=;6G>c78277}O;?=0qc?85483>4}O;?=0Ex{i9>?=6=4={I153>{i9>?<6=4>{I153>O6k?0:w?4r}o341=<72;qC?;94}o341<<728qC?;94I0a5>4}62twe=:;n:180M51?2we=:;m:182M51?2C:o;4>{38~yk70=j0;6?uG3758yk70=m0;65<5sA9=;6sa167e>5<6sA9=;6G>c78246}O;?=0qc?86483>4}O;?=0Ex{i9><=6=4={I153>{i9><<6=4>{I153>O6k?0:w<4r}o342=<72;qC?;94}o342<<728qC?;94I0a5>4}62twe=:8n:186M51?2we=n;50;3xL6003td:o;4?:0yK73151zJ022=zf8i26=4>{I153>{i9jk1<7?tH244?xh6kk0;688;|l2gc<728qC?;94}o3g4?6=9rB8::5rn0f2>5<6sA9=;6sa1e094?7|@:<<7p`>d283>4}O;?=0qc?k4;295~N4>>1vb51zJ022=zf8nj6=4>{I153>{i9mh1<788;|l2a4<728qC?;94}o3f6?6=9rB8::5rn0g0>5<6sA9=;6sa1d694?7|@:<<7p`>e783>4}O;?=0qc?j7;295~N4>>1vb51zJ022=zf8oo6=4>{I153>{i9lo1<7?tH244?xh6mo0;688;|l2b1<728qC?;94}o3e1?6=9rB8::5rn0d5>5<6sA9=;6sa1g594?7|@:<<7p`>f983>4}O;?=0qc?i9;295~N4>>1vb51zJ022=zf8ln6=4>{I153>{i9ol1<7?tH244?xh5890;688;|l141<728qC?;94}o031?6=;rB8::5rn325>5<5sA9=;6sa21594?4|@:<<7p`=0983>4}O;?=0qc>1vb?>n:182M51?2we>=l50;3xL6003td952zJ022=zf;:n6=4={I153>{i><31<7=tH244?xh1=k0;6>uG3758yk02k3:1>vF<669~j33c2909wE=97:m20c=83;pD>88;|l51c<728qC?;94}o454?6=9rB8::5rn742>5<6sA9=;6sa67094?7|@:<<7p`97183>4}O;?=0qc881;297~N4>>1vb;9=:180M51?2we::=50;3xL6003td=;94?:0yK73151zJ022=zf?==6=4>{I153>{i>>=1<7?tH244?xh1?10;688;|l53f<728qC?;94}o44`?6=9rB8::5rn75f>5<6sA9=;6sa66d94?7|@:<<7p`98183>4}O;?=0qc871;295~N4>>1vb;6=:182M51?2we:5=50;3xL6003td=494?:0yK73152zJ022=zf?2=6=4={I153>{i>1=1<7?tH244?xh1010;6f290:wE=97:m2=d=83;pD>88;|l55<6sA9=;6sa69d94?7|@:<<7p`99183>4}O;?=0qc861;295~N4>>1vb;7=:180M51?2we:4=50;1xL6003td=594?:0yK73151zJ022=zf?3=6=4>{I153>{i>0=1<7?tH244?xh1110;613:1=vF<669~j3?f290:wE=97:m288;|l5=f<728qC?;94}o4:`?6=9rB8::5rn7;f>5<6sA9=;6sa68d94?7|@:<<7p`9a183>4}O;?=0qc8n1;295~N4>>1vb;o=:182M51?2we:l=50;1xL6003td=m94?:2yK73151zJ022=zf?k=6=4>{I153>{i>h=1<7?tH244?xh1i10;688;|l5ef<728qC?;94}o4b`?6=9rB8::5rn7cf>5<6sA9=;6sa6`d94?7|@:<<7p`9b183>4}O;?=0qc8m1;295~N4>>1vb;l=:182M51?2we:o=50;3xL6003td=n94?:0yK73151zJ022=zf?h=6=4>{I153>{i>k=1<7?tH244?xh1j10;688;|l5ff<728qC?;94}o4a`?6=9rB8::5rn7`f>5<6sA9=;6sa6cd94?7|@:<<7p`9c183>4}O;?=0qc8l1;295~N4>>1vb;m=:182M51?2we:n=50;3xL6003td=o94?:0yK73151zJ022=zf?i=6=4>{I153>{i>j=1<7?tH244?xh1k10;688;|l5gf<728qC?;94}o4``?6=9rB8::5rn7af>5<6sA9=;6sa6bd94?7|@:<<7p`9d183>4}O;?=0qc8k1;295~N4>>1vb;j=:182M51?2we:i=50;3xL6003td=h94?:0yK73151zJ022=zf?n=6=4>{I153>{i>m=1<7?tH244?xh1l10;688;|l5`a<72:qC?;94}o4ga?6=;rB8::5rn7fe>5<6sA9=;6sa6d294?7|@:<<7p`9e083>4}O;?=0qc8j2;295~N4>>1vb;k<:182M51?2we:h:50;3xL6003td=i84?:0yK73151zJ022=zf?o<6=4>{I153>{i>l21<7?tH244?xh1m00;688;|l5aa<72:qC?;94}o4fa?6=9rB8::5rn7ge>5<6sA9=;6sa6g294?7|@:<<7p`9f083>4}O;?=0qc8i2;295~N4>>1vb;h<:182M51?2we:k:50;3xL6003td=j84?:0yK73151zJ022=zf?l<6=4>{I153>{i>o21<7?tH244?xh1n00;688;|l5ba<728qC?;94}o4ea?6=9rB8::5rn7de>5<6sA9=;6sa71294?5|@:<<7p`80083>6}O;?=0qc9?2;295~N4>>1vb:><:182M51?2we;=:50;3xL6003td<<84?:0yK73151zJ022=zf>:<6=4>{I153>{i?921<7?tH244?xh0800;688;|l454<72:qC?;94}o520?6=;rB8::5rn636>5<4sA9=;6sa70:94?7|@:<<7p`81883>4}O;?=0qc9>a;295~N4>>1vb:?m:182M51?2we;51zJ022=zf>8:6=4>{I153>{i?;81<7?tH244?xh0:>0;6290:wE=97:m37g=83;pD>88;|lb64<72;qC?;94}oc10?6=:rB8::5rn`06>5<4sA9=;6saa3494?7|@:<<7p`n2683>4}O;?=0qco=8;295~N4>>1vbl<6:182M51?2wem?o50;3xL6003tdj>n4?:2yK73153zJ022=zfh8m6=4<{I153>{ii:91<788;|lb7=<72;qC?;94}oc0=?6=:rB8::5rn`1`>5<4sA9=;6saa2f94?7|@:<<7p`n3d83>4}O;?=0qco>1vbl:?:182M51?2wem9?50;3xL6003tdj8?4?:0yK73151zJ022=zfh>?6=4>{I153>{ii=?1<7?tH244?xhf<>0;6?uG3758ykg303:1=vF<669~jd2>290:wE=97:me1g=83;pD>88;|lb0g<72;qC?;94}oc7g?6=9rB8::5rn`6g>5<6sA9=;6saa5g94?7|@:<<7p`n4g83>4}O;?=0qco:0;295~N4>>1vbl;>:182M51?2wem8<50;0xL6003tdj9>4?:0yK73187>51zJ022=zfh?>6=4>{I153>{ii<<1<7?tH244?xhf=>0;6vF<669~jd3>2909wE=97:me0g=83;pD>88;|lb1f<72;qC?;94}oc6`?6=9rB8::5rn`7f>5<6sA9=;6saa4d94?7|@:<<7p`n6183>4}O;?=0qco91;296~N4>>1vbl8=:181M51?2wem;=50;4xL6003tdj:94?:5yK7315bzJ022=zfh<=6=4={I153>{ii?=1<710;688;|lb2f<728qC?;94}oc5`?6=9rB8::5rn`4f>5<6sA9=;6saa7d94?7|@:<<7p`n7183>6}O;?=0qco81;297~N4>>1vbl9=:182M51?2wem:=50;1xL6003tdj;94?:2yK73151zJ022=zfh==6=4>{I153>{ii>=1<7?tH244?xhf?10;688;|lb3f<728qC?;94}oc4`?6=9rB8::5rn`5f>5<6sA9=;6saa6d94?7|@:<<7p`n8183>4}O;?=0qco71;295~N4>>1vbl6=:186M51?2wem5:50;3xL6003tdj484?:0yK73151zJ022=zfh226=4>{I153>{ii1k1<7?tH244?xhek00;6>uG3758ykddi3:1?vF<669~jgee2908wE=97:mffb=83;pD>88;|lag`<728qC?;94}o`g4?6=:rB8::5rncf2>5<5sA9=;6sabe094?7|@:<<7p`md583>7}O;?=0qclk5;296~N4>>1vboj9:182M51?2weni950;3xL6003tdih54?:0yK73151zJ022=zfknj6=4>{I153>{ijmn1<76tH244?xhelo0;6?uG3758ykdb83:1>vF<669~jgc6290:wE=97:mf`5=838pD>88;|laa1<72;qC?;94}o`f1?6=9rB8::5rncg4>5<5sA9=;6sabd:94?4|@:<<7p`me883>4}O;?=0qclja;295~N4>>1vbokm:182M51?2wenhm50;3xL6003tdiii4?:3yK73152zJ022=zfkom6=4<{I153>{ijo:1<7?tH244?xhen80;688;|lab=<72;qC?;94}o`e=?6=9rB8::5rncda>5<6sA9=;6sa131;>5<4sA9=;6sa131:>5<6sA9=;6sa131b>5<6sA9=;6sa131a>5<6sA9=;6sa131`>5<6sA9=;6sa131g>5<4sA9=;6sa131f>5<6;rB8::5rn000b?6=;rB8::5rn0074?6=>rB8::5rn0077?6=9rB8::5rn0070?6=;rB8::5rn0071?6=;rB8::5rn0072?6==rB8::5rn007{|l11f<728qvb?9>:182xh5190;6>urn3:b>5<6std9mk4?:2y~j7g>290:wp`=cd83>6}zf;i36=4>{|l1aa<72:qvb?k8:182xh48j0;6>urn225>5<6std8>o4?:2y~j642290:wp`<4`83>6}zf:>?6=4>{|l02<<72:qvb>8<:182xh4010;6>urn2:1>5<6std<9;4?:0y~j20e290:wp`88183>4}zf>3>6=4>{|l4f1<72:qvb:oj:182xh0l:0;6>urn6ag>5<6std6}zf1:i6=4>{|l;75<72:qvb55<6std3:k4?:0y~j=>3290:wp`79883>4}zf1kn6=4>{|l;ga<72:qvb5m8:182xh?mj0;6>urn9g5>5<6stdjn<4?:0y~jde1290:wp`ndc83>4}zfhl;6=4>{|la40<728qvbo?n:182xhe:o0;65<4stdi854?:0y~jf4?290:wp`l4683>6}zfj>:6=4>{|l`23<72:qvbn8?:182xhd0<0;6>urnb5e>5<6stdhm94?:2y~jf?b290:wp`lc283>6}zfjho6=4>{|l`a7<72:qvbnjl:182xhc880;6>urnbda>5<6stdo>=4?:2y~ja7f290:wp`k3g83>6}zfm926=4>{|lg1`<72:qvbi;7:182xhc?m0;6>urne54>5<6stdo5n4?:2y~ja?1290:wp`kbc83>6}zfmh>6=4>{|lg`d<72:qvbij;:182xhcn00;6>urned0>5<6stdn=54?:2y~j`75290:wp`j3683>6}zfl9:6=4>{|lf13<72:qvbh;?:182xhb?<0;6>urnd4e>5<6stdn594?:2y~j`>b290:wp`jb283>6}zflko6=4>{|lf`7<72:qvbhml:182xhbm>0;65<4stdm<=4?:0y~jc422908wp`i1g83>4}zfo>?6=4<{|le7`<728qvbk8<:180xha=m0;65<4stdm;n4?:0y~jcg62908wp`i9c83>4}zfoi;6=4<{|lefd<728qvbkji:180xhal00;65<4stdmj54?:0y~j466l3:1?vsa1134>5<6std:?=750;3xyk749l0;6:7:182xh6;?=1<7=t}o3024<728qvb<=76;297~{i9:2;6=4>{|l27d3=839pqc?<9g83>4}zf89h87>53zm56db290:wp`>3d194?5|ug;8hi4?:0y~j427:3:1?vsa12d`>5<6std:8??50;1xyk739k0;6752F__\XZ5R@>25?69j2;96_O31083:d=6>3K7>>47>028534f3;;1M1<>:0<24>462@D[YY4N<3395;c<::0JSK]M<3195;733;91EC^ZT;C\BVD;::0:2<5<1:62?0><=3N787>1c:79@drfI5>1<3745;GP81<76l1>6D@_UU8G81<7688097GAPTV9@drfI5>1<3h45;MVPUSS2X6?6=0>4:79KPRW]]0Yi~{ct=694;><=3[787>1d:79V`urd}6?6=0i;48\eab789:787>11:42?2><03N7;7>1c::9@drfI5=1<3k48;KMTPR=L5=1<3?=;98JJUSS2MkmL28:1>=Zly~`y28:137;2=1>G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:0=F48=596O319<6?D:617>0M1?15:C?65803H69=7?15:C?64823H69>394A=00>=823H69?3:4A=0=0>G;;7>0M1:14:C?1;29<2K753:4AMG31>GKM9G>7LBJ0Ra8EIC7Wds<=>?d:COA5Yj}q:;<=?j;@NF4Zkrp9:;<;5NNE0A53=FFM8I>95NNE15?DHC;K;=7L@K3C05?DHC;K9?7L@K479BJA2E9?1JBI:M279BJA2E;?1JBI:M479BJA3E:?1JBI;M379BJA3E33HYyjn5NSsd\jjr789;:i6O\rg]mkq6788Uba}QNSsd\jjr789;:56OPFR@?558>3HUM_O2>1?;8EZ@TJ5;9245N_GQA845912KTJ^L315<:?DYA[K6:9374A^DPF971601JSK]M<05==>GXNZH7=506;@]EWG:61720MRH\B=3==>GXNZH7>=06;@]EWG:59730MRH\B=01:g=FWOYI0?=51?;8EZ@TJ588255N_GQA878?3HUM_O2<>99B[CUE4=437LQISC>6:==FWOYI0;07;@]EWG:0611JSK]M<9<;?DYA[K62295Ncmp22>Gdd{UBB[Qfmq]BgitXAG\:86LNCUg8FDESW`g{SOOLT078FDESz=1II_\:;CGQV502HN^_|i6:@FVWtum2HN^_|}_omw4566:;1II_\}r^llp5679Vcf|RLJRSpq[kis89::=55MUR]JJCI63J>0O<8B3:A;I1=DIJ^97NK<;BNH=>EKC;RTEBL8;BNH[CUE?2IGGRG@B29@HW56Mce`p2[dhc89:;Sdc_Bnfew7Xign;<=>>3:Aoo0=DdbOe=55LljGm[LHQW`g{SNbdEo]JJS703Jf`SK]B159@hnYNF_Uba}QLlj]JJS763M>0H1>14:F?5;20H1:14:F?1;2B;?7?0HYOLK028@QGDCVcf|RJ[ABI23>BSIJAyj:5KT@AHvw`99GeqgF48437Io{a@>1:==Ci}kJ0>0n;EcweD:329437Io{a@>7:==Ci}kJ0807;EcweD:16h1OmyoN<683:==Ci}kJ0:0>;D08AV3CT4;4>7H]33?58AV:3294>7H]34?c8AVYffm:;<=?n;DQ\ekb789:Te`~PER]bja6789;j7H]Paof34576i2OXSl`k0122[lkwWLYTmcj?0132`>CTWjg{Sh?01214>CTWjg{Sh?012\mhvXMZUha}Qjq12344bCTWfx;<=>Pilr\AVYhz9:;<<;4EUC@Oa=B\HI@Sl`k012365=B\HI@Sl`k0123[lkwWL^JOFQnne23457682O_MNEPclr\at67898=7HZNCJ]`iuYby9:;?0136?@YNGK80I=4Es07?CEKC;1MH95IDBG1?C@33OLo=:5IFe3.Mk>9:DE`4+Nf8;37KHk1,Km6<=ANm;&Ec<>8:DE`4+Nf:30JKj>-Hl05<=ANm;&Ec:>8:DE`4+Nf-Hl\mhv33OLo>:5IFe0.Mk>9:DE`7+Nf8;37KHk2,Km6<=ANm8&Ec<>8:DE`7+Nf:30JKj=-Hl05==ANm8&Ec:6;GDg6(Oi<820JKj=-Hl6=>@Al;'Bb8?7;GDg6(Oi>01MJi<"Io42=>@Al;'Bb:?7;GDg6(Oi0k1MJi<"Io]jiu2@Al:'Bb??7;GDg7(Oi;01MJi="Io12<>@Al:'Bb974FGf0)Lh3901MJi="Io72<>@Al:'Bb;l4FGf0)LhXadz?7KHk459EBa333OLo:95IFe54?C@c?$Ce=95IFe5.MkYneyUMJi9"Io37?C@c0>1MJi6"Io:8BCb?%@d:56HId9/Jj47>3OLo4 Ga20:8BCb?%@d8n6HId9/JjZojx:1M_O=4FRO`?CUJW9'{mkatb9EWHY6%ykyiczl;GQN[7+wi{oex<5H3:EM@4=N:2C;>6G>2:K16>O4:2C?>6G:2:K56>O0<2CJO^;4I@AP50=NIJY996GNCR1;?LGD[Vcf|95FNHV0?LHQ=2CDMNZk;HMBGQYffm:;<=?1e9JKDESWds<=>?e:KLEFRXe|r;<=>>f:KLEFRXe|r;<=>>1g9JKDESWds<=>?20g8MJGD\Vg~t=>?0232?LIFK]Ufyu>?01]jiue?159JKGK33@EI_95FOBQ6?LID[8?0EBM\249JKFU4n2CDO^Qlmq]fu5678;>0EBM\_bos[`w789:Te`~PINAP[fkwWl{;<=>>f:KLGVYdeyUn}=>?1368MJETWjg{Sh?013\mhvXAFIXSnc_ds34576n2CDO^Qlmq]fu567:;>0EBM\_bos[`w7898Te`~PINAP[fkwWl{;<=<>f:KLGVYdeyUn}=>?3368MJETWjg{Sh?011\mhvXAFIXSnc_ds34556n2CDO^Qlmq]fu567<;>0EBM\_bos[`w789>Te`~PINAP[fkwWl{;<=:>f:KLGVYdeyUn}=>?5368MJETWjg{Sh?017\mhvXAFIXSnc_ds34536n2CDO^Qlmq]fu567>;>0EBM\_bos[`w789f:KLGVYdeyUn}=>?7368MJETWjg{Sh?015\mhvXAFIXSnc_ds3451602CDO^Qfmq68MJJ7k2CD@=Qbuy2345b6G@PVAP[lkwW@E[[N]>5:KLV@Wc3@EYI\Qnne2345473@EYI\Qnne2345YneyUBC_K^_`lg45679m1BC_K^_lw{4567m2CD^H_Pmtz34566n2CD^H_Pmtz345669o1BC_K^_lw{4567:8o0EB\JQ^ov|5678:l0EB\JQ^ov|5678:;m7DA]EP]nq}6789>:i6G@RDS\ip~789:>=<5FOSGR[hs89:;Sdc6:KLV@Wu=2CD^Z>k;HMQS5Yffm:;<=?1e9JKWQ7Wds<=>?e:KLVR6Xe|r;<=>>f:KLVR6Xe|r;<=>>1g9JKWQ7Wds<=>?20g8MJTP8Vg~t=>?0232?LIU_9Ufyu>?01]jiubPnnv34576k2CD^Z>Pos23457b3@EY[=Q`r1234ZojxVCD^Z>Pos23457d3@EY[=Q`r12354c=5FOSU2[dhc89:;Sdc_HMQS4Yffm:;<=?l;HMQS4Yhz9:;<>259JKWcflpUecy>?00]jiuYNG[ojhtQaou23447b3@EYiljv_np34565:2CD^hoky^mq4567W`g{SDA]e`fz[jt789::?6G@Sd9JKVYdeyUn}=>?0d9JKVYdeyUn}=>?1d9JKVYdeyUn}=>?2d9JKVYdeyUn}=>?3d9JKVYdeyUn}=>?4d9JKVYdeyUn}=>?5d9JKVYdeyUn}=>?6d9JKVYdeyUei=>?059JKP6d3@E^OH]9Ufyu>?0132a>OH]9Ufyu>?0102`>OH]9Ufyu>?01124>OH]9Ufyu>?01]jiu27DAZDR68MJQB;2Ce<>5Fn018Mk443@d8?6Ga429Jj0ci5CPL]@KPHSM[U:h6B_M^ALQKRBZV837A^B_EDE[<=KXDUOJKQ>a:NSIZBANV;;m6B_M^FEBZ76i2F[ARJIF^31e>JWEVNMJR?JWEVNMJR?8a:NSIZBANV;3m6B_M^FEBZ7>12F[ARJIF^0b?IVJWMLMS?>n;MRN[A@AW;;j7A^B_EDE[74f3EZFSIHI_31b?IVJWMLMS?:n;MRN[A@AW;?j7A^B_EDE[70f3EZFSIHI_35b?IVJWMLMS?6n;MRN[A@AW;327A^B_EDE[6gJWEVNMJR86;MRN[A@AW>30@]CPDGD\<<=KXDUOJKQ6a:NSIZ^HZ;U:96B`ae3g?Iifl8Uecy>?0003?Iifl8Uecy>?00]jiuYKghn:Sca{012251=JPZOo7@okd^]b`a6789o0Aua}_Sgpqir;97o0Aua}_Sgpqir;:7o0Aua}_Sgpqir;;7o0Aua}_Sgpqir;<7o0Aua}_Sgpqir;=7o0Aua}_Sgpqir;>7o0Aua}_Sgpqir;?780B=<4N008J740BB\84:LLV=7IU:K;>7B\=B318KW523FX8N<;4OS1A66=HZ=90C_;<;NP57>IU?:1D^5:4Ooafg>IiklUjbi>?013f?JhdmVkeh=>?0^kntZIiklUjbi>?013a?JhdmVey<=>?1b9LjfcXg{:;<=Qfmq]LjfcXg{:;<=?;;Nww4`=H}}:Te`~POtv351=H}};n7B{{1^kntZIr|8;?7B{{2d9Lqq4XadzTCxz=159Lqq5b3F?Rgbp^Mvp6733F8h5@uu6\mhvXG|~?=95@uu7f?Jss=Vcf|RAzt437?Jss>l1Dyy8Pilr\Kpr19=1Dyy9;;Nww<`=H}}2Te`~POtv;54=Wk2Z%>=?<1130[I2W33X6;295^<0<7?T:56=1Z0>09;P>7>5833X6?295^<4<7?T:16?1Z0:4?>59R828f3XU:Sb|?0122e>WX9Vey<=>?_hos[TY6Wfx;<=>>5:PB85813[K7==07;SC?54<76?1YM1?>>49QE979=2XJ0?0:;SC?7;3TF4?4>7_O37?78VD:?6<1YM1713:PBI0=UIDIX==5]ALAP[JpbzekrCkh=6:PBIFUXGoy`lw@fg]jiuYUIDIXSBxjrmczKc`6n2XJAN]POwgqhdHf;>0^LCLS^MuawjfqFdTe`~PR@O@WZIqm{fjuB`>d:PBIFUXign;<=>=0:PBIFUXign;<=>Pilr\VDKD[Vkeh=>?00f8VDKD[Vkeh=>?1328VDKD[Vkeh=>?1^kntZTFEJYTmcj?013255=UIDIXSdc_SCNGV713[KFHD]8;SCN@LU6n2XJAIG\1^ov|56788:0^LCKIR3\ip~789::=<5]ALFJW4Yj}q:;<=?>109QEHBN[8Ufyu>?010255=UIDNB_1:PBIAOT9Vg~t=>?04325>TFEMCX=Rczx123437682XJAIG\1^ov|5678>;87_OBDHQ2[hs89:;Sdc7:PBIAOT:o1YM@JFS3]bja67898?7_OBDHQ1[dhc89:;Sdc_SCN@LU5Whdo<=>?1g9QEHBN[;Ujbi>?0007?WGJL@Y9Sl`k0122[lkwW[KFHD]=_`lg45669l1YM@JFS3]lv5678;80^LCKIR0\kw6789Uba}Q]ALFJW7Yhz9:;<4R@OQadb~Whdo<=>?279QEHTbimsTmcj?012\mhvXZHGYiljv_`lg456798:0^LC]e`fz[dhc89::>;5]ALPfeaXign;<=?Pilr\VDKUmhnrSl`k0122546=1028VDKUmhnrSl`k012063=UIDXnmiwPaof3455XadzT^LC]e`fz[dhc89:8=<>4R@OQadb~Whdo<=>;279QEHTbimsTmcj?016\mhvXZHGYiljv_`lg456398:0^LC]e`fz[dhc89:>>;5]ALPfeaXign;<=;Pilr\VDKUmhnrSl`k0126546>1008VDKUmhnrS`{w012364763[KF^hoky^ov|5678:;?7_OBRdcg}Zkrp9:;?0107?WGJZlkouRa}0123[lkwW[KF^hoky^mq45679<1YM^KCd:PBW@JXe|r;<=>j;SCPAIYj}q:;<=?i;SCPAIYj}q:;<=?>f:PBW@JXe|r;<=>=1d9QEVCKWds<=>?3g9QEVCKWds<=>?30d8VDUBDVg~t=>?053f?WGTMEUfyu>?01725>TF[LFTaxv?012\mhvd3[KTTFW9Ufyu>?0132a>TFW9Ufyu>?0102`>TFW9Ufyu>?01124>TFW9Ufyu>?01]jiueTFW8Ufyu>?013f?WGX9Vg~t=>?003f?WGX9Vg~t=>?033g?WGX9Vg~t=>?0233?WGX9Vg~t=>?0^kntf=UIV8Taxv?012g?WGX:Vg~t=>?00g8VDY5Wds<=>?10g8VDY5Wds<=>?20f8VDY5Wds<=>?3028VDY5Wds<=>?_hosg>TFW:Ufyu>?01f8VDY4Wds<=>?1d9QEZ5Xe|r;<=>>1d9QEZ5Xe|r;<=>=1e9QEZ5Xe|r;<=><119QEZ5Xe|r;<=>Pilr`?WGX?0e9QEZ2Xe|r;<=>>e:PB[1Yj}q:;<=?>e:PB[1Yj}q:;<=<>d:PB[1Yj}q:;<==>0:PB[1Yj}q:;<=Qfmqa8VDY2Wds<=>?d:PB[0Yj}q:;<=?j;SC\1Zkrp9:;<??;SC\1Zkrp9:;k;SC\2Zkrp9:;<4R@]5[hs89:;Sdcc:PB[2Yj}q:;<=j4R@]4[hs89:;=h5]A^5\ip~789::=h5]A^5\ip~789:9=i5]A^5\ip~789:8==5]A^5\ip~789:Te`~l;SC\5]ERa8V@UXadzT^H]>5:PFWw`23[OX~j4RDQqvZhh|9:;=?>4RDQqvZhh|9:;=Rgbp^PFWwtXff~;<=?>5:PLIFUc3[EFO^Qnne2345473[EFO^Qnne2345YneyUYC@M\_`lg456798:0^BCLS^antZcv89:;>;5]OLAP[fkwWl{;<=>Pilr\VJKD[Vif|Rk~01235461Yiljv0s48V`gcq8=0^hoky0p5?Wcflp8<7_kndx0qe>TbimsTEBL\9:PfeaXZHGo7_kndx]bja67898;7_kndx]bja6789Uba}Q]e`fz[dhc89:;=<>4Rdcg}ZojxVXnmiw>c:PfeaXg{:;<=?j;Sgb`|Yhz9:;374Rdd@jq:4601YikMat=6==>TbnJd0806;SgeGkr;>7h0^hhLnu>4>58>3[omOcz37?58V``Cggo:>6\jfEmmaZejxVoz<=>?2`9QacBhflUha}Qjq1234ZojxVXnjIaae^antZcv89:;=k5]egFlj`Yig}:;<<<;;Sge@jhbWge<=>>_hos[WcaLfdnSca{012253=UmoXJ_;5]egPfu446:Pfwpjs12Xnxb{<1<:?Wct}e~7=374Rdqvhq:5601Yi~{ct=1=f>Tb{|f094?>89Qavsk|5>556\jstnw808>3[oxyaz36?`8V`urd}6<6=06;Sgpqir;?78=7_k|umv\4Zhh|9:;?0135?VETAJY:>6]LSHAP[lkwWZIXEN]>b:QJCGSTW@DMCl5\IF]AQVOCPk1XEJQMURLBI@2<[jfy86]lsuc8WkbUIDIX[_?=;RlgVDKD[^XTmcj?0121e>Uil[KFO^Y]_`lg4567W`g{S^`kR@O@WRTXign;<=>>139PjaTFEJY\^Road12357g<[gnYM@M\WS]bja6788Uba}Q\nePBIFUPZVkeh=>?1036?VhcZHGH_Z\Pclr\at67899;7^`kR@O@WRTXkdzTi|>?01]jiuYTfmXJAN]XR^antZcv89:;=<;4SofQEHET_[Uha}Qjq123566<[gnYM@M\WS]`iuYby9:;=Rgbp^Qm`WGJKZ]YSnc_ds345769<1Xbi\NMBQTVZejxVoz<=>=319PjaTFEJY\^Rmbp^gr4565W`g{S^`kR@O@WRTXkdzTi|>?03321>Uil[KFO^Y]_bos[`w78998<6]adSCNGVQUWjg{Sh?011\mhvX[gnYM@M\WS]`iuYby9:;??5228WkbUIDIX[_Qlmq]fu567=Vcf|R]adSCNGVQUWjg{Sh?01725d=TfmXJAN]XR^kntZUil[KFO^Y]1038WkbUIDIX[_Q`r12347><[gnYM@M\WS]lv5678Vcf|R]adSCNGVQUWfx;<=>>109PjaTFEJY\^Ra}01226==TfmXJAN]XR^mq4566W`g{S^`kR@O@WRTXg{:;<1:Qm`WGJKZ]YSb|?0101<>Uil[KFO^Y]_np3454XadzT_cj]ALAPSWYhz9:;><:4Srgw0>R^XL20XdcjotvB56=SadodyyOPclr\at67898h7YgbenwwEZejxVoz<=>?_hos[QojmfMRmbp^gr456798:0XdcjotvB[kis89::>;5[ilglqqGXff~;<=?Pilr\Plkbg|~JSca{01225==SadodyyLm;UknajssJYxn==5[ilglqqDXign;<=>=6:Vji`ir|KUjbi>?01]jiuYSadodyyLPaof34566;2\HO45YIDU\P\VB;2\[Mn5YP@]jiuYQXH;>7[^Nrg78RUGuzm1]\L|}_omw4566:91]\L|}_omw4566W`g{S[^Nrs]mkq6788;j7[gkR@O@WRTc3_co^LCLSVP50n7?3_co^LCLSVP50nYdeyUn}=>?0248RlbUIDIX[_8;k^antZcv89:;Sdc_WkgVDKD[^X=8fQlmq]fu56788;37[gkR@O@WRT1>_hos[SocZHGH_Z\94j]`iuYby9:;=<?0^kntZPnl[KFO^Y]_bos[`w789::=85YiePBIFUPZVif|Rk~012275=QamXJAN]XR^antZcv89::Sdc_WkgVDKD[^XTo`~Pep234476=2\bh_OBCRUQ[fkwWl{;<=<<0:Tj`WGJKZ]YSnc_ds3454XadzTZdj]ALAPSWYdeyUn}=>?2036?SocZHGH_Z\Pclr\at678:9;7[gkR@O@WRTXkdzTi|>?02]jiuYQamXJAN]XR^antZcv89:8=<;4VhfQEHET_[Uha}Qjq123066<^`nYM@M\WS]`iuYby9:;8Rgbp^Tj`WGJKZ]YSnc_ds345269<1]ei\NMBQTVZejxVoz<=>:319UmaTFEJY\^Rmbp^gr4562W`g{S[gkR@O@WRTXkdzTi|>?04321>Pnl[KFO^Y]_bos[`w789<8<6XfdSCNGVQUWjg{Sh?014\mhvX^`nYM@M\WS]`iuYby9:;:?8228RlbUIDIX[_Qlmq]fu5670Vcf|RXfdSCNGVQUWjg{Sh?01:250=QamXJAN]XR^antZcv89:2?=5YiePBIFUPZVif|Rk~012:[lkwW_co^LCLSVP\ghvXmx:;<4?>5:Tj`WGJKZ]YSnc_ds3446482\bh_OBCRUQ[fkwWl{;<<>Pilr\RlbUIDIX[_Qlmq]fu56688;>7[gkR@O@WRTXkdzTi|>?1013?SocZHGH_Z\Pclr\at6798Uba}QYiePBIFUPZVif|Rk~013254g<^`nYM@M\WS]jiuYQamXJAN]XR032?SocZHGH_Z\Pos2345753_co^LCLSVP\kw6789;:>6XfdSCNGVQUWfx;<=>=139UmaTFEJY\^Ra}0123743<^`nYM@M\WS]lv5678Vcf|0:ZPPZTSDVZYC]K]TX58\VRX^JI=7Ujm_Hf24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf<1Sc1>16:Zl8586>2Rd0=0=6:Zl858412Rd0=0Pilr0?]us12RxxJC`ddna?Zgcl9:;<1>1b:]b`a67896:2o5Paef3456;:7h0Sljk0123868c3Vkoh=>?0=694;d?<5Yffm:;<=Qfmq]\ekb789::46Qnne2344713Vkeh=>?1^kntZYffm:;<?20:8[dhc89:8=;5Paof3455XadzTSl`k01205==Xign;<=:>6:]bja678=Uba}QPaof3452602Ujbi>?0435?Zgil9:;9Rgbp^]bja678<;37Rczx12342=Xg{:;<=?;;^mq4567W`g{SRa}012352=Xg{:;<?5nne2345Yhz9:;?129`jq1ohjd>0ebl|9:lB@jssDL::46`NDnwwH@6XadzTbLJ`uuNF44773gKOcxzCE1]lv5678;<0bLJ`uuNF4Ziu89:;Sdc_oCGkprKM9Ud~=>?00;8jDBh}}FN=<64n@FlqqJB9Vcf|R`NDnwwH@76;2dJ_:5aAR]EWG1hFg|~DI85aBDPQ=>hEM[XTJ^L6;o@FVWYNGKn0bOK]R^cm`5678;:0bOK]R^cm`5678Vcf|R`MESP\ekb789::==5aBDPQ[lkwWgHN^_?;;oABWf=iKHYTbbz?0132a>hDIZUecy>?00]jiuYiKHYTbbz?01322>hC\HI@m6`KT@AH[CUEi2dOXLMD_HMAa>hC\HI@Sl`k012367=iL]KHGRoad1234ZojxVdOXLMD_`lg45679?1eHd`CEe9m@lhKMVey<=>?219m@lhKMVey<=>?_hos[kBnfEOTc>?0135?kBnfFO:>6`KioMF[lkwWgNbbBK>7:lGkprHMo1eHb{{OD]bja67898?7cJ`uuMF[dhc89:;Sdc_oFlqqIBWhdo<=>?1g9m@jssGLUecy>?0007?kBh}}ENSca{0122[lkwWgNdyyAJ_omw45669l1eHb{{OD]lv5678;80bIaztNG\kw6789Uba}QaDnwwK@Yhz9:;<<:4nMFP<>hKLZUM_O64nMFP[LIEk2dGH^Qnne23457b3gFO_Road1234ZojxVdGH^Qnne23457d3gFO_Road12354c?013`?kJC[Vey<=>?_hos[kJC[Vey<=>?1c9mHAUXg{:;<?00g8jIQBWhdo<=>?_hos[kJPMVkeh=>?00g8jIQBW`g{ScBXE018jJCe3gENSl`k01235f=iGLUjbi>?01]jiuYiGLUjbi>?013`?kIBW`g{ScAJ189mKscudhs;=<5aOwgqhd7Whdo<=>?299mKscudhs;Sl`k0123[lkwWgE}ibny1]bja6789;27cAyesnb}41hUGD]NSl`k012367=iZFG\IRoad1234ZojxVdYC@YJ_`lg45679880b_ABWD]jiuYiZFG\I<:4nTFP<>hRLZUM_O64nTFP[LIEk2d^H^Qnne23457b3g_O_Road1234ZojxVd^H^Qnne23457d3g_O_R``t12354c?00a8jSKFWge<=>>1d9mRHGXff~;<=?Pilr\jSKFWge<=>>159mRUG?3g\[MRH\B99mRUGXAFHh7cX_A^cm`56788o0b[^N_`lg4567W`g{ScX_A^cm`56788o0b[^N_hos[kPWI890bZK8;oUF[CUEn2ddx=>?0^cm`5678;>0bbz?012\ekb789:Te`~Pnnv3456Xign;<=>>7:rlhZekc01{caQlljgm<>vhdVyh`64pnn\wvcs02zd~yQlljc8tjtsWjf`ic74pnpw[agsi11{czPoqc5?uiu|V{i7}a}t^pfwpjs12zd~yQ|cmp:?uiu|Vyxiy?4r29qeh>uh}{inSagaeo`1?pv>3kgyhQlio;8rdjrmVe{n55wc8734}jb3qi29>8wlqa70(3zHIz:?n:4@Az017762289?:;>m:0`450}i;=k1=6`<4c85?!53139??6s\948014<58<0:?9890c82f2713m;o=7>51;3xW<0=;<;1>=;5126525d=9k=:96xI2c:94?7=93:p_4853439653=9:>=:=l51c521>"49>0:m95+21497ccvF>a29'650=;on0qA=;7;3x 6`?2<1v(>>l:0f2?_>>2;q26;3:17d=72;29?j5cn3:17d=lc;29?j5d?3:17b=me;29?l5?j3:17b=mc;29?j5?n3:17d=l4;29?l5><3:17b=73;29?j5?03:17b=md;29?l5b83:17b=ld;29?j5e<3:17b=8b;29?j50n3:17d=n4;29?l?72900e4?50;9l7f3=831bh84?::m0=2<722e8m84?::m0`2<722e85l4?::m0=f<722c8i84?::m0e:l142<632e9>54?:%03e:l142<432e9>;4?:%03e:l142<232e9>94?:%03e:l142<032e9>?4?:%03e:l142<>32e9>=4?:%03e:l1425$32;>3`o1l3:1(?>7:7d8j7602;10e;m50;&14=<1n2d9<:4<;:k5e?6=,;:36;h4n324>1=h58>0>76g98;29 76?2?l0b?>8:798m31=83.9<549f:l142<032c=:7>5$32;>3`o1<3:1(?>7:7d8j7602h10e;=50;&14=<1n2d9<:4m;:k56?6=,;:36;h4n324>f=h58>0o76g88;29 76?2?l0b?>8:d98m21=83.9<549f:l1425$32;>3`4;h56>5<#:921:k5a215954=>1<7*=0985b>h58>0:>65f7283>!4703o0:3:1(?>7:7d8j76028>07d9>:18'65>=>o1e>=951498m3d=83.9<549f:l142<6>21i?:=50;3a>60=<6?ua3g;96f=#;o2196s+31a9=2=]000:wn4rZ3gf>4}e2tc3j7>5;n36a?6=3f8no7>5;n0e7?6=3`=i6=44i2a7>5<5<5<o413:1(?>7:2c8j7602810e>650;&14=<4i2d9<:4=;:k02?6=,;:36>o4n324>6=1<7*=0980e>h58>0?76g<3;29 76?2:k0b?>8:498m64=83.9<545$32;>6go5n3:1(?>7:2c8j7602010e?k50;&14=<4i2d9<:4n;:k1`?6=,;:36>o4n324>g=h58>0h76g=b;29 76?2:k0b?>8:e98m15=83.9<547>5$32;>6g5<6290;w)=?c;122>N4<=1d>=:50;9~f6d?290>6?49{M172?7|@8k87pB<4682!5a03;0q)=?c;3be>of83:17b5;h:b>5<k1<7<50;2x 66d28837E=;4:k25<<722e:i=4?::p=g<72;qU5o527;:b?xu013:1>vP89:?4e?7612wx=io50;0x92<>;27X58o1vb49je5<722e950z&04f08j95ri9g94?=n1:0;66g7a;29?lg72900c?>i:188yg53=3:1=7>50z&04f<6911C?9:4o034>5<50;9~w{t1j0;6?uQ9b9>=a<6901ve19~w4be2909w0=;5;323>;02090q~?kc;296~;02;:m7S4=68b4>{zj88=6=4>1;1956}#;9i1=?84o001>5<>o5j3:17dh4?::k1b?6=3`9;6=44i2394?=n;;0;66g<3;29?l532900e>850;9j7=<722c857>5;h1a>5<<4?::m2a5<722wi=?:50;;94?6|,::h68j4H267?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17d?>f;29?l7583:17d?=1;29?j7b83:17pl>2483>6<729q/?=m54e9K7125<?5213795`696=4={_61?875;3;:m6s|4283>7}Y<:16=?=510`8yv4e2909wSn4?:3y]6f=:9;91=5<5sW8o70?=3;32a>{t:l0;6?uQ2d9>575=98l0q~7}Y;;16=?:510`8yv542909wS=<;<310?76k2wx?94?:3y]71=:9;>1=5<5sW9=70?=4;32a>{t;10;6?uQ399>572=98l0q~=6:181[5>34;987?=0:p7g<72;qU?o52136957752z?266<6m916=?;510c8yv75<3:1>v3>2582a5=:9;?1=5<96=44i067>5<=6=44i06;>5<j6=44i06`>5<n6=44i073>5<5<>290;w)=?c;3f`>N4<=1b=50;9j577=831d=h>50;9~f42729086=4?{%13g?7b;2B8895f10c94?=n98h1<75`1d294?=zj8>:6=4<:183!57k3;n?6F<459j54g=831b=e19~w7cd2909wS1`9~w4232909wS?;4:?27c<69j1v<:9:181[73>27:?k4>1e9~w42?2909wS?;8:?27c<69l1v<:n:181[73i27:?k4>219~w42d2909wS?;c:?27c<69o1v<:j:181[73m27:?k4>209~w4372909wS?:0:?205<69h1v>?j:181[56m27:8=4>1c9~w45a2909w0?;6<80:=l5rs063>5<5s4;?<7?j0:?204<69k1vqo=;2;29`?5=nr.8?50;9j765=831b?>;50;9j761=831b?>750;9j76d=831i?>h50;;94?6|,::h6o69h0;66g>1c83>>o69j0;66g>1e83>>o69l0;66g>1g83>>o6:90;66g>2083>>i6m90;66sm35294?3=83:p(>>l:0g:?M53<2c:=l4?::k25g<722c:=n4?::k25a<722e:i=4?::a717=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{t;:o1<770={t;;=1<7{t;;h1<7{t;;l1<7{t;:91<770=;0;32e>{t;:=1<7{t;:h1<750;0x962728o;70=;1;32f>{zj8o96=4::183!57k3?<7E=;4:k25d<722c:=o4?::k25f<722c:=i4?::m2a5<722wi>?m50;194?6|,::h69h4H267?l76i3:17d?>b;29?j7b83:17pl=2d83>1<729q/?=m5509K7125<5<53;294~"48j0:i>5G3568m47f2900e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a752=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd5io0;6>4?:1y'75e=9l90D>:;;h32e?6=3`;:n7>5;n3f4?6=3th9ii4?:483>5}#;9i19;5G3568m47f2900e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a777=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd4::0;684?:1y'75e===1C?9:4i03b>5<5<5<55;294~"48j0>;6F<459j54g=831b=<7:186>5<7s-9;o7;9;I170>o69h0;66g>1c83>>o69j0;66g>1e83>>i6m90;66sm33c94?3=83:p(>>l:458L6233`;:m7>5;h32f?6=3`;:o7>5;h32`?6=3f;n<7>5;|`06f<72<0;6=u+31a913=O;=>0e50z&04f<2>2B8895f10c94?=n98h1<75f10a94?=n98n1<75`1d294?=zj:9;6=4::183!57k3?>7E=;4:k25d<722c:=o4?::k25f<722c:=i4?::m2a5<722wi?><50;794?6|,::h6884H267?l76i3:17d?>b;29?l76k3:17d?>d;29?j7b83:17pl<3583>0<729q/?=m5549K7125<5<N4<=1b=50;9~f65?290>6=4?{%13g?323A9?86g>1`83>>o69k0;66g>1b83>>o69m0;66a>e183>>{e;:k1<7;50;2x 66d2:;;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3f4?6=3th8?n4?:483>5}#;9i1995G3568m47f2900e5<2290;w)=?c;76?M53<2c:=l4?::k25g<722c:=n4?::k25a<722e:i=4?::a6cb=83?1<7>t$22`>02<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17b?j0;29?xd5no0;684?:1y'75e==>1C?9:4i03b>5<5<5<55;294~"48j0>;6F<459j54g=831b=><:186>5<7s-9;o7;9;I170>o69h0;66g>1c83>>o69j0;66g>1e83>>i6m90;66sm2`g94?3=83:p(>>l:4:8L6233`;:m7>5;h32f?6=3`;:o7>5;h32`?6=3f;n<7>5;|`206<72<0;6=u+31a912=O;=>0e50z&04f<2>2B8895f10c94?=n98h1<75f10a94?=n98n1<75`1d294?=zj8><6=4::183!57k3?=7E=;4:k25d<722c:=o4?::k25f<722c:=i4?::m2a5<722wi=9750;794?6|,::h68;4H267?l76i3:17d?>b;29?l76k3:17d?>d;29?j7b83:17pl>4c83>0<729q/?=m5579K7125<5<N4<=1b=50;9~f42a290>6=4?{%13g?323A9?86g>1`83>>o69k0;66g>1b83>>o69m0;66a>e183>>{e9<;1<7;50;2x 66d2<>0D>:;;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3f4?6=3th9?=4?:283>5}#;9i18i5G3568m47f2900e5<3290;w)=?c;3f2>N4<=1b=5<7s-9;o7:k;I170>o69h0;66g>1c83>>i6m90;66sm31:94?2=83:p(>>l:0g5?M53<2c:=l4?::k25g<722c:=n4?::m2a5<722wi>k950;194?6|,::h69j4H267?l76i3:17d?>b;29?j7b83:17pl<0`83>6<729q/?=m51d18L6233`;:m7>5;h32f?6=3f;n<7>5;|`1ef<72:0;6=u+31a90`=O;=>0ea2908wS6i;<1a4c73ty9in4?:2y]6`e<58?96?kl;<0f`?7b82wx>k=50;0xZ7`4349;87?j0:p3g<72:qU;o523c`9=f=:;kk1=;6=;0:?h5rs2g7>5<4sW9n863<25825d=:9l5222195`6<5:8?6lo4=3ce>4c73ty:>?4?:3y]574<588=6<<=;|q207<72;q6=8<51508942428o;7p}>4583>7}:9<81=9:4=066>4c73ty:8;4?:3y>504=9=<01<:8:0g3?xu6<10;6?u2140951><58>264b83>7}:9<81=9m4=06g>4c73ty:8h4?:3y>504=9=o01<:i:0g3?xu6=90;6?u21409506<58?:6lh510`897gd28o;7p}>e083>6}:9l81=h>4=3ce>47f349im7?>b:p6c>=838p1?h6:0g3?857>3;:m6s|2gc94?4|5;li6km50;0x97`c28o;70=?6;32g>{t:oo1<74c7349;47?>a:p756=838p1>>>:0g3?85703;:n6s|31094?4|5::86k:50;0x97`228o;70=?a;32e>{t:o<1<74c7349;m7?>b:p7g>=838p1>l7:32e?85ej3k;7p}1}:;kh1>=h4=313>47e3488>7?>a:?1ef<69h1v?ok:18184fm3;n<63=ab825g=z{:8;6=4={<110?76k278><4>e19~w6452909w0==4;32`>;4::0:i=5rs30e>5<5s488?7?>a:?175<6m91v?=>:181844;3;:n63=3382a5=z{:9n6=4={<176?54m278?i4>1c9~w6d>2909w0=m8;;`?85ei3;n<6s|30d94?5|5:8?61`9~w6622909w0=?6;3f4>;5n<0:=o5rs224>5<5s49;47?j0:?1b2<69k1v>>6:181857<3;:n63<0`82a5=z{:8>6=4={<176?55=278>;4>e19~w74c2909w0<=e;3f4>;5;;0:=o5rs204>5<5s49?>7==7:?06=<6m91v><6:181853:399563<2`82a5=z{:8i6=4={<176?55j278>n4>e19~w64c2909w0=;2;11`>;4:l0:i=5rs20e>5<5s49?>7==f:?075<6m91v>=6:181853:398563<3`82a5=z{:;n6=4={<366?56m278?i4>e19~w65e2908w0=;2;10f>;4;j0:i=522g7954g53z?007<4;816?><51d2897`028;j7p}<3283>7}:;=81?>=4=217>4c73ty8?84?:3y>714=;:?01>=9:0g3?xu4;>0;6?u23509761<5:936?m51d28943a28;i70<<0;32e>{t;k0;6kuQ3c9>570=;k16=h<510a8974d28;i70<=e;32e>;5mm0:=o522`g954b<58>861e9>51?=98n01<:m:03g?873l3;:h63>4g825a=:9<;1=6g;5:l0:=o522df954b<5;kn61c9>511=98h01<:6:03b?873j3;:o63>4e825f=:9=l1=47d3-8m<7=n;o0fb?739370?j2;32f>;5:l0:=n522df954g<5:8:64>1c9>513=98k01<:8:03`?87313;:o63>4c825g=:9=n1=47e34;>=7?>b:&1b5<4i2d9ik4=;|q02?6=mrT8:63>27802>;6m;0:=l522df954e<5:8:64>1`9>513=98i01<:8:03b?87313;:n63>4c825d=:9=n1=47f34;>=7?>a:&1b5<4i2d9ik4<;|q00?6=;rT8863>27800>;4::0:=i5+2g297d=i:ll186s|3283>6}Y;:16=?85329>775=98i0(?h?:2c8j7ca2<1v><50;1xZ64<588=6><4=200>47e3-8m<7=n;o0fb?039:70==3;32e>"5n908m6`=eg84?xu483:1ivP<0:?263<48278>;4>1b9>77>=98h01>47c349887?>d:?073<69m16?>6510f8965f28;o70="5n908m6`=eg8;?xu5n3:1ivP=f:?263<5n278>;4>1c9>77>=98k01>47e349887?>a:?073<69j16?>6510a8965f28;h70="5n908m6`=eg8:?xu5m3:1ivP=e:?263<5m278>;4>1e9>77>=98n01>47d349887?>c:?073<69k16?>6510c8965f28;i70="5n908m6`=eg8b?xu5l3:1ivP=d:?263<5l278>;4>1`9>77>=98i01>47f349887?>b:?073<69h16?>6510`8965f28;j70="5n908m6`=eg8a?xu5k3:14vP=c:?263<5k279j44>1e9>6cd=98n01?hk:03g?84an3;:o63<00825f=:;991=6g|V;h01<<9:3`897`>28;h70;5nm0:=n522gd954d<5:::658z\77>;6:?0??63=f8825d=::oh1=47e348mj7?>a:?044<69m16?==510f8 7`72:k0b?ki:d9~w14=832pR9<4=005>14<5;l261`9>6c`=98n01>>>:03b?857;3;:o6*=f180e>h5mo0m7p}>dc83>7}:;=21>=:4=2`;>d6rF88;4>{I3b7>{K;==1>v`"4n10>7p*<0b816<=]0009w44i:|X1a`<5sh0n6pg7f;29?l4cm3:17bl;:188m6g42900e>k;:188m6ee2900e?2900c>l?:188k77a290/>=6520g8j7602910c??k:18'65>=:8o0b?>8:098k74?290/>=6520g8j7602;10c?<8:18'65>=:8o0b?>8:298k741290/>=6520g8j7602=10c?<::18'65>=:8o0b?>8:498k743290/>=6520g8j7602?10c?<<:18'65>=:8o0b?>8:698k745290/>=6520g8j7602110c?<>:18'65>=:8o0b?>8:898k747290/>=6520g8j7602h10c??l:18'65>=:8o0b?>8:c98m6>=83.9<54<7:l142<732c8:7>5$32;>61o4;3:1(?>7:258j7602:10e><50;&14=<4?2d9<:4;;:k05?6=,;:36>94n324>0=h58>0=76g=f;29 76?2:=0b?>8:698m7c=83.9<54<7:l1425$32;>61o5j3:1(?>7:258j7602k10e9=50;&14=<4?2d9<:4l;:k76?6=,;:36>94n324>a=h58>0n76g;0;29 76?2:=0b?>8:g98m6`=83.9<54<7:l142<6821b?h4?:%03;:k0`?6=,;:36>94n324>44<3`9h6=4+21:972=i:9=1=>54i2794?"58108;6`=06820>=e:k:1<7??:0824~J44?:029a?72sE9?:7?tH0c0?x"48j09n=5f18f94?=n90o1<75f18d94?=n9h:1<75f1`394?=n9h81<75f9d83>>i6n:0;66a>f483>>i6n>0;66a>f883>>i6nk0;66a>fe83>>i6no0;66a=0083>>i58;0;66lkc;297?7=;rF88;4>{I3b7>{#;9i1ho5fa183>>i58o0;66g6b;29?g1=8391<7>t$22`>a?<@:>?7A=;6;3x 4402:l?7pg7a;29?lg72900c?>i:188yv?e2909wS7m;<59dc83>7}Yi916;7o?;|aa2<72:0:6>uC35495~N6i:1v(>>l:e`8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:N003<6s-;9;7=i4:j5;n03b?6=3ty2n7>52z\:f>;021k0q~?ka;296~;02;:m7S4=68b4>{zjo21<7=51;1xH62128qC=l=4}%13g?be3`k;6=44o32e>5<2680b1=za1k1<75fa183>>i58o0;66s|9c83>7}Y1k16;76n;|q2`d<72;q6;705<>o61m0;66g>9g83>>o6i;0;66akd;29?gc2290?6=4?{%13g?363A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66smdg83>6<729q/?=m54d9K7125<5<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::aa6<72?0;6=u+31a91d=O;=>0e5<7s-9;o7?j9:J001=n98k1<75f10`94?=n98i1<75f10f94?=h9l:1<75rsg:94?2|Vo201h;510a89a`=98k01h=510c8yvc0290?wSk8;47e34o:647d3tyoo7>54z\gg>;b=3;:m63kf;32f>;b93;:n6s|18f94?4|V83o70k<:03f?xu61o0;6?uQ18d89`5=98n0q~?n2;296~X6i;16i>4>1c9~wab=838pRij4=d695`64c734o?6a4<6m916i94>1b9~w`4=838p1h=51d289`2=98n0qpli7;29=?>=99q/?=m5f69j`f<722c:5i4?::k2e4<722cn;7>5;h3:b?6=3`;2i7>5;h3b4?6=3`l36=44od:94?=en?0;694?:1y'75e==81C?9:4i03b>5<5<5<3290;w)=?c;71?M53<2c:=l4?::k25g<722c:=n4?::m2a5<722wiin4?:783>5}#;9i19l5G3568m47f2900e1`83>>o69k0;66a>e183>>{en90;6>4?:1y'75e=5<5<n7E=;4:k25d<722c:=o4?::m2a5<722wij94?:583>5}#;9i19?5G3568m47f2900e1`9>af<69m16j94>1c9~w4g6290?wS?n1:?e2?76j27nm7?>c:?e0?76k2wxi:4?:6y]a2=:mh0:=o52eb825g=:ml0:=l52f1825g=:n;0:=l52f5825d=z{83m6=4<{_3:b>;bi3;:m63jc;32e>{t90o1<7a183>7}Y9h:01hm510a8yv`?2908wSh7;47e34l;6{tm00;6?u2f782a5=:n<0:=l5rsdc94?4|5lk1=h>4=g7954d4c734l>6b5<6m916j84>1d9~wc7=838p1k<51d289c3=98l0q~h<:1818`328o;70h::003?x{e99>1<7656;fx 66d28:?7d?6d;29?l7>n3:17dh7:188m4g62900eh950;9j`f<722c:m?4?::me=?6=3k;;?7>55;294~"48j0>96F<459j54g=831b=b;29?l76k3:17d?>d;29?j7b83:17plid;297?6=8r.8>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`244<72<0;6=u+31a913=O;=>0e50z&04f<6mh1C?9:4i03b>5<5<5<47d3ty:5k4?:2y]5<`<58:8647e3ty:m<4?:2y]5d7<58:8647c3tyn;7>53z\f3>;aj3;:n63id;32f>{tlj0;6>uQdb9>bg<69j16jk4>1c9~w4g52909wS?n2:?244<69h1vk750;0xZc?<58:964>e19>554=98k0q~hm:1818`e28o;70??2;32f>{tnj0;6?u2fe82a5=:9981=5<5s4lm650;0x946628o;70??2;32a>{zj8l?6=4;:183!57k3?87E=;4:k25d<722c:=o4?::k25f<722e:i=4?::a5c0=83>1<7>t$22`>06<@:>?7d?>a;29?l76j3:17d?>c;29?j7b83:17pl>f983>1<729q/?=m5539K7125<5<54;294~"48j0>>6F<459j54g=831b=50;9~f4`d290?6=4?{%13g?363A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66sm1gg94?2=83:p(>>l:408L6233`;:m7>5;h32f?6=3`;:o7>5;n3f4?6=3th9<=4?:583>5}#;9i19<5G3568m47f2900e50z&04f<3m2B8895f10c94?=n98h1<75`1d294?=z{mi1<7mt=ea965`<5l<1hn52f68gg>;68=0oo63>f5825f=:9o<1=47f34;mm7?>a:?2bf<69h16=kk510a8976728;i70{tm>0;6nu2e6814c=:m?0n;63i7;g4?877<3o<70?i4;32f>;6n?0:=o521g:954d<58lj61c9>656=98k01?><:03a?xua03:1nv3i8;03b>;b>3l370h8:g:894632o2013;:m63>f9825f=:9ok1=47d34;mi7?>a:?145<69j1v<7k:187[7>l27n:7?6d:?e3?7>l27:<94>9e9~w4?b2909wS?6e:?e3?7>m2wx=4h50;6xZ4?a34o=6<7i;4?a34;;87?6f:p5d6=838pR4g73ty:m<4?:2y]5d7<5o=1=l?4=027>4g63ty:m?4?:2y]5d4<5l<1=l<4=027>4g53ty2i7>54z\:a>;ck33i70k8:8`89c>=1k1ve19~w4`22909wS?i5:?2b3<6m91ve19~w4`>2909wS?i9:?2bd<6m91ve19~w4`c2909wS?id:?2b`<6m91ve19~w7652909wS52z?e;69:0:j>5rs0d6>5<5sW;m963>1282b0=z{8l<6=4={_3e3>;69:0:j:5rs0d:>5<5sW;m563>1282b<=z{8li6=4={_3ef>;69:0:jo5rs0dg>5<5sW;mh63>1282ba=z{8lm6=4={_3eb>;69:0:jk5rs322>5<5sW8;=63>128144=z{;:96=4={_036>;69:095<5sW;2h63>1282=a=z{83n6=4={_3:a>;69:0:5h5rs0;e>5<5sW;2j63>1282=c=z{8k;6=4={_3b4>;69:0:m=5rs0c2>5<5sW;j=63>1282e4=z{8k96=4={_3b6>;69:0:m?5r}c3fa?6==3819vB<4782M7f;2wG?995az&0b=<13-9m:7=84:&0a`k2d8i44>;%1e6?4a92d8il4?;|&04f<6k91b5o4?::k:g?6=3`k;6=44o32e>5<0<525<t$22`>ag<@:>?7A=;6;3x 4402:l?7pg7e;29?l?42900e5o50;9je5<722e9t$22`>47?3A9?86a>1683>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?ka;296~;4<<0:=:527;;0?xu6lk0;6?u27;03b>X58o1v0e7}Y:9l01:4=0g9~w7`62908wSd6<5::186>7<2sE9?:7?tH0c0?xJ4<>0jw)=i8;48 6`12:=?7)=je;c3?!5a838;j6*h4m10:7)=jd;;`?k5b13;0(>h=:3d2?k5bi3:0q)=?c;3`3>o>j3:17d7l:188md6=831d>=h50;9j6c7=831i;7>55;091~J450;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712=64<729q/?=m510:8L6233f;:;7>5;|q:f?6=:rT2n638:9c8yv?d2909wS7l;<59<`=z{8nj6=4={<171?76?27<64=4}r3gf?6=:r7<6?>i;_03b>{t9mi1<78m:180>5<7s-9;o7?j4:J001=n98k1<75f10`94?=h9l:1<75rs8`94?4|V0h01:46b:p=f<72;qU5n5237`954d52z\14c=:?38;j6s|2g394?5|V;l:7095a19>73d=98k0q~=9a;296~;020i01>8m:0g3?x{e?m0;6>4>:2yO710=9rB:m>5rL264>4}#;o21:6s+31a95f>5<7s-9;o7j6;I170>o?i3:17do?:188k76a2900q~7m:181[?e34=14l5rs0fb>5<5s4=1>=h4^32e?xu6lk0;6?uQa19>3?g73twi>9750;195?5|D:>=6h7:79~ 66d28i27do?:188k76a2900e4l50;9a3?6=;3:1N4<=1G?9851z&262<4n=1ve5o50;9je5<722e9X58o1vc`9je5<722e9:;;M172?7|,88<6>h;;|k;e?6=3`k;6=44o32e>5<i;|q2`g<72;qUm=527;c3?x{e::?1<7=51;1xH62128qC=l=4}M173?7|,:l36;5r$22`>4ee3`k;6=44o32e>5<2680b1=za1k1<75fa183>>i58o0;66s|9c83>7}Y1k16;76n;|q2`d<72;q6;7{I3b7>{K;==1=v*>i58o0;66g6b;29?g1=8391<7>t$22`>a?<@:>?7A=;6;3x 4402:l?7pg7a;29?lg72900c?>i:188yv?e2909wS7m;<59dc83>7}Yi916;7o?;|a7=`=8391>7:tL265>4}O9h90qA=;7;3x 6`?2?1v(>>l:0ca?lg72900c?>i:188m53;294~"48j0o56F<459j5;n03b?6=3th2j7>52;294~"48j0:>55G3568m47>2900c47>3ty:hl4?:3y>3?>f343m6o?50;9j60e=831b>8>50;9j604=831b>o<50;9j61e=831b>8:50;9a60g=83?1<7>t$22`>01<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17b?j0;29?xd5=10;694?:1y'75e==;1C?9:4i03b>5<5<0e3:1>vP=579>60?=9l:0q~8o510f8yv42k3:1>vP=5b9>60g=98k0q~<:0;296~X5=916>8o510`8yv42:3:1>vP=539>60g=98i0q~86510a8yv43k3:1>vP=4b9>60>=98h0q~<:4;296~X5==16>86510c8yv42?3:1>v3=5`82a5=::<31=e19>60?=98h0qpl=6683><<22mq/?=m52758k73a2900e?l>:188m73d2900e?;?:188m7d42900e?l;:188m7d02900e?l=:188m72d2900n?89:180>5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm27394?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::a634=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd5>=0;6>4?:1y'75e=5<5<56;294~"48j0:il5G3568m47f2900evP=5g9>633=9l:0q~;<510c8yv42k3:1?vP=5b9>630=98k01?8>:03b?xu5=90;6?uQ2428970128;i7p}=b283>7}Y:k901?8::03a?xu5j=0;6?uQ2c68970228;h7p}=b683>7}Y:k=01?8::03g?xu5j;0;6?uQ2c08970328;j7p}=4b83>7}Y:=i01?8;:03a?xu5>90;6?u227495`6<5;<:6;?51d28970528;i7p}>dc83>7}::?81=h>4=346>47f3ty9:>4?:3y>632=9l:01?8::03f?x{e:=:1<7m57;31!57k38?<6a=3783>>o5j80;66g=5b83>>o5=90;66g=5383>>o5j:0;66g=b583>>o5j<0;66g=b783>>o5j;0;66g=4b83>>o5==0;66l=3g83>1<729q/?=m5539K7125<5<53;294~"48j0:i>5G3568m47f2900e5<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a66e=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{e::n1<7=50;2x 66d2=n0D>:;;h32e?6=3`;:n7>5;n3f4?6=3th9?h4?:683>5}#;9i1=hl4H267?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17d?>f;29?j7b83:17p}=3783>7}Y::<01?=j:0g3?xu5j80;6?uQ2c38975>28;j7p}=5b83>6}Y:{t:<81<7{t:k>1<770<{t:k<1<7{t:=i1<7=t^36`?844j3;:m63=3b825d=z{;??6=4={_060>;5;k0:=o5rs314>5<5s488j7?j0:?17=<69k1v1`9~w75f2909w0<;5;j0:=o5rs0f`>5<5s488o7?j0:?17a<69k1v54;192~"48j09:i5`20a94?=n:>o5j3:17o<9c;297?6=8r.85<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a63d=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{t:8i1<7;5>h0:=l5rs2794?4|V:?01?8l:03a?xu5j3:1>vP=b:?12d<69k1v?87:181841k3;n<63=6c825d=z{;<26=4={<05e?7b8279:o4>1c9~yg40;3:187=56z&04f<5?:1d>?>50;9j60c=831b?n4?::k1g?6=3k8<>7>53;294~"48j0?h6F<459j54g=831b=5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm26394?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::p676=838pR?8k50;1xZ73b348<>7?>a:?135<69h1v>m50;0xZ6e<5;=961`9~w70a2909w0<80;3f4>;5?80:=o5r}c04=?6=<391:v*<0b813<=h:;;1<75f24g94?=n;m0;66g=d;29?g4003:1?7>50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zj;==6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wi>:950;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|23394?4|V;8:70<87;3f4>{t:1c9~w7132909w0<88;3f4>;5?>0:=l5rs356>5<5s48<:7?j0:?132<69k1vqo<8f;290?5=>r.88k50;9j7`<722c9i7>5;c04a?6=;3:1N4<=1b=50;9~f71d29086=4?{%13g?2b3A9?86g>1`83>>o69k0;66a>e183>>{e:>n1<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wx>?<50;0xZ7453481`9~w6c=838pR>k4=35f>47e3ty9i7>52z\1a>;5?j0:=o5rs35b>5<5s4854;192~"48j09485`23194?=n:>o5n3:17o<74;297?6=8r.85<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a6=5=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{t:;91<7;50;0:=l5rs2d94?4|V:l01?6;:03a?xu5n3:1>vP=f:?1<7<69k1v?6?:18184?<3;n<63=82825d=z{;2:6=4={<0;6?7b82794>4>1c9~yg4?j3:187=56z&04f<50k1d>?:50;9j60c=831b8=4?::k04?6=3k83m7>53;294~"48j0?h6F<459j54g=831b=5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm29;94?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::p672=838pR?<;;<0;=?7b82wx>8k50;1xZ73b3483m7?>a:?1<=<69h1v9>50;0xZ16<5;2j61`9~w7>02909w0<78;3f4>;5000:=o5r}c0:5?6=<391:v*<0b81=4=h:;?1<75f24g94?=n<80;66g<1;29?g4>83:1?7>50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zj;2n6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wi>5h50;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|23794?4|V;8>70<7f;3f4>{t:83;:m63=8d825d=z{=;1<71c9~w7>d2909w0<60;3f4>;50o0:=l5rs3:g>5<5s483i7?j0:?1r.88k50;9j07<722c8>7>5;c0:2?6=;3:1N4<=1b=50;9~f7?329086=4?{%13g?2b3A9?86g>1`83>>o69k0;66a>e183>>{e:0?1<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wx>?850;0xZ741348297?j0:p60c=839pR?;j;<0:2?76i279594>1`9~w14=838pR9<4=3;5>47e3ty8>7>52z\06>;51=0:=o5rs3;1>5<5s482:7?j0:?1=0<69h1v?7<:18184><3;n<63=94825g=zuk82h7>54;192~"48j095i5`23594?=n:>o4;3:17o<6c;297?6=8r.85<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a6t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{t:;=1<7j3;n<6s|24g94?5|V;?n70<6c;32e>;51h0:=l5rs5194?4|V=901?7l:03a?xu4;3:1>vP<3:?1=d<69k1v?77:18184>k3;n<63=9c825d=z{;326=4={<0:e?7b82795o4>1c9~yg4f;3:187=56z&04f<5i:1d>?650;9j60c=831b?;4?::k00?6=3k8j>7>53;294~"48j0?h6F<459j54g=831b=5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm2`394?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::p67>=838pR?<7;<0b5?7b82wx>8k50;1xZ73b348j>7?>a:?1e5<69h1v>850;0xZ60<5;k961`9~w7?a2909w0;5i80:=o5r}c0`0?6=;3:10e7>50z&04f<6:11C?9:4i03:>5<0e299K7125<52;294~"48j0:>55G3568m47>2900c7>50z&04f<6:11C?9:4i03:>5<0ee29K7125<N4<=1b=50;9~f72029086=4?{%13g?7b;2B8895f10c94?=n98h1<75`1d294?=zj:i26=4<:183!57k3>m7E=;4:k25d<722c:=o4?::m2a5<722wi?i:50;794?6|,::h6864H267?l76i3:17d?>b;29?l76k3:17d?>d;29?j7b83:17pl0<729q/?=m5599K7125<5<N4<=1b=50;9~f6e7290?6=4?{%13g?353A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66sm3b194?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::a615=83?1<7>t$22`>02<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17b?j0;29?xd5<<0;694?:1y'75e==91C?9:4i03b>5<5<N4<=1b=50;9~f72c29086=4?{%13g?2b3A9?86g>1`83>>o69k0;66a>e183>>{e:=l1<7:50;2x 66d2<;0D>:;;h32e?6=3`;:n7>5;h32g?6=3f;n<7>5;|`11a<72=0;6=u+31a95`0<@:>?7d?>a;29?l76j3:17d?>c;29?j7b83:17pl=5083>1<729q/?=m5509K7125<5<?7>54;294~"48j0><6F<459j54g=831b=50;9~f73229086=4?{%13g?2c3A9?86g>1`83>>o69k0;66a>e183>>{e;0;1<7<50;2x 66d28837E=;4:k25<<722e:i=4?::p;5=l02n63=348:f>;5lk02n63<8g8:f>{t:mo1<76t^3ff?84e83;j=63=42825g=::=?1=47e348?j7?>b:?116<69j16>8;510`8yv5c:3:1>vP7a3=9l:0q~=71;292~X40816?n7510c896b328;j70=m2;32e>;4j?0:=l523b2954d52z\0g2=:;jk1=h>4}r1aa?6=:rT8nh523b395`652z\0=h4}r0gg?6=;rT9hn522c295i6k?4=362>7`634=o6l>4=36a>47d348?h7?>a:?10c<69j16>8?510a8973428;j70<:5;32e>{t;k>1<7{t;l>1<7;t^2g7?85d13;:n6347e3ty8oo4?:3y]7fd<5:n?6?o4=3`3>4?a348h87?>a:?2b5<69k16?n>510a8972428;o70<;b;32e>;54c73ty9ml4?:3y]6dg<5:i=6o74=3a7>4c73ty8n=4?:3y]7g6<5:h86a19>3a<58o16>9l510`8yv44<3:18v3=4982a5=:;m>1=47c349h<7?>a:p614=838p1?:8:03b?843;3;n<6s|25694?4|5;><6il50;1xZ7ee348on7l::18185e?3;:563e19~w7522909w0<<5;03b>;5<10:=l5rs362>5<5s48?=7:18584e83;m?63=5c81f4=::?=1>o?4=363>7d634;m<7?>a:?106<69h1v?l=:18684e83;m963=5c81f7=::?=1>o<4=363>7d5348?97?>a:p6g5=839p1?l?:0d4?841?38i?63=4181f6=z{;>j6=4={<07f?7b82799i4>1`9~w7d32908w0;5>>09n95225296g255z?11g<5;9525a897272;>h70<;d;3f4>;5=m0:=o5rs3`6>5<5s48i<7?ib:?105<5j<1v?:j:181843n3;n<63=5e825f=z{;h=6=4={<0a4?7al2798=4=b79~w737290?w0<:b;064>;5>>099=522529606<5;?:6o>51gd897002;h<7p}=5383>6}::

    8<4=363>735348>?7?j0:p5`c=83?p1?l?:0c1?87bm38;j63=42825f=::=?1=47f3ty9994?:2y>60d=:<>01?:?:377?842=3;n<6s|37;94?4|5;h;6?>=;<0gf?g73ty8n<4?:3y>7g5=98301>l=:0g3?xu4jo0;6?u23b295`6<5:i869?59b9>611=9l:0q~=60;296~;40o0j<63<9082a5=z{;?n6=4l{<06a?47n279:i4=5d9>625=:i63=84811`=::1h1>8k4=3;2>73b3482;7<:e:?1=a<5=l16>l=524g896?628;27p}=5g83>7}::52z?2a`<>k27:j=4>e19~w73d290?w0<:b;06g>;5>>099n52252960e<5;?o67}:::?1m=52252966052z?10<<58o16>n:510`8yv42>3:1>v3=488b4>;5=k099;5rs2a;>5<5s49hm7?>9:?0g<<6m91v?<7:181[450279m>4=299'6c6=:8o0b?ki:39~w7402909wS<=7:?1=a<5:>1/>k>520g8j7ca2:1v?<9:181[45>2795:4=279'6c6=:8o0b?ki:59~w7422909wS<=5:?1=4<5:<1/>k>520g8j7ca2<1v?<;:181[45<2794o4=259'6c6=:8o0b?ki:79~w7442909wS<=3:?1<0<5::1/>k>520g8j7ca2>1v?<=:181[45:279;k4=239'6c6=:8o0b?ki:99~w7462909wS<=1:?13<<5:81/>k>520g8j7ca201v?4=219'6c6=:8o0b?ki:`9~w77d2909wS<>c:?12a<59j1/>k>520g8j7ca2k1v>650;0xZ6>5<4sW9?7S<>f:?1e6<4<2.9j=4<7:l1ac<53ty8?7>52z\07>;51m08?6*=f1803>h5mo087p}<2;296~X4:2795:4<2:&1b5<4?2d9ik4;;|q05?6=:rT8=63=90805>"5n908;6`=eg86?xu483:1>vP<0:?152z\1b>;50<09j6*=f1803>h5mo0<7p}=e;296~X5m279;k4=e:&1b5<4?2d9ik47;|q1`?6=:rT9h63=7881`>"5n908;6`=eg8:?xu5k3:1>vP=c:?136<5k2.9j=4<7:l1ac52z\1f>;5>m09n6*=f1803>h5mo0i7p};3;296~X3;2795i4;3:&1b5<4?2d9ik4l;|q76?6=:rT?>63=96876>"5n908;6`=eg8g?xu393:1>vP;1:?1=4<392.9j=4<7:l1ac52z\74>;50k0?<6*=f1803>h5mo0m7p}0:p7`<72;qU?h5226d97`=#:o:1?:5a2dd954=z{:n1<72:n0(?h?:258j7ca2880q~=l:181[5d348"5n908;6`=eg820>{zj:=j6=4>3;04>63|D:>=6h6:5:8 6`?2<1v(>>l:01g?l>a2900e<=::188m43b2900e<<6:188m6>62900c:k50;9j3g<722e::=4?::k0e6<722c:?h4?::m22a<722c8i94?::k0gg<722e:9>4?::m1f<<722e85l4?::m2=4<722e?87>5;n6`>5<3;pD5;n3e7?6=3f;m97>5;n3e3?6=3f;m57>5;n3ef?6=3`;2h7>5;h3:a?6=3`;2j7>5;h3b4?6=3`;j=7>5;c327?6=j331jvB<4782M7f;2w/?=m521;8m4?c2900e<7j:188m4?a2900e6}K;=<1=vF>a29~ 66d2mh0el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2F88;4>{%313?5a<2wb4l4?::kb4?6=3f8;j7>5;|q:f?6=:rT2n638:9c8yv7ci3:1>v38:32e?[47n2wx=il50;0xZd6<5>0j<6srbd594?5=939p@>:9:0yK5d55<50z&04f08j95ri9c94?=ni90;66a=0g83>>{t1k0;6?uQ9c9>3?>f3ty:hl4?:3y>3?47n2T95<5sWk;7095a19~ygc1290?6?4:{%13g?c13`nh6=44i0c2>5<>db83:1?7>50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zjml1<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wxhn4?:3y]`f=:m90:=l5rs0c2>5<5sW;j=63j0;32f>{tm>0;6?uQe69>`c<69k1vij50;0xZab<5ml1=h>4}rff>5<5s4o;647f3twij:4?:985>a}#;9i1j:5f18f94?=nlj0;66gj7;29?l7f93:17d?6e;29?l7>n3:17d?n0;29?jc?2900nk=50;194?6|,::h69k4H267?l76i3:17d?>b;29?j7b83:17plja;297?6=8r.8>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`fa?6=<3:1N4<=1b=50;794?6|,::h6884H267?l76i3:17d?>b;29?l76k3:17d?>d;29?j7b83:17pli2;292?6=8r.8e`9K7125<5<5<52z\2=a=:n:0:=o5rsea94?3|Vmi01k=510c89`g=98k01hk510`89c6=98h0q~k8:187[c034oj647e34on6;a83;:h6s|1`294?4|V8k;70h?:03b?xub03:1>vPj8:?e6?7b82wxi44?:3y>b6<6m916j?4>1`9~w`g=838p1ho51d289c4=98h0q~km:1818cd28o;70h=:03`?xubl3:1>v3je;3f4>;a:3;:h6s|eg83>7}:n90:i=52f3825`=zuk;m87>53;294~"48j0?i6F<459j54g=831b=5<7s-9;o7:k;I170>o69h0;66g>1c83>>i6m90;66sm1g:94?5=83:p(>>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`2bd<72:0;6=u+31a90c=O;=>0e50z&04f<6m:1C?9:4i03b>5<5<76a34o=6im4=g59`f=:9o>1=47e34;m47?>b:?2bd<69k16=km510`8yvc02903w0k8:32e?8c12l=01k95e69>5c2=98h01f`825d=:9oi1=;a?3;2i6s|18d94?4|V83m70h8:0;e?xu6i90;6?uQ1`289c1=9h:0q~?n1;297~X6i816i;4>a09>b2<6i81v4k50;1xZ{t9o91<770?i6;3f4>{t9o=1<7{t9oh1<77}:lj0j<63j6;fg?xub03:1>v3j7;c3?8`02l20qp}6b;296~X>j27:=>46e:p5c5=838pR34;:?7?i9:p5cd=838pRm2wx=4h50;0xZ4?a34;:?7?6f:p5d6=838pR0q)=?c;3bg>of83:17b5}#;9i1h45G3568H62128q/=?953g68yl>f2900el>50;9l65`=831v4l50;0xZ03m6s|1ec94?4|5>09vPn0:?4>d60}K;=<1=vF>a29~H62028q/?k654:'75e=9hn0el>50;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712>o?i3:17do?:188k76a2900qo=;5;295?6=8r.8199K7125<5sW3i70958`9~w{t9mk1<747034=15>5rs0fa>5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twi=nk50;696?2|D:>=6h7:59'7c0=;>>0(>kj:`28 6`72;:m7)=jc;;a?k5b03;0(>h=:3d2?k5bi3;0q)=?c;3ba>o>j3:17do?:188k76a2900e?h>:188f2<72:0:6>uC35495~N6i:1v(>>l:0c:?lg72900c?>i:188m53;294~"48j0o56F<459j5;n03b?6=3ty2n7>52z\:f>;021k0q~?ka;296~;02;:m7S4=68b4>{zj:?n6=4=:183!57k3;946F<459j54?=831d=h>50;9~w{t:9l1<7v38:`28963b28o;7psm9983>1<62:qG?9851zJ2e6=zD:><65u+3g:90>"4n?08;95+3dg9e5=#;o:1>=h4$2g`>4ga3`3i6=44i`294?=h:9l1<75f2g394?=e?3:1?7?53zN003<6sA;j?6s+31a95d?5<7s-9;o7j6;I170>o?i3:17do?:188k76a2900q~7m:181[?e34=14l5rs0fb>5<5s4=1>=h4^32e?xu6lk0;6?uQa19>3?g73twx5o4?:3y]=g=:?33i7p}=0g83>7}Y:9l01:4=0g9~w7`62909wSd655;091~J4=92.8ii46c:l0a<<63-9m?7=?b:l0ag<63t.8b19j=g<722c2o7>5;hc3>5<5<0;684=:4yO710=9rB:m>5r$22`>4g>3`k;6=44o32e>5<>o>;3:17o950;794?6|,::h6io4H267?l>b2900e4=50;9j5;n03b?6=3th8884?:083>5}#;9i1=<64H267?j76?3:17p}6b;296~X>j27<65o4}r;`>5<5sW3h70958d9~w4bf2909w0=;5;323>;02090q~?kb;296~;02;:m7S4=68b4>{zj:02n6s|9b83>7}Y1j16?;j510`8yv47n3:1>vP=0g9>3?47n2wx?=l50;1xZ66e34=1m=5237f954g52z?4>7;tL265>4}O9h90qA=;7;3x 6`?2=1v(>>l:0cg?lg72900c?>i:188m:;;h:f>5<>of83:17b:;;n323?6=3ty2n7>52z\:f>;021k0q~7l:181[?d34=14h5rs0fb>5<5s49?97?>7:?4><552z?4>76a3W8;j6s|1ea94?4|Vh:01:4n0:~f4??290>6?4:{M172?7|@8k87pB<4682!5a03>0q)=?c;3b`>of83:17b>{e;=?1<7?50;2x 66d28;37E=;4:m252<722wx5o4?:3y]=g=:?32j7p}6c;296~X>k27<65k4}r3ge?6=:r78884>169>3??43ty:ho4?:3y>3?47n2T95<5sWk;7095a19~yg7183:1?7?53zN003<6sA;j?6sC35595~"4n10?7p*<0b82g==ni90;66a=0g83>>o>j3:17o950;194?6|,::h6i74H267?l>f2900el>50;9l65`=831v4l50;0xZ03m6s|1ec94?4|5>09vPn0:?4>d60}K;=<1=vF>a29~H62028q/?k654:'75e=9hn0el>50;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712>o?i3:17do?:188k76a2900qo=;5;295?6=8r.8199K7125<5sW3i70958`9~w{t9mk1<747034=15>5rs0fa>5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twi894?:282>6}K;=<1=vF>a29~H62028q/?k654:'75e=9j20el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psm14194?3=:3?p@>:9:0yK5d51=z,::h65<t$22`>ag<@:>?7d6j:188m<5=831b4l4?::kb4?6=3f8;j7>5;|`000<7280;6=u+31a954><@:>?7b?>7;29?xu>j3:1>vP6b:?4>=gv38:32e?[47n2wx=im50;0xZd6<5>0j<6srb0;2>5<22;0>wA=;6;3xL4g43tF88:4>{%1e5;h;a>5<>d0290>6=4?{%13g?bf3A9?86g7e;29?l?42900e5o50;9je5<722e9t$22`>47?3A9?86a>1683>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?ka;296~;4<<0:=:527;;0?xu6lk0;6?u27;03b>X58o1vk2d8i44>;%1e6?4a92d8il4?;|&04f<6j81b5o4?::k:g?6=3`k;6=44o32e>5<0<525<t$22`>ag<@:>?7A=;6;3x 4402:l?7pg7e;29?l?42900e5o50;9je5<722e9t$22`>47?3A9?86a>1683>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?ka;296~;4<<0:=:527;;0?xu6lk0;6?u27;03b>X58o1v0e7}Y:9l01:4=0g9~w7`62908wSd6<5::186>6<0sE9?:7?tH0c0?xJ4<>0jw)=i8;68 6`12:=?7)=je;c3?!5a838;j6*h4m10:7)=jd;;`?k5b13;0(>h=:3d2?k5bi3:0q)=?c;3a6>o>j3:17d7l:188md6=831d>=h50;9j6c7=831i;7>55;091~J450;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712>o?i3:17do?:188k76a2900qo=;5;295?6=8r.8199K7125<5sW3i70958`9~w{t9mk1<747034=15>5rs0fa>5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twi?8k50;194?6|,::h69j4H267?l76i3:17d?>b;29?j7b83:17pl<6c83>6<729q/?=m51d68L6233`;:m7>5;h32f?6=3f;n<7>5;|q:f?6=:rT2n638:8`8yv?d2909wS7l;<15f?76j2wxm=4?:3y]e5=:;{t:o;1<7=t^3d2?852m3;:m63<6c825d=z{:?o6=4={<59e5=:;4}r15e?6=:r7<64m4=24a>4c73twi;h4?:480>2}K;=<1=vF>a29~H6202hq/?k654:&0b3<4?=1/?hk5a19'7c6=:9l0(>kl:8`8j6c?281/?hj59b9m7`?=92.8j?4=f09m7`g=82w/?=m51c08m5;h0e5?6=3k=1<7;52;7xH62128qC=l=4}%13g?7f12cj<7>5;n03b?6=3`3i6=44i8a94?=n1:0;66l8:186>5<7s-9;o7jn;I170>o?m3:17d7<:188m=g=831bm=4?::m14c<722wi?9;50;394?6|,::h6i69>0;66s|9c83>7}Y1k16;76n;|q:g?6=:rT2o638:9g8yv7ci3:1>v3<448252=:?3387p}>dc83>7}:?38;j6P=0g9~w4bd2909wSo?;<59e5=zuk9>i7>53;294~"48j0?h6F<459j54g=831b=8m:180>5<7s-9;o7?j4:J001=n98k1<75f10`94?=h9l:1<75rs8`94?4|V0h01:46b:p=f<72;qU5n5237`954d70c=98k01>8m:03b?xu4=m0;6?u27;c3?852m3;n<6s|37c94?4|5>02o63<6c82a5=zuk;=n7>57;79f~"48j0::o5`17194?=n:9n1<75f4b83>>o6>80;66g=0`83>>o58k0;66g>3583>>d6>h0;6>4?:1y'75e=5<5<53;294~"48j0:i>5G3568m47f2900e5<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a53?=83>1<7>t$22`>4c13A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66s|17194?4|V8<870?99;3f4>{t:9n1<73;:m6s|4b83>6}Y6083>7}Y9?;01<8n:03a?xu58h0;6?uQ21c8940>28;i7p}=0c83>7}Y:9h01<87:03a?xu6;=0;6?uQ1268940?28;j7p}>6583>7}:9?k1=h>4=046>47e3ty:hl4?:3y>533=9l:01<89:03a?xu6lk0;6?u217495`6<58<2628;h7psm16794?2=;3>l:056?j7083:17d:l:188m41d2900e>k;:188f41329086=4?{%13g?2c3A9?86g>1`83>>o69k0;66a>e183>>{e9>81<7=50;2x 66d2=o0D>:;;h32e?6=3`;:n7>5;n3f4?6=3th:;>4?:283>5}#;9i1=h=4H267?l76i3:17d?>b;29?j7b83:17p}>7183>7}Y9>:01<9<:0g3?xu3k3:1?vP;c:?231<69h16=:<510c8yv70k3:1>vP>7b9>522=98h0q~=j4;296~X4m=16=:<510`8yv7093:1>v3>7582a5=:9>91=e19>525=98h0qpl>5883>1<42?q/?=m514;8k4332900e9m50;9j50g=831b?l=50;9a50>=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd6=?0;6>4?:1y'75e=5<5<;7>53;294~"48j0:i>5G3568m47f2900e1`9~w43f2909wS?:a:?21=<69k1v>o<:181[5f;27:9;4>1c9~w4322909w0?:8;3f4>;6=>0:=l5rs075>5<5s4;>:7?j0:?212<69k1vqo?67;290?5=>r.8969l5<4=831b8n4?::k2==<722c8oo4?::`2=3<72:0;6=u+31a90a=O;=>0e<3:1?7>50z&04f<3n2B8895f10c94?=n98h1<75`1d294?=zj83>6=4<:183!57k3;n?6F<459j54g=831b=:27:584>e19~w1e=839pR9m4=0;5>47f34;287?>a:p5<>=838pR<77;<3:2?76j2wx?nl50;0xZ6ee34;287?>b:p5<5=838p1<79:0g3?87>=3;:m6s|18694?4|583?65<7s-9;o7?j7:J001=n98k1<75f10`94?=n98i1<75`1d294?=zj:3i6=4;:183!57k3?:7E=;4:k25d<722c:=o4?::k25f<722e:i=4?::a52c=83<1<7>t$22`>0?<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17d?>e;29?j7b83:17pl6a;297?6=8r.85<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a5=5=83?1<7>t$22`>00<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17b?j0;29?xd5k:0;6>4?:1y'75e=5<5<54;294~"48j0:i;5G3568m47f2900e50z&04f<292B8895f10c94?=n98h1<75f10a94?=h9l:1<75rb05:>5<3290;w)=?c;3f<>N4<=1b=5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm16`94?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::a5c4=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{e91=1<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wi=i>50;094?6|,::h6<<7;I170>o6900;66a>e183>>{e9t$22`>44?3A9?86g>1883>>i6m90;66sm16594?4=83:p(>>l:00;?M53<2c:=44?::m2a5<722wi=5;50;094?6|,::h6<<7;I170>o6900;66a>e183>>{t0o0;620h01<=;:8`8941d20h01=1k168n46b:?21d<>j27:5546b:?225<>j27::i46b:?70??e34;>?77m;<3:5??e34;ni77m;<3;5??e34=n64l4}r301?6=;rT:?8527d8b4>;60<0:=45rs07f>5<5sW;>i63=c2825g=z{8826=4={_31=>;6;=0j<6s|39394?4|V:2:70=6b;32e>{t?l0;6?uQ7d9>3`<58o1v:l50;:xZ2d<5;:26<7j;<3fa?4a927:4<4=f09>3`<5n816=:k510a8940528;h70?89;32e>{t9?:1<7;6=j0:=45rs01f>51=47e34;e:?2b5<69h16=;<510`8941>28;i7p}>6e83>7}Y9?n01<8k:32e?xu4m=0;69uQ3d68941d2h:01<9::2g7?85>j3;:o6s|3b`94?5|V:ii70?67;1`f>;61h0:=45rs070>5<5sW;>?63>52814c=z{;h26=4={_0a=>;5k=0:i=5rs2;b>5<5sW92m63<9c82a5=z{83:6=4={_3:5>;61809019:521d8yv2d290hwS:l;<03=?7>l27?o71c9>5=5=98h01?m<:03b?871n3;:m6s|19694?4|582:6l>4=0:6>4c73ty:5?4?:3y>5<7=i916=4951808yv70l3:1?v3>7b8:g>;6?l0:i=521e2954?52z?22c<6m916=:l510c8yv74<3:18v3=0882e4=:9:>1>=h4=04a>45334;3?7?>a:p537=838p1<8m:042?871:3;n<6s|9883>7}:1109j<529`82a5=z{8on6=49{<03=?7f827:ih4=0g9>52c=98h01<6<:03`?871:3;:m63>78825f=z{021<75<5s4;hi7:18187a83;:n63>f382a5=z{;:n6=4={<03=?7aj27:;o4>1c9~w4>52908w0?71;;`?81b20i01<6<:0g3?xu6=k0;6?u214c9e5=:94}r3;2?6=:r7:4>4>1e9>5=1=9l:0q~?86;296~;6>m02o63>7682a5=z{;:j6=48{<03=?7a;27?87o?;<367??d34;2=77l;<35f?47i272m7?>a:?2<5<69h1v<9i:181870m3;:h63>8182a5=z{;:i6=4<{<03=?7a=27::o4=0c9>5=6=98h0q~=751g;8940e2;:o70?i2;32e>;60>0:=l5rs05`>5<5s4;{t9jo1<7:t=0af>76a34>h6>>m;<36e??d34;2477l;|q21d<72;q6=8o521d8943>28?j7p}>5583>7}:9<91m=5214;950252z?22a719~w4042909w0?90;c3?871j3;=?6s|16:94?4|58=26n<50;1x97e328;h70;6n;0:=o5rs05b>5<5s4;03k;70?6a;3f4>{t9021<776a34;2;7?68:p5=7=838p1<6>:32e?84d<3;:n6srb25:>5<6=39n68:tL265>4}O9h90qA=;7;0xj6`>2<20(>h7:49~ 66d289h7W6=44i2ff>5<5<5<k3:17b:;:188k6b12900n>:::182>5<7s-9;o7?>8:J001=h98=1<75rb0:;>5<683;1==uC35495~N6i:1v@>:8:0y'7c>=;2w/?=m51048m=<50;9j550;9j5d7=831b=l<50;9a545=83;;6i4>4zN003<6sA;j?6s+31a95=>5<5<5<4>:2yO710=9rB:m>5r$22`>ad5<7s-9;o7j6;I170>J4{n0h0;66gn0;29?j47n3:17p}6b;296~X>j27<65o4}r3ge?6=:r7<6?>i;_03b>{t9mh1<7=6N4<=1G?9851z&262<4n=1ve5o50;9je5<722e9X58o1v5<42808wA=;6;3xL4g43t.85;h;a>5<0;6>4?:1y'75e=l01C?9:4L265>4}#9;=1?k:4}h:b>5<=h4}r3gf?6=:rTj<638:`28yxd68<0;6>4>:2yO710=9rB:m>5r$22`>ad5<7s-9;o7j6;I170>J4{n0h0;66gn0;29?j47n3:17p}6b;296~X>j27<65o4}r3ge?6=:r7<6?>i;_03b>{t9mh1<79:180>4<4sE9?:7?tH0c0?x"48j0on6gn0;29?j47n3:17d7m:188f2<72:0;6=u+31a9`<=O;=>0@>:9:0y'571=;o>0qd6n:188md6=831d>=h50;9~w{t9mk1<74}|`242<72:0:6>uC35495~N6i:1v(>>l:e`8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:N003<6s-;9;7=i4:j5;n03b?6=3ty2n7>52z\:f>;021k0q~?ka;296~;02;:m7S4=68b4>{zj8:36=4<:080I53>3;pD5;n03b?6=3`3i6=44b683>6<729q/?=m5d89K712=652z\b4>;02h:0qpl>0c83>6<62:qG?9851zJ2e6=z,::h6il4i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86B<4782!75?39m;6sf8`83>>of83:17bj3:1>vP6b:?4>=g52z?4>76a3W8;j6s|1e`94?4|Vh:01:4n0:~fc1=83=197lt$22`>c15<5<5<1<729q/?=m5519K7125<5<0e5<7s-9;o7;?;I170>o69h0;66g>1c83>>o69j0;66a>e183>>{en90;684?:1y'75e=9l30D>:;;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3f4?6=3ty:ji4?:4y]5cb<5o;1=55z\2=`=:n80:=o52e`825g=:mj0:=o52ed825g=z{8k:6=4={_3b5>;a93;:o6s|1`094?4|V8k970kn:03`?xu61o0;6?uQ18d89`e=98i0q~?n0;296~X6i916ih4>1b9~w`>=838pRh64=g295`64c734l;6af<6m916j=4>1b9~w`b=838p1hk51d289c6=98n0qpl>0583>=<42hq/?=m51168m4`c2900e<7i:188m4g72900e:188m4g52900e<7j:188m4`e2900ck750;9ab`<72?0;6=u+31a91g=O;=>0e5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66smfe83>1<729q/?=m51d48L6233`;:m7>5;h32f?6=3`;:o7>5;n3f4?6=3ty:ji4?:2y]5cb<5oo1=52z\2=c=:nl0:=o5rs0c3>5<5sW;j<63ie;32e>{t9h;1<7a383>7}Y9h801kk510f8yv7>m3:1>vP>9d9>bg<69h1vc:pb<<72;qUj452fe82a5=z{ok1<75<5s4li647e3twi=;h3e7?6=3`;2i7>5;h035?6=3`;2h7>5;n33g?6=3k;:<7>53;294~"48j0?h6F<459j54g=831b=j:180>5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm11d94?2=83:p(>>l:0g5?M53<2c:=l4?::k25g<722c:=n4?::m2a5<722wx=k=50;1xZ4`434;:<7?>a:?24`<69k1v<7j:181[7>m27:==4>1c9~w7662909wSl27:

    1`9~w46d2909wS??c:?24c<6m91v<>k:18187683;n<63>0g825d=z{8:n6=4={<33a?7b827:1b9~yg77i3:187>50z&04f<292B8895f10c94?=n98h1<75f10a94?=h9l:1<75rb320>5<5290;w)=?c;31<>N4<=1b=<750;9l5`6=831v<7k:180[7>l27:=<4>9e9>55g=98h0q~?6e;291~X61l16j:4>9d9>552=90o01:0;f?877i3;:m6s|18d94?5|V83m70h8:0;e?877<3;2j6s|1`294?5|V8k;70h8:0c3?877<3;j<6s|1`394?5|V8k:70h8:0c2?877<3;j=6s|1`094?5|V8k970h8:0c1?877<3;j>6s|9d83><}Y1l16hn46b:?f3??e34l364l4=026>54z\2b6=:99h1>=h4=032>4`434;;m7?>c:p5c3=839pRfc9~w4`c290>wS?id:?e==510;8yv7an3:1?vP>fg9>`f>;76a34;:=7=;<037?7b82wxi54?:3y>a23ty:<44?:3y>55>=i916==o51d28yv77k3:1>v3>0c8b4>;6980:5<5sW3i70?>3;;f?xu6n:0;6?uQ1g18947428l87p}>f483>7}Y9o?010;6?uQ1g58947428l<7p}>f883>7}Y9o301fe83>7}Y9on017}Y:9;019e83>7}Y90n019g83>7}Y90l01a083>7}Y9h;01p@>:9:0yK5d56=z,::h65<50z&04f08j95ri9c94?=ni90;66a=0g83>>{e1o0;6?4?:1y'75e=9;20D>:;;h32=?6=3f;n<7>5;|q:f?6=:rT2n636f;32=>{t9mk1<7;>n3;n<6s|1e`94?4|5>09vPn0:?4>d653;397~J45;c594?5=83:p(>>l:e;8L6233`2j6=44i`294?=h:9l1<75rs8`94?4|V0h01:47a:p5ag=838p1:4=0g9]65`52z\b4>;02h:0qpl<1c83>6<62:qG?9851zJ2e6=zD:><6{#;9i1=n64i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86g7a;29?lg72900c?>i:188yv?e2909wS7m;<59dc83>7}Yi916;7o?;|a<3<72:0:6>uC35495~N6i:1v@>:8:0y'7c>=;2w/?=m51b:8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:k;e?6=3`k;6=44o32e>5<i;|q2`g<72;qUm=527;c3?x{e:h=1<7=51;1xH62128qC=l=4}M173?7|,:l36>5r$22`>4e?3`k;6=44o32e>5<j27<65o4}r3ge?6=:r7<6?>i;_03b>{t9mh1<7=6h7:29~ 66d28ki7do?:188k76a2900e4l50;9a3?6=;3:1N4<=1b4l4?::kb4?6=3f8;j7>5;|`:b?6=:3:10e4c73ty:ho4?:3y>3?47n2T95<5sWk;7095a19~yg56i3:1?7<54zN003<6sA;j?6sC35595~"4n1087p*<0b82eg=ni90;66a=0g83>>o>j3:17o950;194?6|,::h6i74H267?l>f2900el>50;9l65`=831vn4h50;094?6|,::h6<<7;I170>o6900;66a>e183>>{t1k0;6?uQ9c9>=c<6901ve19~w4be2909w09521d8Z76a3ty:hn4?:3y]e5=:?3k;7psm8483>6<52=qG?9851zJ2e6=zD:><6{#;9i1=ll4i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86g7a;29?lg72900c?>i:188yg?a29096=4?{%13g?7502B8895f10;94?=h9l:1<75rs8`94?4|V0h014h510;8yv7ci3:1>v38:9c89<`=9l:0q~?kb;296~;02;:m7S4=68b4>{zj;k=6=4<:387I53>3;pD5;n03b?6=3`3i6=44b683>6<729q/?=m5d89K712>i58o0;66sm9g83>7<729q/?=m513:8L6233`;:57>5;n3f4?6=3ty2n7>52z\:f>;>n3;:56s|1ec94?4|5>03m636f;3f4>{t9mh1<74}|`g2?6=;3818vB<4782M7f;2wG?9951z&0b=<43t.8ac9je5<722e9:;;h:b>5<5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twih:4?:282>6}K;=<1=vF>a29~H62028q/?k653:'75e=9j20el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psmbg83>=<52mqG?9851zJ2e6=zD:><65u+3g:97>"4n?08;?5+36797cg<,:l;6?>i;%1fg??e3g9n47?4$2d1>7`63g9nm7?4}%13g?7f?2P357?t1`8~^7cb2;q:o7?j:|k1b7<722c2n7>5;h0e5?6=3f8:97>5$32;>7733g8;;7>4;n027?6=,;:36??;;o033?7<3f8:>7>5$32;>7733g8;;7<4;n025?6=,;:36??;;o033?5<3f8:<7>5$32;>7733g8;;7:4;c594?b=>3op@>:9:0yK5d56133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9m>7b59Y<<<5s8k1=54rZ3gf>7}6k3;>6pg=f383>>o>j3:17d7:337?k47?3:07b<>3;29 76?2;;?7c7:337?k47?3807b<>1;29 76?2;;?7c7:337?k47?3>07do9:18'65>=i<1e>=950:9je1<72-8;47o:;o033?7<3`k86=4+21:9e0=i:9=1>65fa383>!4703k>7c=65a49m651=<21i;7>55;193~J4521d8 6cd20h0b>k7:09'7c4=:o;0b>kn:09'7c5=;9h0b>km:09~ 66d28h=7d7m:188md6=831d>=h50;9j75d=831b>k?50;9a3?6=;3;1?vB<4782M7f;2w/?=m51`;8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:k;e?6=3`k;6=44o32e>5<i;|q2`g<72;qUm=527;c3?x{e;:;;h32e?6=3`;:n7>5;n3f4?6=3th8;=4?:283>5}#;9i1=h=4H267?l76i3:17d?>b;29?j7b83:17p}6b;296~X>j27<64l4}rc3>5<5sWk;70=80;32f>{t:9l1<7510c8yv4a93:1>vP=f09>70c=98k0q~=9f;296~;4=l0:=o5236295`6h7>52z?4>d6<5:?n6kl:8`8j6c?281/?k<52g38j6cf281v(>>l:0cf?l?e2900el>50;9l65`=831b>k?50;9a3?6=;3;1?vB<4782M7f;2w/?=m51`;8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:k;e?6=3`k;6=44o32e>5<i;|q2`g<72;qUm=527;c3?x{e;:;;h32e?6=3`;:n7>5;n3f4?6=3ty2n7>52z\:f>;020h0q~o?:181[g7349>i7?>b:p65`=838pR?>i;<5965`52z\1b4=:;4=27f>4c73twih7>54;091~J4521d8 6cd20h0b>k7:09'7c4=:o;0b>kn:09~ 66d28kn7d7m:188md6=831d>=h50;9j6c7=831i;7>53;397~J450;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psm34g94?5=83:p(>>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|q:f?6=:rT2n638:8`8yvg72909wSo?;<16a?76j2wx>=h50;0xZ76a34=1>=h4}r0e5?6=:rT9j<5234g954gh7>52z?4>d6<5:?n6:8:6y'7c0=;>>0(>kj:`28 6`72;:m7)=jc;;a?k5b03;0(>h=:3d2?k5bi3;0q)=?c;3ba>o>j3:17do?:188k76a2900e?h>:188f2<72:0:6>uC35495~N6i:1v(>>l:0c:?lg72900c?>i:188m53;294~"48j0o56F<459j5;n03b?6=3ty2n7>52z\:f>;021k0q~?ka;296~;02;:m7S4=68b4>{zj:?n6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wx5o4?:3y]=g=:?33i7p}n0;296~Xf82789h4>1c9~w76a2909wS76a3ty9j<4?:3y]6c7<5:?n6=6h9:257?!5bm3k;7)=i0;03b>"4mj02n6`>of83:17b=60e5o50;9je5<722e9X58o1vN4<=1b=50;9~w{ti90;6?uQa19>70c=98h0q~;<16a?76i2wx?8j50;0x92e19~yg46j3:1?7>50z&04f<6m:1C?9:4i03b>5<5<7>53z\1b7=:?39;n63=1c825g=z{0h1<78t^8`892<>j27364l4=e8:f>;6:33i70?<:8`8yv4a93:1?vP=f09>3?4a9279=o4>1`9~w77f290>w0652g389a<5n816=?4=f09>56<5n816>vP=149>56<58o1/>k>52068j7ca291v??<:181[46;27:>7hh51:p644=838pR??=;52z\154=:038;j6*=f18151=i:ll1?6s|20294?4|V;;;709521d8 7`72;;?7cd6<,;l;6l;4n3ge>5=z{h>1<7k>5a49m6``=92wxm>4?:3y]e6=:l3k;7)7}Yi816;7o?;%0e4?g23g8nj7:4}|`2`7<72h036iuC35495~N6i:1v@>:8:4y'7c0=;?o0(>ki:c18 6`d211/?k?52d28 6`e2>1v(>>l:0c6?_>>2;q:n7?6:|X1a`<5s8n1=;4ro3g5>5<#:921>h;4n324>5=5<#:921>h;4n324>7=54o3g2>5<#:921>h;4n324>1=h58>0;76gm7;29 76?2k20b?>8:098mg0=83.9<54m8:l142<532ci97>5$32;>g>54ic694?"5810i46`=0687?>d6l:0;6>4>:2yO710=9rB:m>5r$22`>4ec3`h:6=44ic094?=h:l:1<75m34294?5=;3?p(>>l:273?ld62900eo<50;9l6`6=831i?9j50;194?6|,::h69k4H267?l76i3:17d?>b;29?j7b83:17pl<4d83>6<729q/?=m54d9K7125<0e;<17`?76j2788h4>1`9~wg4=839pRo<4=26g>47f349?i7?>b:p6`6=838pR?k?;<17b?7b82wx?9m50;0x962c28o;70=;f;32e>{t;=n1<74c7349?j7?>b:~wg7=838pRo?4=273>g77}Y:l:01>;?:3g3?x{e9m>1<7=51;1xH62128qC=l=4}%13g?7dl2ci=7>5;h`1>5<5<42:0>w)=?c;164>oe93:17dl=:188k7c72900n>:k:180>5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm35g94?5=83:p(>>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`00c<72:0;6=u+31a95`5<@:>?7d?>a;29?l76j3:17b?j0;29?xue93:1?vPm1:?00a<69k16?9k510c8yvd52908wSl=;<17`?76i2788h4>1c9~w7c72909wS:l:181853l3;n<63<4g825d=z{:>o6=4={<17a?7b82788k4>1c9~yvd62909wSl>;<164?d63tyi>7>52z\a6>;4=90i>6s|2d294?4|V;o;70=:0;0f4>{zj8n>6=4<:080I53>3;pD5;c164?6=;3919v*<0b8015=nj80;66gm2;29?j4b83:17o=;d;297?6=8r.85<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a71`=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{tj80;6>uQb09>71b=98h01>:j:03b?xue:3:1?vPm2:?00a<69h16?9k510`8yv4b83:1>vP=e19>71`=9l:0q~=;c;296~;452z?00`<6m916?9h510`8yxue93:1>vPm1:?0155<5sW8n<63<5181a5=zuk;o:7>53;397~J4>i5m90;66l<4e83>6<729q/?=m54d9K7125<N4<=1b=50;9~f62a29086=4?{%13g?7b;2B8895f10c94?=n98h1<75`1d294?=z{k;1<7=t^c38962c28;i70=;e;32e>{tj;0;6>uQb39>71b=98k01>:j:03a?xu5m90;6?uQ2d28962a28o;7p}<4b83>7}:;=n1=h>4=26e>47f3ty88i4?:3y>71c=9l:01>:i:03a?x{tj80;6?uQb09>706=j81vo<50;0xZg4<5:?;6o<4}r0f4?6=:rT9i=5234296`65}#;9i18i5G3568m47f2900e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a6`d=8381<7>t$22`>44?3A9?86g>1883>>i6m90;66s|34f94?5|58n?6o?4=27f>4c7349=<7?>b:p70`=839p1{t;?;1<7g7<5:<96h84=0f5>7c73-8m<76?k?;%0e4?4b=2d9ik4>;|q1a6<72;qU>h=4=0f7>7c73-8m<7h?4=3ga>4c73-8m<752z\a=>;6l?0i>6*=f18a<>h5mo0;7p}m7;297~Xe?27:h84m2:?027<69h1/>k>5b99m6``=92wxn;4?:2y]f3=:9m>1n?52372954g<,;l;6o64n3ge>7=z{k?1<7=t^c7894b42k801>;j:03b?!4a83h37ci7?>b:?1ag<6901/>k>5b99m6``=<2wv?h=:181[4a:27<6?h=;|q:f?6=:rT2n638:8`8yv4a93:1>vP=f09>3?4a92wx><;50;1xZ77234=1><;4=0f1>g?<,;l;6??;;o0fb?653z\156=:?38:?63>d38a3>"5n909=95a2dd95>{t:881<7=t^331?81=:88013?46927:h?4m5:&1b5<59=1e>hh53:p646=839pR???;<59646<58n96o:4$3d3>7733g8nj7:4}r167c13-8m<7=:7:l1ac<73ty89;4?:3y>3?g334;o>71e>hh51:p703=838p1:4n3:?2`7<5m:1/>k>53458j7ca2;1v>;;:18181=i;16=i<52d08 7`72:?<7cv38:`3894b52;o:7)h5mo0?7psmd183>6<62:qG?9851zJ2e6=zD:><6{#;9i1=o94i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86B<4782!75?39m86sf8`83>>of83:17bj3:1>vP6b:?4>=g52z?4>76a3W8;j6s|1e`94?4|Vh:01:4n0:~f6b1290>6>49{M172?7|@8k87pB<468b!5a0390(>h9:257?!5bm3k;7)=i0;03b>"4mj02n6`50;9l65`=831b>k?50;9a3?6==3819vB<4782M7f;2w/?=m51`;8md6=831d>=h50;9j=g<722c2o7>5;h;0>5<0;684?:1y'75e=lh1C?9:4i9g94?=n1:0;66g7a;29?lg72900c?>i:188yg53=3:1=7>50z&04f<6911C?9:4o034>5<03i6s|1ec94?4|5:>>6db83>7}Yi916;7o?;|a70c=8381<7>t$22`>44?3A9?86g>1883>>i6m90;66sm37`94?5=83:p(>>l:0g7?M53<2c:=l4?::k25g<722e:i=4?::p=g<72;qU5o527;;a?xu>k3:1>vP6c:?02g<69h1v?>i:181[47n27<6?>i;|q1b4<72:qU>k?4=27f>47>349=n7?>b:p70b=838p1:4n0:?01`<6m91v>8n:18181=1j16?;l51d28yxd6:00;6>4>:2yO710=9rB:m>5rL264>4}#;o21?6s+31a95f>5<7s-9;o7j6;I170>o?i3:17do?:188k76a2900q~7m:181[?e34=14l5rs0fb>5<5s4=1>=h4^32e?xu6lk0;6?uQa19>3?g73twi894?:282>6}K;=<1=vF>a29~H62028q/?k653:'75e=9j20el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psm12794?3=:3?p@>:9:0yK5d56=z,::h65<t$22`>ag<@:>?7d6j:188m<5=831b4l4?::kb4?6=3f8;j7>5;|`000<7280;6=u+31a954><@:>?7b?>7;29?xu>j3:1>vP6b:?4>=gv38:32e?[47n2wx=im50;0xZd6<5>0j<6srb2;4>5<42;0?wA=;6;3xL4g43tF88:4>{%1e5;h;a>5<0;6>4?:1y'75e=l01C?9:4i9c94?=ni90;66a=0g83>>{e1o0;6?4?:1y'75e=9;20D>:;;h32=?6=3f;n<7>5;|q:f?6=:rT2n636f;32=>{t9mk1<7;>n3;n<6s|1e`94?4|5>09vPn0:?4>d66}K;=<1=vF>a29~H62028q/?k653:'75e=9j20el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psm39394?5=:3>p@>:9:0yK5d56=z,::h65<50z&04f>of83:17bn3:1>7>50z&04f<6:11C?9:4i03:>5<5<5sW3i707i:03:?xu6lh0;6?u27;:b?8?a28o;7p}>dc83>7}:?38;j6P=0g9~w4bd2909wSo?;<59e5=zuk9j?7>53;090~J45;c594?5=83:p(>>l:e;8L6233`2j6=44i`294?=h:9l1<75rb8d94?4=83:p(>>l:00;?M53<2c:=44?::m2a5<722wx5o4?:3y]=g=:1o0:=45rs0fb>5<5s4=14l529g82a5=z{8ni6=4={<5965`db83>7}Yi916;7o?;|a7fd=8391>7:tL265>4}O9h90qA=;7;3x 6`?2:1v(>>l:0ca?lg72900c?>i:188m53;294~"48j0o56F<459j5;n03b?6=3th2j7>52;294~"48j0:>55G3568m47>2900c47>3ty:hl4?:3y>3?>f343m6309l57e=831b=5750;9j53e=831b=5o50;9j5=d=831b=5m50;9j5=b=831b=5k50;9j57g=831i=>>50;194?6|,::h69j4H267?l76i3:17d?>b;29?j7b83:17pl>2d83>6<729q/?=m54d9K7125<0evP>2b9>57`=9l:0q~?79;296~X60016=>>510c8yv71k3:1>vP>6b9>566=98h0q~?7a;296~X60h16=?h510`8yv7?j3:1>vP>8c9>57`=98i0q~?7c;296~X60j16=?h510f8yv7?l3:1>vP>8e9>57`=98o0q~?7e;296~X60l16=?k510`8yv75i3:1>vP>2`9>57c=98k0q~?=d;296~;6;90:i=5213d954g52z?26`<6m916=?h510d8yxd3j3:147<59z&04f<3j2e?;7>5;h3;=?6=3`;=o7>5;h3;e?6=3`;3n7>5;h3;g?6=3`;3h7>5;h3;a?6=3k>j6=4<:183!57k3>o7E=;4:k25d<722c:=o4?::m2a5<722wi844?:683>5}#;9i1=hl4H267?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17d?>f;29?j7b83:17p};7;296~X3?27?57?j0:p5=?=838pR<66;<6b>47f3ty::n4?:3y]53e<5=k1=;313;:o6s|19a94?4|V82h70:6:03g?xu60m0;6?uQ19f891?=98o0q~?7e;296~X60l16844>1g9~w1>=838p19o51d2891?=98k0qpl=a483>6<729q/?=m54e9K7125<N4<=1b=50;9~f=2=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xdfk3:1?7>50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zjj=1<7=50;2x 66d2=o0D>:;;h32e?6=3`;:n7>5;n3f4?6=3thh57>53;294~"48j0?i6F<459j54g=831b=b;29?j7b83:17pl1<729q/?=m5519K7125<5<53;294~"48j0:i>5G3568m47f2900e5<7s-9;o7;l;I170>o69h0;66g>1c83>>o69j0;66g>1e83>>o69l0;66a>e183>>{ekm0;6;4?:1y'75e==01C?9:4i03b>5<5<5<5<1290;w)=?c;7a?M53<2c:=l4?::k25g<722c:=n4?::k25a<722c:=h4?::m2a5<722wi?il50;494?6|,::h6874H267?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17b?j0;29?xddn3:1?7>50z&04f<6m:1C?9:4i03b>5<5<55;294~"48j0:i45G3568m47f2900e5<2290;w)=?c;7;?M53<2c:=l4?::k25g<722c:=n4?::k25a<722e:i=4?::a56d=83>1<7>t$22`>4c03A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66sm3`094?1=83:p(>>l:0ga?M53<2c:=l4?::k25g<722c:=n4?::k25a<722c:=h4?::k25c<722e:i=4?::a7t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd40>0;6;4?:1y'75e=9lk0D>:;;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3f;n<7>5;|`0ea<72:0;6=u+31a95`5<@:>?7d?>a;29?l76j3:17b?j0;29?xd4i>0;6>4?:1y'75e=5<5<55;294~"48j0:i45G3568m47f2900e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::af5<72;0;6=u+31a957><@:>?7d?>9;29?j7b83:17pl<1e83>7<729q/?=m513:8L6233`;:57>5;n3f4?6=3th347>52;294~"48j0:>55G3568m47>2900c7>50z&04f<6:11C?9:4i03:>5<0e299K7125<52;294~"48j0:>55G3568m47>2900c7>50z&04f<6:11C?9:4i03:>5<0e299K7125<52z\270=:9:?1>=h4}r1ga?6=:rT8hh5230f954?7>52z\0=7=:j90:=45rs0;`>5<4sW;2o63<9d825d=:;h=1=45213;965`<5:n2676a3tyii7>52z\aa>;c>3k;7p}7}Y;j>01?o6:03:?xu6>90;6?uQ1728940d2h:0q~=78;296~X40116?9;51058yv>d290>wS6l;<3;m27o:77m;<31f?76i27:?o4>1`9~w=d=83;;49h02n6375;;a?84f>33i70j8:8`89g`=1k16h=46b:?0`3<>j27:>446b:?70??e34;8977m;<1:3??e3492o77m;<1;5??e349j?77m;<1`f??e3ty8m>4?:3y]7d5<5:k86?>i;|q21a<72;qU=8j4=2c:>47f3ty8oo4?:3y]7fd<5:ii6?>i;|q2=g<72;qU=4l4=2ae>47f3ty8444?:3y]7=?<5121=<74}r1:3?6=:rT85:52385965`52z\0=f=:;0i1>=h4}r67>5<5sW>?70:;:32e?xu4l?0;6>uQ3e4896b12;:m70j=:03b?xuc;3:1>v3k2;32f>;c<3;n<6s|3`394?4|5:k965=>=90l01lm51d28945428;j70?<7;32e>{t;jo1<74c7349o=7?>9:p`3<72:q6h;4=0g9>`2a:p74g=838p1>?n:32e?85613;:n6s|12094?4|589865=>=9h;015:51d28945428;h70?<7;32g>{tl80;6?u2d18b4>;c:3;n<6s|30`94?4|5:;i6?>i;<12=?76i2wxmk4?:2y>e`4c73tyh:7>52z?ab?4a927h;7?j0:pg=<72:q6nk4=f39>g2<69h16o44>e19~wfc=838p1n9510`89f`=9l:0q~=76;296~;40>0:i=52397954?6=4={<:6>76a342?628o;7p}k7;296~;c?38;j63l9;32f>{t9:21<77}:;h31=h>4=2ca>47>3tyjh7>52z?b`?47n27jo7?>b:p<3<72;q64;4=0g9><1<69h1v>77:18185>?3k;70=69;3f4>{tl90;69u219:95d6<5m:1>=h4=010>47e34;8;7?>b:p53e=83>p1<67:0;g?871k38;j63>30822f=:=h4=`a954g52z?1e3<58o16>l;510`8yv5>l3:1>v3<9d82a5=:;h:1=<74}r3:4?6=:r7:454=039>56d=98i0q~=>c;297~;49k0j<63<1`8b4>;49m0:i=5rs00`>5<5s4;957o?;<305?75k2wx>l950;0x97g02;:m70{t;821<74c7349o57?>c:p02<72;q6894n0:?7f?203tyhm7>53z?`f?7b827hj7?>a:?0`a<6901vnm50;0x9fb=9l:01nh510`8yv7?13:1?v3>8982b6=:9:;1=574=5`95=?56z?2<=<6n<16=>?519c891d=91k01>o=:03b?85??3;:m638c9>0g<60k16?l<510`896>028;i70=nd;32f>{t91i1<78t=0:;>4`>34;8=7?7c:?7f?7?k278m?4>1b9>7=1=98i01>oi:03a?xu60m0;6:u219:95cd<589:6<6k;<6a>4>c349257?>a:?0e7<69m16?59510f896ga28;h7p}>8d83>2}:9121=kj4=012>4>b34>i6<6j;<1:=?76j278m?4>1d9>7=1=98o01>oi:03g?xu60o0;6?u219:95c`<5:k967a7=9l:0q~0j<63=a78b4>;5i00:i=5rs2:7>5<5s493=7o?;<1;1?7b82wx4:4?:5y><37ab=9l:0q~=nc;296~;4im0:i=523`5954d53z?0ec<6m916?l7510`896ea28;i7p}7}:;h91m=523``95`654z?2<=<6i;16>l;51d28945428;o70?<7;32`>{t9:<1<74c734;8n7?>b:p57g=838p1<=>:00b?875j3;n<6s|12c94?4|589i6o?:0g3?xu4lh0;6?u23e;954g<5:ni65:?`f?76m27hh7?>e:?g0?76m278ho4>1`9'6c6=k=1e>hh50:pg6<72gg<69m16oi4>1`9>`1<69m16?il510`8 7`72j>0b?ki:09~wf4=83?p1oh520089fd=98i01nj510`89a2=98i01>jm:03f?!4a83i?7cw0li:332?8ee28;i70mk:03`?8b328;i70=kb;32g>"5n90h86`=eg80?xud83:19v3mf;024>;dj3;:m63ld;32`>;c<3;:m63{zj:=:6=48:b822~J4>k:188k6752900e5j50;9a6fe=8331>7?>{M172?7|@8k87pB<4685!5a03:0(>h9:251?!50=39mm6*{#;9i1=l64Z9;95~422tP9ih4={3596d=h:8=1<7*=09815==i:9=1=65`20494?"58109=55a21596>=h:8?1<7*=09815==i:9=1?65`20194?"58109=55a21590>=h:881<7*=09815==i:9=1965`20394?"58109=55a21592>=h:8:1<7*=09815==i:9=1;65m7;2954:9:0yK5d56133-9ni7o?;%1e4?47n2.8in46b:l0a=<63t.8b89Y<<<5s;?1>>4rZ3gf>7}5?38:6pg6b;29?j4613:1(?>7:33;?k47?3:07b<>7;29 76?2;;37c3:1(?>7:33;?k47?3807b<>5;29 76?2;;37c7:33;?k47?3>07b<>2;29 76?2;;37c7:33;?k47?3<07b<>0;29 76?2;;37c=65a89m651=821bm54?:%033g8;;7?4;hc4>5<#:921m45a21596>=ni?0;6)=i01e>=954:9je6<72-8;47o6;o033?3<3`k96=4+21:9e<=i:9=1:65fa083>!4703k27c4}O9h90q)=?c;3ae>of83:17b5}#;9i1h45G3568H62128q/=?953g68yl>f2900el>50;9l65`=831v4l50;0xZ03m6s|1ec94?4|5>09vPn0:?4>d63;pD5;c594?5=83:p(>>l:e;8L6233E9?:7?t$004>6`33tc3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psmd;297?7=;rF88;4>{I3b7>{#;9i1=om4i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86B<4782!75?39m86sf8`83>>of83:17bj3:1>vP6b:?4>=g52z?4>76a3W8;j6s|1e`94?4|Vh:01:4n0:~f44=8391=7=tL265>4}O9h90q)=?c;3a`>of83:17b5}#;9i1h45G3568H62128q/=?953g68yl>f2900el>50;9l65`=831v4l50;0xZ03m6s|1ec94?4|5>09vPn0:?4>d653;397~J450;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2F88;4>{%313?5a<2wb4l4?::kb4?6=3f8;j7>5;|q:f?6=:rT2n638:9c8yv7ci3:1>v38:32e?[47n2wx=il50;0xZd6<5>0j<6srb0694?5=939p@>:9:0yK5d55;h;a>5<0;6>4?:1y'75e=l01C?9:4L265>4}#9;=1?k:4}h:b>5<=h4}r3gf?6=:rTj<638:`28yxd6n3:1?7?53zN003<6sA;j?6s+31a95f75<7s-9;o7j6;I170>J4{n0h0;66gn0;29?j47n3:17p}6b;296~X>j27<65o4}r3ge?6=:r7<6?>i;_03b>{t9mh1<750;195?5|D:>=66gn0;29?j47n3:17d7m:188f2<72:0;6=u+31a9`<=O;=>0@>:9:0y'571=;o>0qd6n:188md6=831d>=h50;9~w{t9mk1<74}|q:f?6=1rT2n638:8`89=<>j27o64l4=009=g=:9:02n63>4;;a?87a20h01?>59c9~w77>2909wS<>9:?14?47n2.9j=4=199m6``=82wx><950;0xZ77034;m6?>i;%0e4?4602d9ik4>;|q153<72;qU><84=06965`<,;l;6??7;o0fb?452z\150=:9:095rs330>5<5sW8:?63>2;03b>"5n909=55a2dd90>{t:881<71;296~X5981647hh56:p646=838pR???;<5965`<,;l;6??7;o0fb?1<58l1m=5+2g29e<=i:ll1=6s|a683>7}Yi>16=94n0:&1b53;c3?!4a83k27cd6<,;l;6l74n3ge>1=z{h91<77>52z\b6>;?2h:0(?h?:`;8j7ca2?1vl?50;0xZd7<5>0j<6*=f18b=>h5mo0<7psm1e094?772l0::vB<4782M7f;2wG?9955z&0b3<4>l1/?hh5b29'7ce=02.8j<4=e19'7cd=?2w/?=m51`48^=?=:r8=6?:5}[0fa?4|:009>7s`2dc94?"58109i45a21594>=h:l21<7*=0981a<=i:9=1=65`2d594?"58109i45a21596>=h:l<1<7*=0981a<=i:9=1?65`2d694?"58109i45a21590>=h:l91<7*=0981a<=i:9=1965`2d094?"58109i45a21592>=h:l;1<7*=0981a<=i:9=1;65fbe83>!4703hh7c=65bb9m651=921bnl4?:%035<#:921nn5a21597>=nj>0;6)07dl9:18'65>=jj1e>=955:9jf0<72-8;47ll;o033?0<3`h?6=4+21:9ff=i:9=1;65m1e194?5=939p@>:9:0yK5d55<<6gm1;29?ld52900c?k?:188f62c29086=4?{%13g?2b3A9?86g>1`83>>o69k0;66a>e183>>{e;=o1<7=50;2x 66d2=o0D>:;;h32e?6=3`;:n7>5;n3f4?6=3th88k4?:283>5}#;9i1=h=4H267?l76i3:17d?>b;29?j7b83:17p}m1;297~Xe92788i4>1c9>71c=98k0q~l=:180[d5349?h7?>a:?00`<69k1v?k?:181[4b82788k4>e19~w62d2909w0=;d;3f4>;45<5s49?i7?j0:?00c<69k1vq~l>:181[d6349><7l>;|qa6?6=:rTi>63<518a6>{t:l:1<75<42808wA=;6;3xL4g43t.8ce9jf4<722ci>7>5;n0f4?6=3k9><7>53;191~"48j089=5fb083>>oe:3:17b50z&04f<3m2B8895f10c94?=n98h1<75`1d294?=zj:>n6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wi?9h50;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|b083>6}Yj816?9j510`8962b28;j7p}m2;297~Xe:2788i4>1`9>71c=98h0q~v3<4e82a5=:;=l1=e19>71`=98h0qp}m1;296~Xe92789=4m1:pf7<72;qUn?523429f7=z{;o;6=4={_0f4>;4=909i=5r}c3g1?6=;3;1?vB<4782M7f;2w/?=m51bf8mg7=831bn?4?::m1a5<722h89=4?:280>0}#;9i1?8>4ic394?=nj;0;66a=e183>>d44?:1y'75e=5<5<53;294~"48j0?i6F<459j54g=831b=:i:180>5<7s-9;o7?j3:J001=n98k1<75f10`94?=h9l:1<75rsc394?5|Vk;01>:k:03a?853m3;:m6s|b383>6}Yj;16?9j510c8962b28;i7p}=e183>7}Y:l:01>:i:0g3?xu4m67}Yj816?8>5b09~wg4=838pRo<4=273>g452z\1a5=:;<:1>h>4}|`2`3<72:0:6>uC35495~N6i:1v(>>l:0ag?ld62900eo<50;9l6`6=831i?8>50;197?3|,::h6>;?;h`2>5<:;;h32e?6=3`;:n7>5;n3f4?6=3th88h4?:283>5}#;9i18h5G3568m47f2900ee29K7125<5<4sWh:70=;d;32f>;4:k:03b?853m3;:n6s|2d294?4|V;o;70=;f;3f4>{t;=i1<74c7349?j7?>a:p71b=838p1>:j:0g3?853n3;:n6srsc394?4|Vk;01>;?:c38yvd52909wSl=;<164?d53ty9i=4?:3y]6`6<5:?;6?k?;|a5a1=8391=7=tL265>4}O9h90q)=?c;3``>oe93:17dl=:188k7c72900n>;?:180>6<2s-9;o7=:0:ka5?6=3`h96=44o3g3>5<o6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wi?9k50;194?6|,::h69k4H267?l76i3:17d?>b;29?j7b83:17pl<4g83>6<729q/?=m51d18L6233`;:m7>5;h32f?6=3f;n<7>5;|qa5?6=;rTi=63<4e825g=:;=o1=5<4sWh970=;d;32e>;45<5sW8n<63<4g82a5=z{:>h6=4={<17`?7b82788k4>1`9~w62c2909w0=;e;3f4>;45<5sWh:70=:0;`2?xue:3:1>vPm2:?015h>50;0xZ7c7349><77>5;n0f4?6=3k9?h7>53;294~"48j0?i6F<459j54g=831b=:j:180>5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm35d94?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::pf4<72:qUn<5235f954d<5:>n663<4e825d=:;=o1=52z?00a<6m916?9h510c8yv53l3:1>v3<4d82a5=:;=l1={tj;0;6?uQb39>706=j;1v?k?:181[4b82789=4=e19~yg7c13:1?7?53zN003<6sA;j?6s+31a95fb>i5m90;66l<5183>6<425}#;9i18h5G3568m47f2900e5<4290;w)=?c;3f7>N4<=1b=50;9~wg7=839pRo?4=26g>47e349?i7?>a:pf7<72:qUn?5235f954g<5:>n6h>4=26e>4c73ty88n4?:3y>71b=9l:01>:i:03b?xu4m652d28yxd4=l0;6>4?:1y'75e=5<5<53;294~"48j0?h6F<459j54g=831b=8=:180>5<7s-9;o7:k;I170>o69h0;66g>1c83>>i6m90;66sm37694?5=83:p(>>l:5f8L6233`;:m7>5;h32f?6=3f;n<7>5;|`023<72:0;6=u+31a90a=O;=>0e50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zj;oi6=4=:183!57k3;946F<459j54?=831d=h>50;9~w63c2908w0?k4;`2?852m3;n<63<61825g=z{:?m6=4<{<3g1?d6349=<7?j0:?027<69k1v>8>:18087c>3h:70=92;3f4>;4>=0:=o5rs240>5<4s4;o;7l>;<150?7b8278:;4>1c9~w6022908w0?k8;`2?851>3;n<63<69825g=z{:<<6=4={<3g=?d6349=47?j0:p6`g=838pR?kn;<3g=?4b82.9j=4=e89m6``=82wx>h650;0xZ7c?34;o47hh51:p6`1=838pR?k8;<3g3?4b82.9j=4=e89m6``=:2wx>h850;0xZ7c134;o:7hh53:p6`2=838pR?k;;<3g1?4b82.9j=4=e89m6``=<2wx>h=50;0xZ7c434;o87hh55:p6`4=838pR?k=;<3g7?4b82.9j=4=e89m6``=>2wx>h?50;0xZ7c6348nn7?j0:&1b5<5m01e>hh57:pfa<72;qUni521e;9f7=#:o:1nn5a2dd94>{tjk0;6>uQbc9>5a>=j;16?;6510c8 7`72ki0b?ki:09~wgg=839pRoo4=0f4>g4<5:<=65<4sWh270?k6;`1?851<3;:m6*=f18ag>h5mo087p}m7;297~Xe?27:h84m2:?027<69h1/>k>5bb9m6``=<2wxn;4?:2y]f3=:9m>1n?52372954g<,;l;6om4n3ge>0=z{k?1<7=t^c7894b42k801>;j:03b?!4a83hh7ci7?>b:?1ag<6901/>k>5bb9m6``=?2wv4l50;0xZ02n6s|20;94?5|V;;2709520;894b52kn0(?h?:33;?k4bn3:0q~<>7;297~X59>16;7<>7:?2`7<850;1xZ77134=1><84=0f1>gg<,;l;6??7;o0fb?453z\150=:?38:963>d38a=>"5n909=55a2dd97>{t:891<7=t^330?81=:89013?46:27:h?4m6:&1b5<5911e>hh55:p647=839pR??>;<59647<58n96o;4$3d3>77?3g8nj784}r024?6=;rT9==527;024>;6l;0i86*=f1815==i:ll1;6s|34a94?4|5>0jm63>d381ad=#:o:1?8l4n3ge>5=z{:?j6=4={<59e==:9m81>h64$3d3>63e3g8nj7?4}r16=?6=:r7<6l94=0f1>7c03-8m<7=:b:l1ac<53ty8954?:3y>3?g134;o>7hh53:p700=838p1:4n4:?2`7<5m=1/>k>534`8j7ca2=1v>;::18181=i:16=i<52d18 7`72:?i7cv38:`0894b52;o97)h5mo0=7p}<5283>7}:?3k:70?k2;0f5>"5n9089o5a2dd93>{zj;n=6=4::386I53>3;pD4$2d5>6133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9nh77l;o1f=?7<,:l96?h>;o1fe?65}#;9i1hl5G3568H62128q/=?953g68yl>b2900e4=50;9j5;n03b?6=3th8884?:083>5}#;9i1=<64H267?j76?3:17p}6b;296~X>j27<65o4}r;`>5<5sW3h70958d9~w4bf2909w0=;5;323>;02090q~?kb;296~;02;:m7S4=68b4>{zj:02n6s|9b83>7}Y1j16?;l510`8yv47n3:1>vP=0g9>3?47n2wx>k?50;1xZ7`634=1m=5237`954g52z?4>7;tL265>4}O9h90qA=;7;cx 6`?291/?k853668 6cb2h:0(>h?:32e?!5bk33i7c=j8;38 6cc20i0b>k6:19'7c4=:o;0b>kn:19~ 66d28i?7d7m:188m5<22;0>wA=;6;3xL4g43t.8a89je5<722e950z&04f>o>;3:17d6n:188md6=831d>=h50;9~f622290:6=4?{%13g?7602B8895`10594?=z{0h1<7d`83>7}:;=?1=<94=68:7>{t9mh1<74}|`02g<72:0;6=u+31a95`3<@:>?7d?>a;29?l76j3:17b?j0;29?xu>j3:1>vP6b:?4>3?g7349=n7?>a:p73g=838p1:46c:?02g<6m91vqo=>3;291?4==rF88;4>{I3b7>{K;==1mv*39<86*"4n909h4m00;7)=i2;0e5>h4mh0;7p*<0b82g1=n1k0;66g6c;29?lg72900c?>i:188m7`62900n:4?:481>0}K;=<1=vF>a29~ 66d28k27do?:188k76a2900e4l50;9j=f<722c2?7>5;c594?3=83:p(>>l:ec8L6233`2n6=44i8194?=n0h0;66gn0;29?j47n3:17pl<4483>4<729q/?=m510:8L6233f;:;7>5;|q:f?6=:rT2n638:9c8yv?d2909wS7l;<59<`=z{8nj6=4={<171?76?27<64=4}r3gf?6=:r7<6?>i;_03b>{t9mi1<78m:180>5<7s-9;o7?j5:J001=n98k1<75f10`94?=h9l:1<75rs8`94?4|V0h01:46b:p=f<72;qU5n5237`954d52z\14c=:?38;j6s|2g394?5|V;l:7095a19>73d=98k0q~=9a;296~;020i01>8m:0g3?x{e;881<7;52;7xH62128qC=l=4}M173?g|,:l36=5+3g49722<,:on6l>4$2d3>76a3-9no77m;o1f4=#;o81>k?4n2gb>5=z,::h65<>i58o0;66g=f083>>d0290>6?4:{M172?7|@8k87p*<0b82e<=ni90;66a=0g83>>o>j3:17d7l:188m<5=831i;7>55;294~"48j0om6F<459j<`<722c2?7>5;h:b>5<>6=4>:183!57k3;:46F<459l541=831v4l50;0xZ03m6s|9b83>7}Y1j16;76j;|q2`d<72;q6?9;5105892<>;2wx=il50;0x92<58o1U>=h4}r3gg?6=:rTj<638:`28yxd4>k0;6>4?:1y'75e=9l>0D>:;;h32e?6=3`;:n7>5;n3f4?6=3ty2n7>52z\:f>;020h0q~7l:181[?d349=n7?>b:p65`=838pR?>i;<5965`53z\1b4=:?3k;70=9b;32e>{t;?k1<7;4>k0:i=5r}c0g`?6=:3:10e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a6a>=8331<7>t$22`>4ba3A9?86g>1`83>>o69k0;66g>1b83>>o69m0;66g>1d83>>o69o0;66g>2183>>o6:80;66a>e183>>{e;9l1<7650;2x 66d28nn7E=;4:k25d<722c:=o4?::k25f<722c:=i4?::k25`<722c:=k4?::k265<722e:i=4?::a6ag=8381<7>t$22`>44?3A9?86g>1883>>i6m90;66sm30394?4=83:p(>>l:00;?M53<2c:=44?::m2a5<722wx>ik50;0xZ7bb348oj7?j0:p6fd=838pR?mm;<0g2??d3ty9hn4?:3y]6ae<5;no6rTo463=cb8:f>;5l?02n63<0e8:f>;49:02n63<138:f>{t;9n1<72;03b>{t0m0;69uQ8e9>75b=:o;01>?<:3d2?856:38m=6s|30194?4|5:;86?>i;<121?76j2wx>i850;1x97b12;:m70;5lo0:=l5rs3f:>5<4s48o:7k279hl4>e19~w6772909w0=?d;;`?85693;n<6s|2e594?5|5:;>6189~w6732909w0=>2;;`?856=3;n<6s|31g94?4|5::m6i;50;1x97ed2;;270;5l10:><5+2g296a25<3s48ho7<>7:?1`c<69j16>i651328966a288;7)h5mo0:7p}=d383>1}::ji1><84=3fe>47c348o47?>f:?04c<69o1/>k>52e68j7ca2;1v?j>:18084dk38:963=d9825`=:;9l1=7b33g8nj7=4}r0g4?6=;r79on4=129>6a>=98n01>>i:03g?!4a838o86`=eg87?xu5ko0;6>u22ba9644<5;n36nk50;1x97ed2;;:70;48o0:=o5+2g296a25<4s48ho7<>0:?1`=<69h16?=h510c8 7`72;n?7c4;3`I53>3;pD2:m0``<722e85?4?::k0<4<722e5;hf;>5<l1<75f8083>>o5jh0;66g=bb83>>o5jl0;66a<8883>>o6:;0;66l:e;297?4={I3b7>{K;==1=v*>i58o0;66g6b;29?g1=8391<7>t$22`>a?<@:>?7A=;6;3x 4402:l?7pg7a;29?lg72900c?>i:188yg?a29096=4?{%13g?7502B8895f10;94?=h9l:1<75rs8`94?4|V0h014h510;8yv7ci3:1>v38:9c89<`=9l:0q~?kb;296~;02;:m7S4=68b4>{zj;hi6=4::386I53>3;pD6133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9nh77l;o1f=?7<,:l96?h>;o1fe?65}#;9i1hl5G3568m=c=831b5>4?::k;e?6=3`k;6=44o32e>5<51;294~"48j0:=55G3568k4702900q~7m:181[?e34=14l5rs8a94?4|V0i01:47e:p5ag=838p1>:::034?81=1:1vi;|q2`f<72;qUm=527;c3?x{e;?h1<7=50;2x 66d28o?7E=;4:k25d<722c:=o4?::m2a5<722wx5o4?:3y]=g=:?33i7p}6c;296~X>k278:o4>1c9~w76a2909wS76a3ty9j<4?:2y]6c7<5>0j<63<6c825d=z{:4}|`1fa<72<0968uC35495~N6i:1v@>:8:`y'7c>=:2.8j;4<759'7`c=i91/?k>521d8 6cd20h0b>k7:09'7`b=1j1e?h751:&0b7<5n81e?ho50:'75e=9k80e4l50;9j=f<722cj<7>5;n03b?6=3`8m=7>5;c594?3=:3?p@>:9:0yK5d55;h;a>5<>d0290>6=4?{%13g?bf3A9?86g7e;29?l?42900e5o50;9je5<722e9t$22`>47?3A9?86a>1683>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?ka;296~;4<<0:=:527;;0?xu6lk0;6?u27;03b>X58o1v0e7}Y:9l01:4=0g9~w7`62908wSd6<5:7<2sE9?:7?tH0c0?xJ4<>0jw)=i8;08 6`12:=?7)=je;c3?!5a838;j6*h4m10:7)=jd;;`?k5b13;0(>h=:3d2?k5bi3:0q)=?c;3a6>o>j3:17d7l:188md6=831d>=h50;9j6c7=831i;7>55;091~J450;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712>o?i3:17do?:188k76a2900qo=;5;295?6=8r.8199K7125<5sW3i70958`9~w{t9mk1<747034=15>5rs0fa>5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twi?;l50;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|9c83>7}Y1k16;77m;|q:g?6=:rT2o63<6c825g=z{;:m6=4={_03b>;02;:m7p}=f083>6}Y:o;01:4n0:?02g<69h1v>8n:18181=1j16?;l51d28yxd?83:197<55zN003<6sA;j?6sC3559e~"4n1097)=i6;140>"4ml0j<6*"4mm02o6`>o>k3:17do?:188k76a2900e?h>:188f2<72<0968uC35495~N6i:1v(>>l:0c:?lg72900c?>i:188m:;;h:f>5<>of83:17b:;;n323?6=3ty2n7>52z\:f>;021k0q~7l:181[?d34=14h5rs0fb>5<5s49?97?>7:?4><552z?4>76a3W8;j6s|1ea94?4|Vh:01:4n0:~f60e29086=4?{%13g?7b<2B8895f10c94?=n98h1<75`1d294?=z{0h1<7j2wx5n4?:3y]=f=:;?h1={t:o;1<7=t^3d2?81=i916?;l510c8yv51i3:1>v38:8a8960e28o;7psm8383>0<52<6lu+3g:96>"4n?08;95+3dg9e5=#;o:1>=h4$2g`>>of83:17b=64?::`4>5<2290;w)=?c;fb?M53<2c3i7>5;h;0>5<>i58o0;66sm35794?7=83:p(>>l:03;?M53<2e:=:4?::p=g<72;qU5o527;:b?xu>k3:1>vP6c:?4>=c52z?000<69>16;77<;|q2`g<72;q6;7e59K7125<5<5sW3i70959c9~w47e3ty9095<4sW8m=638:`28960e28;j7p}<6`83>7}:?33h70=9b;3f4>{zj:396=4::284I53>3;pD6133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9nh77l;o1f=?7<,:l86>>m;o1ff?65}#;9i1hl5G3568m=c=831b5>4?::k;e?6=3`k;6=44o32e>5<51;294~"48j0:=55G3568k4702900q~7m:181[?e34=14l5rs8a94?4|V0i01:47e:p5ag=838p1>:::034?81=1:1vi;|q2`f<72;qUm=527;c3?x{e;?h1<7=50;2x 66d28o?7E=;4:k25d<722c:=o4?::m2a5<722wi?;j50;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|9c83>7}Y1k16;77m;|q:g?6=:rT2o63<6e825g=z{h:1<77}Y:9l01:4=0g9~w66e2908wS=?b:?02g<69h16?;j510c8yv51k3:1>v38:8a8960c28o;7p}<6`83>7}:?3k;70=9b;3f4>{zj:;36=4::284I53>3;pD6133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9nh77l;o1f=?7<,:l96?h>;o1fe?65}#;9i1hl5G3568m=c=831b5>4?::k;e?6=3`k;6=44o32e>5<51;294~"48j0:=55G3568k4702900q~7m:181[?e34=14l5rs8a94?4|V0i01:47e:p5ag=838p1>:::034?81=1:1vi;|q2`f<72;qUm=527;c3?x{e;:;;h32e?6=3`;:n7>5;n3f4?6=3th8:o4?:283>5}#;9i1=h:4H267?l76i3:17d?>b;29?j7b83:17p}6b;296~X>j27<64l4}r;`>5<5sW3h70=9b;32f>{ti90;6?uQa19>70c=98h0q~;<16a?76i278:o4>1`9~w63c2909w095a19>70c=9l:0q~=9a;296~;020i01>8m:0g3?x{e?j0;6>4?:1y'75e=9l>0D>:;;h32e?6=3`;:n7>5;n3f4?6=3th9o94?:583>5}#;9i19=5G3568m47f2900e3290>6=4?{%13g?7b12B8895f10c94?=n98h1<75f10a94?=n98n1<75`1d294?=zj:2n6=4=:183!57k3;946F<459j54?=831d=h>50;9~f6c429096=4?{%13g?7502B8895f10;94?=h9l:1<75rb2;5>5<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a6f0=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{e:j21<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wi>no50;194?6|,::h6o69h0;66g>1c83>>i6m90;66sm39f94?5=83:p(>>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`0a7<72:0;6=u+31a90`=O;=>0e5<5sW9oi63;41;095<2sW93=638c;32e>;40m0:=l523d0954g<5?:1=<74}r5a>5<>sW=i70;5jm09j<522cd96c7<51:1>k?4=9096c7<5:396>>m;<12;5jk02n63=be8:f>;5jo02n6370;;a?8>520h01>7=:8`8967?20h0q~9i:180[1a342;64m4=96954g520i015:510a8yv4ei3:1?vP=b`9>6gd=1j16>n8510c8yv4ek3:1?vP=bb9>6gb=1j16>n6510c8yv4em3:1?vP=bd9>6g`=1j16>no510c8yv5?13:1>vP<889>7=c=9l:0q~?=2;297~X6:;16?4<5a19>74>=i91v:k50;1x9=2=9l:01>79:03b?85?l3;:n6s|39a94?4|5:2n61`<58o16;n4>1c9~w7e22909w0;5k?0:i=5rs3a4>5<5s48h87?>b:?1g=<6m91v?m6:18184d<3;:o63=c`82a5=z{1:1<71=5<5s4296?>i;<:7>47c3ty8=54?:3y>74>=:9l01>k=:03a?xu5jk0;6?u22c`965`<5;i=6oj521d897e?28;i7p}=bg83>7}::kl1>=h4=3ab>47e3ty8i<4?:3y>7`5=98301>k=:0g3?xu5j00;6?u22b695`6<5:3=6i7o?;<43>4c73ty8584?:2y>7<4=1j16?<659b9>7<0=9l:0qpl<7b83>7<729q/?=m513:8L6233`;:57>5;n3f4?6=3th84=4?:383>5}#;9i1=?64H267?l7613:17b?j0;29?xd4=l0;6>4?:1y'75e=5<5<53;294~"48j0:i>5G3568m47f2900e5<5290;w)=?c;31<>N4<=1b=<750;9l5`6=831v4<50;0xZ<4<5:=265m4}r1:7?6=:rT85>5236497<47>54z\0<7=:;o?1?5?4=25b>6>6349<:7=71:p7a`=838pR>ji;<142?5cm2wx?nm50;1xZ6ed349m97=lb:?03d<4kk1v>m8:181[5d?278j846m:181[5?j278;44<889~w6dd2909wS=mc:?0b0<4j91v>6i:181[5?n278j84<8g9~w6e32908wS=l4:?036<4k=16?:753b68yv5><3:1>vP<959>72?=;080q~=73;296~X40:16?:753938yv5?03:1>vP<899>72?=;120q~=md;296~X4jm16?k;53e08yv5b83:1>vP72?=;mo0q~=ld;296~X4km16?:753b`8yv5e<3:1>vP7c3=;k>0q~=8b;296~X4?k16?:m51d28yv50n3:1>vP<7g9>7=6=9l:0q~=n4;297~X4i=16?k;53`18961f2:k87p}60;296~X>8278;447b:p=4<72?qU5<523619;1h5523649`==z{:i>6=4={_1`1>;4n<08o85rse794?4|Vm?01>96:cg8yv5>?3:1>vP<969>72?=;0=0q~=n5;296~X4i<16?:753`18yv5c?3:1>vP72?=;m<0q~=6a;296~X41h16?:o538c8yv5>k3:1>vP<9b9>72?=;0i0q~=j5;290~X4m<16?:=53d6896`22:o?70=8a;1f0>{t;1k1<739356s|2eg94?4|5:l>6?jj;<145?4cm2wx>nl50;0x96`22;ii70=81;0`f>{t9:?1<7452349<57?<5:p50c=838p1>9<:07f?850i3;>i6s|13;94?4|5:=j6<<6;<14=?7512wx>hm50;0x96142;oh70=:e;32e>{t:o91<77`4349n;7?>b:p00<72;q6?:75459>72c=98h0q~:9:181850i3>?70=8e;32e>{t:mi1<77bd349<=77c3=?k16?:o57c9>727=0m16?:857c9~w6102909w0=86;0aa>;4?10:i=5rs043>5<5s4991v>>k:181850939;h631c9~w6c12909w0=j7;3f4>;4?10:=45rs3a2>5<5s49m979b9~w7e72909w0=8a;0a=>;4??09nn5rs25g>5<5s499:?03`<6m91v<;<:181850i3;>?63<78821a=z{;8j6=4={<147?45i278j84=2`9~w63c2909w0=86;5e?852m3;n<6s|2`c94?4|5:=86?on;<1e1?4fi2wx=?<50;0x9614288970=86;316>{t0:0;6?u236c93`=:;><14<5rs0;2>5<5s496?:03:?xu59o0;6?uQ20d896`22;;m7)h5mo0;7p}=1e83>7}Y:8n01>h::33g?!4a838:i6`=eg82?xu5:10;6?uQ23:896`22;837)h5mo097p}=2683>7}Y:;=01>h::304?!4a838:i6`=eg80?xu5:?0;6?uQ234896`22;8=7)h5mo0?7p}=2483>7}Y:;?01>h::306?!4a838:i6`=eg86?xu5:=0;6?uQ236896`22;8?7)h5mo0=7p}=2283>7}Y:;901>h::300?!4a838:i6`=eg84?xu5:;0;6?uQ230896`22;897)h5mo037p}=2083>7}Y:;;01>h::302?!4a838:i6`=eg8:?xu5:90;6?uQ232896`22;8;7)h5mo0j7p}=1b83>7}Y:8i01>h::33`?!4a838:i6`=eg8a?xu083:1>vP80:?036<4j2.9j=49f:l1ac<73ty=i7>52z\5a>;4?:0856*=f185b>h5mo0:7p}9d;297~X1l278;>4<8:?0b0<402.9j=49f:l1ac<53ty=o7>53z\5g>;4?:08:63"5n90=j6`=eg80?xu1i3:1?vP9a:?036<4<278j84<4:&1b5<1n2d9ik4;;|q5=?6=;rT=563<72807>;4n<08?6*=f185b>h5mo0>7p}98;297~X10278;>4<2:?0b0<4:2.9j=49f:l1ac<13ty=;7>53z\53>;4?:08=63"5n90=j6`=eg84?xu1>3:1?vP96:?036<48278j84<0:&1b5<1n2d9ik47;|q51?6=;rT=963<7281b>;4n<09j6*=f185b>h5mo027p}94;297~X1<278;>4=e:?0b0<5m2.9j=49f:l1ac53z\57>;4?:09h63"5n90=j6`=eg8a?xu1:3:1?vP92:?036<5k278j84=c:&1b5<1n2d9ik4l;|q55?6=;rT==63<7281f>;4n<09n6*=f185b>h5mo0o7p}88;297~X00278;>4;3:?0b0<3;2.9j=49f:l1ac53z\43>;4?:0?>63"5n90=j6`=eg8e?xu0>3:1>vP86:?0b0<392.9j=49f:l1ac<682wx;84?:3y]30=:;o?18=5+2g292c=i:ll1=<5rs6694?4|V>>01>h::2d8 7`72?l0b?ki:008yv142909wS9<;<1e1?5b3-8m<78i;o0fb?743ty<>7>52z\46>;4n<08h6*=f185b>h5mo0:86s|7083>7}Y?816?k;53b9'6c6=>o1e>hh5149~w3d=838pR;l4=2d6>63<,;l;6;h4n3ge>40|I|I/O|INPUT||||||||| -P10|nAS_IOB|O|I/O|OUTPUT||||||||| -P11|nDTACK_FSB|O|I/O|OUTPUT||||||||| -P12|A_FSB<9>|I|I/O|INPUT||||||||| -P13|nAS_FSB|I|I/O|INPUT||||||||| -P14|nBERR_FSB|O|I/O|OUTPUT||||||||| -P15|A_FSB<5>|I|I/O|INPUT||||||||| -P16|A_FSB<2>|I|I/O|INPUT||||||||| -P17|nOE|O|I/O|OUTPUT||||||||| -P18|nBERR_IOB|I|I/O|INPUT||||||||| -P19|A_FSB<6>|I|I/O|INPUT||||||||| -P20|A_FSB<7>|I|I/O|INPUT||||||||| -P21|GND||GND|||||||||| -P22|CLK2X_IOB|GCK|I/O/GCK1|||||||||| -P23|CLK_FSB|GCK|I/O/GCK2|||||||||| -P24|nRAS|O|I/O|OUTPUT||||||||| -P25|nLDS_FSB|I|I/O|INPUT||||||||| -P26|VCC||VCCIO|||||||||| -P27|CLK_IOB|GCK/I|I/O/GCK3|||||||||| -P28|TIE||I/O|||||||||| -P29|nADoutLE1|O|I/O|OUTPUT||||||||| -P30|TIE||I/O|||||||||| -P31|GND||GND|||||||||| -P32|TIE||I/O|||||||||| -P33|nVMA_IOB|O|I/O|OUTPUT||||||||| -P34|TIE||I/O|||||||||| -P35|RA<1>|O|I/O|OUTPUT||||||||| -P36|TIE||I/O|||||||||| -P37|TIE||I/O|||||||||| -P38|VCC||VCCIO|||||||||| -P39|RA<3>|O|I/O|OUTPUT||||||||| -P40|TIE||I/O|||||||||| -P41|TIE||I/O|||||||||| -P42|nROMCS|O|I/O|OUTPUT||||||||| -P43|TIE||I/O|||||||||| -P44|GND||GND|||||||||| -P45|TDI||TDI|||||||||| -P46|TIE||I/O|||||||||| -P47|TMS||TMS|||||||||| -P48|TCK||TCK|||||||||| -P49|E_IOB|I|I/O|INPUT||||||||| -P50|RA<5>|O|I/O|OUTPUT||||||||| -P51|VCC||VCCIO|||||||||| -P52|nUDS_FSB|I|I/O|INPUT||||||||| -P53|RA<6>|O|I/O|OUTPUT||||||||| -P54|nDTACK_IOB|I|I/O|INPUT||||||||| -P55|RA<7>|O|I/O|OUTPUT||||||||| -P56|A_FSB<4>|I|I/O|INPUT||||||||| -P57|VCC||VCCINT|||||||||| -P58|RA<8>|O|I/O|OUTPUT||||||||| -P59|nVPA_IOB|I|I/O|INPUT||||||||| -P60|RA<9>|O|I/O|OUTPUT||||||||| -P61|nWE_FSB|I|I/O|INPUT||||||||| -P62|GND||GND|||||||||| -P63|RA<11>|O|I/O|OUTPUT||||||||| -P64|A_FSB<8>|I|I/O|INPUT||||||||| -P65|RA<10>|O|I/O|OUTPUT||||||||| -P66|A_FSB<23>|I|I/O|INPUT||||||||| -P67|nADoutLE0|O|I/O|OUTPUT||||||||| -P68|A_FSB<21>|I|I/O|INPUT||||||||| -P69|GND||GND|||||||||| -P70|nAoutOE|O|I/O|OUTPUT||||||||| -P71|A_FSB<20>|I|I/O|INPUT||||||||| -P72|nDinLE|O|I/O|OUTPUT||||||||| -P73|A_FSB<19>|I|I/O|INPUT||||||||| -P74|nCAS|O|I/O|OUTPUT||||||||| -P75|GND||GND|||||||||| -P76|A_FSB<18>|I|I/O|INPUT||||||||| -P77|nRAMLWE|O|I/O|OUTPUT||||||||| -P78|A_FSB<3>|I|I/O|INPUT||||||||| -P79|nRAMUWE|O|I/O|OUTPUT||||||||| -P80|A_FSB<15>|I|I/O|INPUT||||||||| -P81|nROMWE|O|I/O|OUTPUT||||||||| -P82|A_FSB<13>|I|I/O|INPUT||||||||| -P83|TDO||TDO|||||||||| -P84|GND||GND|||||||||| -P85|nVPA_FSB|O|I/O|OUTPUT||||||||| -P86|A_FSB<11>|I|I/O|INPUT||||||||| -P87|RA<0>|O|I/O|OUTPUT||||||||| -P88|VCC||VCCIO|||||||||| -P89|A_FSB<10>|I|I/O|INPUT||||||||| -P90|A_FSB<1>|I|I/O|INPUT||||||||| -P91|RA<2>|O|I/O|OUTPUT||||||||| -P92|A_FSB<12>|I|I/O|INPUT||||||||| -P93|A_FSB<14>|I|I/O|INPUT||||||||| -P94|RA<4>|O|I/O|OUTPUT||||||||| -P95|A_FSB<16>|I|I/O|INPUT||||||||| -P96|A_FSB<17>|I|I/O|INPUT||||||||| -P97|nDinOE|O|I/O|OUTPUT||||||||| -P98|VCC||VCCINT|||||||||| -P99|nRES|GSR/I|I/O/GSR|||||||||| -P100|GND||GND|||||||||| - -To preserve the pinout above for future design iterations in -Project Navigator simply execute the (Lock Pins) process -located under the (Implement Design) process in a toolbox named -(Optional Implementation Tools) or invoke PIN2UCF from the -command line. The location constraints will be written into your -specified UCF file - - diff --git a/cpld/XC95144XL/MXSE.pnx b/cpld/XC95144XL/MXSE.pnx deleted file mode 100644 index 500291d..0000000 --- a/cpld/XC95144XL/MXSE.pnx +++ /dev/null @@ -1,18 +0,0 @@ - - - - - - -]> - diff --git a/cpld/XC95144XL/MXSE.rpt b/cpld/XC95144XL/MXSE.rpt deleted file mode 100644 index add4798..0000000 --- a/cpld/XC95144XL/MXSE.rpt +++ /dev/null @@ -1,1797 +0,0 @@ - -cpldfit: version P.20131013 Xilinx Inc. - Fitter Report -Design Name: MXSE Date: 12-11-2021, 6:24AM -Device Used: XC95144XL-10-TQ100 -Fitting Status: Successful - -************************* Mapped Resource Summary ************************** - -Macrocells Product Terms Function Block Registers Pins -Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -110/144 ( 76%) 439 /720 ( 61%) 244/432 ( 56%) 84 /144 ( 58%) 67 /81 ( 83%) - -** Function Block Resources ** - -Function Mcells FB Inps Pterms IO -Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 9/18 36/54 80/90 11/11* -FB2 18/18* 35/54 34/90 6/10 -FB3 14/18 35/54 77/90 6/10 -FB4 10/18 36/54 82/90 10/10* -FB5 17/18 35/54 47/90 4/10 -FB6 18/18* 24/54 37/90 10/10* -FB7 17/18 35/54 76/90 10/10* -FB8 7/18 8/54 6/90 10/10* - ----- ----- ----- ----- - 110/144 244/432 439/720 67/81 - -* - Resource is exhausted - -** Global Control Resources ** - -Signal 'CLK2X_IOB' mapped onto global clock net GCK1. -Signal 'CLK_FSB' mapped onto global clock net GCK2. -Signal 'CLK_IOB' mapped onto global clock net GCK3. -Global output enable net(s) unused. -Signal 'nRES' mapped onto global set/reset net GSR. - -** Pin Resources ** - -Signal Type Required Mapped | Pin Type Used Total -------------------------------------|------------------------------------ -Input : 31 31 | I/O : 63 73 -Output : 32 32 | GCK/IO : 3 3 -Bidirectional : 0 0 | GTS/IO : 0 4 -GCK : 3 3 | GSR/IO : 1 1 -GTS : 0 0 | -GSR : 1 1 | - ---- ---- - Total 67 67 - -** Power Data ** - -There are 110 macrocells in high performance mode (MCHP). -There are 0 macrocells in low power mode (MCLP). -End of Mapped Resource Summary - ************************** Errors and Warnings *************************** - -WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will - use the default filename of 'MXSE.ise'. - ************************* Summary of Mapped Logic ************************ - -** 32 Outputs ** - -Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init -Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 23 32 FB1_2 11 I/O O STD FAST RESET -nBERR_FSB 3 9 FB1_6 14 I/O O STD FAST -nOE 1 2 FB1_11 17 I/O O STD FAST -nLDS_IOB 3 7 FB2_11 6 I/O O STD FAST RESET -nUDS_IOB 3 7 FB2_12 7 I/O O STD FAST RESET -nDoutOE 2 7 FB2_14 8 I/O O STD FAST RESET -nAS_IOB 1 5 FB2_17 10 I/O O STD FAST RESET -nRAS 3 8 FB3_5 24 I/O O STD FAST -nADoutLE1 2 3 FB3_11 29 I/O O STD FAST SET -nVMA_IOB 2 9 FB3_15 33 I/O O STD FAST RESET -RA<0> 2 3 FB4_2 87 I/O O STD FAST -RA<2> 2 3 FB4_8 91 I/O O STD FAST -RA<4> 2 3 FB4_12 94 I/O O STD FAST -nDinOE 2 6 FB4_17 97 I/O O STD FAST -RA<1> 2 3 FB5_2 35 I/O O STD FAST -RA<3> 2 3 FB5_8 39 I/O O STD FAST -nROMCS 2 5 FB5_12 42 I/O O STD FAST -nCAS 1 1 FB6_2 74 I/O O STD FAST RESET -nRAMLWE 1 5 FB6_6 77 I/O O STD FAST -nRAMUWE 1 5 FB6_9 79 I/O O STD FAST -nROMWE 1 2 FB6_12 81 I/O O STD FAST -nVPA_FSB 1 2 FB6_15 85 I/O O STD FAST -RA<5> 2 3 FB7_2 50 I/O O STD FAST -RA<6> 2 3 FB7_6 53 I/O O STD FAST -RA<7> 2 3 FB7_9 55 I/O O STD FAST -RA<8> 2 3 FB7_12 58 I/O O STD FAST -RA<9> 2 3 FB7_15 60 I/O O STD FAST -RA<11> 1 1 FB8_2 63 I/O O STD FAST -RA<10> 1 1 FB8_6 65 I/O O STD FAST -nADoutLE0 1 2 FB8_9 67 I/O O STD FAST -nAoutOE 0 0 FB8_12 70 I/O O STD FAST -nDinLE 1 2 FB8_15 72 I/O O STD FAST RESET - -** 78 Buried Nodes ** - -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -$OpTx$FX_DC$606 5 12 FB1_4 STD -fsb/Ready1r 7 17 FB1_7 STD RESET -fsb/VPA__or00001/fsb/VPA__or00001_D2 8 20 FB1_10 STD -fsb/Ready2r 9 22 FB1_12 STD RESET -fsb/VPA 22 30 FB1_15 STD RESET -$OpTx$FX_DC$602 2 5 FB1_17 STD -iobm/VPArr 1 1 FB2_1 STD RESET -iobm/VPArf 1 1 FB2_2 STD RESET -iobm/RESrr 1 1 FB2_3 STD RESET -iobm/RESrf 1 1 FB2_4 STD RESET -iobm/IOREQr 1 1 FB2_5 STD RESET -cnt/RefCnt<3> 1 3 FB2_6 STD RESET -cnt/RefCnt<2> 1 2 FB2_7 STD RESET -cnt/RefCnt<1> 1 1 FB2_8 STD RESET -fsb/BERR1r 2 4 FB2_9 STD RESET -cnt/RefDone 2 10 FB2_10 STD RESET -cnt/TimeoutBPre 3 11 FB2_13 STD RESET -TimeoutB 3 12 FB2_15 STD RESET -TimeoutA 3 10 FB2_16 STD RESET -iobs/IOReady 4 8 FB2_18 STD RESET -IORW0 18 20 FB3_3 STD RESET -iobs/IOACTr 1 1 FB3_4 STD RESET -iobs/Clear1 1 3 FB3_6 STD RESET -fsb/ASrf 1 1 FB3_7 STD RESET -ALE0S 1 2 FB3_8 STD RESET -iobs/PS_FSM_FFd2 14 19 FB3_9 STD RESET -iobs/PS_FSM_FFd1 2 3 FB3_12 STD RESET -IOREQ 14 19 FB3_13 STD RESET -iobm/ETACK 1 6 FB3_14 STD RESET -iobs/IORW1 16 19 FB3_17 STD RESET -ram/BACTr 1 2 FB3_18 STD RESET -ram/RASEL 20 15 FB4_1 STD RESET -cs/nOverlay1 2 3 FB4_3 STD RESET -iobs/Load1 14 18 FB4_6 STD RESET -iobs/Once 17 18 FB4_10 STD RESET -ram/RAMDIS1 18 15 FB4_14 STD RESET -fsb/BERR0r 3 8 FB4_16 STD RESET -IOACT 10 15 FB5_1 STD RESET -iobm/IOS_FSM_FFd7 1 3 FB5_3 STD RESET -iobm/IOS_FSM_FFd6 1 1 FB5_4 STD RESET - -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -iobm/IOS_FSM_FFd5 1 1 FB5_5 STD RESET -iobm/IOS_FSM_FFd4 1 1 FB5_6 STD RESET -iobm/BERRrr 1 1 FB5_7 STD RESET -iobm/BERRrf 1 1 FB5_9 STD RESET -cnt/RefCnt<0> 0 0 FB5_10 STD RESET -iobm/IOS_FSM_FFd8 2 4 FB5_11 STD SET -ALE0M 2 7 FB5_13 STD RESET -iobm/IOS_FSM_FFd2 4 9 FB5_14 STD RESET -BERR_IOBS 4 8 FB5_15 STD RESET -iobm/IOS_FSM_FFd3 5 10 FB5_16 STD RESET -IOBERR 8 11 FB5_18 STD RESET -iobm/Er2 1 1 FB6_1 STD RESET -iobm/DTACKrr 1 1 FB6_3 STD RESET -iobm/DTACKrf 1 1 FB6_4 STD RESET -RefAck 1 2 FB6_5 STD RESET -iobs/IOU1 2 2 FB6_7 STD RESET -iobs/IOL1 2 2 FB6_8 STD RESET -iobm/ES<3> 3 6 FB6_10 STD RESET -iobm/ES<1> 3 4 FB6_11 STD RESET -iobm/ES<0> 3 7 FB6_13 STD RESET -IOU0 3 5 FB6_14 STD RESET -IOL0 3 5 FB6_16 STD RESET -iobm/ES<4> 4 7 FB6_17 STD RESET -iobm/ES<2> 5 7 FB6_18 STD RESET -cnt/RefCnt<5> 1 5 FB7_1 STD RESET -cnt/RefCnt<4> 1 4 FB7_3 STD RESET -cs/nOverlay0 2 7 FB7_4 STD RESET -ram/RS_FSM_FFd3 11 14 FB7_5 STD RESET -fsb/Ready0r 3 8 FB7_7 STD RESET -ram/RS_FSM_FFd1 5 10 FB7_8 STD RESET -ram/Once 5 10 FB7_10 STD RESET -ram/RAMDIS2 7 15 FB7_11 STD RESET -ram/RS_FSM_FFd2 13 14 FB7_13 STD RESET -cnt/RefCnt<7> 1 7 FB7_14 STD RESET -cnt/RefCnt<6> 1 6 FB7_16 STD RESET -ram/RAMReady 16 15 FB7_17 STD RESET -iobm/IOS_FSM_FFd1 1 1 FB8_17 STD RESET -iobm/Er 1 1 FB8_18 STD RESET - -** 35 Inputs ** - -Signal Loc Pin Pin Pin -Name No. Type Use -A_FSB<9> FB1_3 12 I/O I -nAS_FSB FB1_5 13 I/O I -A_FSB<5> FB1_8 15 I/O I -A_FSB<2> FB1_9 16 I/O I -nBERR_IOB FB1_12 18 I/O I -A_FSB<6> FB1_14 19 I/O I -A_FSB<7> FB1_15 20 I/O I -CLK2X_IOB FB1_17 22~ GCK/I/O GCK -nRES FB2_2 99~ GSR/I/O GSR/I -A_FSB<22> FB2_15 9 I/O I -CLK_FSB FB3_2 23~ GCK/I/O GCK -nLDS_FSB FB3_6 25 I/O I -CLK_IOB FB3_8 27~ GCK/I/O GCK/I -A_FSB<10> FB4_5 89 I/O I -A_FSB<1> FB4_6 90 I/O I -A_FSB<12> FB4_9 92 I/O I -A_FSB<14> FB4_11 93 I/O I -A_FSB<16> FB4_14 95 I/O I -A_FSB<17> FB4_15 96 I/O I -E_IOB FB5_17 49 I/O I -A_FSB<18> FB6_5 76 I/O I -A_FSB<3> FB6_8 78 I/O I -A_FSB<15> FB6_11 80 I/O I -A_FSB<13> FB6_14 82 I/O I -A_FSB<11> FB6_17 86 I/O I -nUDS_FSB FB7_5 52 I/O I -nDTACK_IOB FB7_8 54 I/O I -A_FSB<4> FB7_11 56 I/O I -nVPA_IOB FB7_14 59 I/O I -nWE_FSB FB7_17 61 I/O I -A_FSB<8> FB8_5 64 I/O I -A_FSB<23> FB8_8 66 I/O I -A_FSB<21> FB8_11 68 I/O I -A_FSB<20> FB8_14 71 I/O I -A_FSB<19> FB8_17 73 I/O I - -Legend: -Pin No. - ~ - User Assigned - ************************** Function Block Details ************************ -Legend: -Total Pt - Total product terms used by the macrocell signal -Imp Pt - Product terms imported from other macrocells -Exp Pt - Product terms exported to other macrocells - in direction shown -Unused Pt - Unused local product terms remaining in macrocell -Loc - Location where logic was mapped in device -Pin Type/Use - I - Input GCK - Global Clock - O - Output GTS - Global Output Enable - (b) - Buried macrocell GSR - Global Set/Reset -X - Signal used as input to the macrocell logic. -Pin No. - ~ - User Assigned - *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 36/18 -Number of signals used by logic mapping into function block: 36 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 \/5 0 FB1_1 (b) (b) -nDTACK_FSB 23 18<- 0 0 FB1_2 11 I/O O -(unused) 0 0 /\5 0 FB1_3 12 I/O I -$OpTx$FX_DC$606 5 3<- /\3 0 FB1_4 (b) (b) -(unused) 0 0 /\3 2 FB1_5 13 I/O I -nBERR_FSB 3 0 \/2 0 FB1_6 14 I/O O -fsb/Ready1r 7 2<- 0 0 FB1_7 (b) (b) -(unused) 0 0 0 5 FB1_8 15 I/O I -(unused) 0 0 \/3 2 FB1_9 16 I/O I -fsb/VPA__or00001/fsb/VPA__or00001_D2 - 8 3<- 0 0 FB1_10 (b) (b) -nOE 1 0 \/4 0 FB1_11 17 I/O O -fsb/Ready2r 9 4<- 0 0 FB1_12 18 I/O I -(unused) 0 0 \/5 0 FB1_13 (b) (b) -(unused) 0 0 \/5 0 FB1_14 19 I/O I -fsb/VPA 22 17<- 0 0 FB1_15 20 I/O I -(unused) 0 0 /\5 0 FB1_16 (b) (b) -$OpTx$FX_DC$602 2 0 /\2 1 FB1_17 22 GCK/I/O GCK -(unused) 0 0 \/5 0 FB1_18 (b) (b) - -Signals Used by Logic in Function Block - 1: $OpTx$FX_DC$602 13: A_FSB<20> 25: fsb/BERR1r - 2: $OpTx$FX_DC$606 14: A_FSB<21> 26: fsb/Ready0r - 3: A_FSB<10> 15: A_FSB<22> 27: fsb/Ready1r - 4: A_FSB<11> 16: A_FSB<23> 28: fsb/Ready2r - 5: A_FSB<12> 17: A_FSB<8> 29: fsb/VPA - 6: A_FSB<13> 18: A_FSB<9> 30: fsb/VPA__or00001/fsb/VPA__or00001_D2 - 7: A_FSB<14> 19: BERR_IOBS 31: iobs/IOReady - 8: A_FSB<15> 20: TimeoutA 32: nADoutLE1 - 9: A_FSB<16> 21: TimeoutB 33: nAS_FSB - 10: A_FSB<17> 22: cs/nOverlay1 34: nDTACK_FSB - 11: A_FSB<18> 23: fsb/ASrf 35: nWE_FSB - 12: A_FSB<19> 24: fsb/BERR0r 36: ram/RAMReady - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -nDTACK_FSB X.XXXXXXXXXXXXXXXXXX.XXXXXXX..XXXXXX.... 32 -$OpTx$FX_DC$606 .....XX.XXXXXXX......X.........X..X..... 12 -nBERR_FSB ............XXXX..X.X..XX.......X....... 9 -fsb/Ready1r .....XX.XXXXXXXX.....XX...X...XXX.X..... 17 -fsb/VPA__or00001/fsb/VPA__or00001_D2 - ..XXXXXXXXXXXXXXXX.X.X.....X......X..... 20 -nOE ................................X.X..... 2 -fsb/Ready2r ..XXXXXXXXXXXXXXXX.X.XX....X....X.X..... 22 -fsb/VPA XXXXXXXXXXXXXXXXXXX..XXXXXX.XXX.X..X.... 30 -$OpTx$FX_DC$602 ............XXXX....X................... 5 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -iobm/VPArr 1 0 0 4 FB2_1 (b) (b) -iobm/VPArf 1 0 0 4 FB2_2 99 GSR/I/O GSR/I -iobm/RESrr 1 0 0 4 FB2_3 (b) (b) -iobm/RESrf 1 0 0 4 FB2_4 (b) (b) -iobm/IOREQr 1 0 0 4 FB2_5 1 GTS/I/O (b) -cnt/RefCnt<3> 1 0 0 4 FB2_6 2 GTS/I/O (b) -cnt/RefCnt<2> 1 0 0 4 FB2_7 (b) (b) -cnt/RefCnt<1> 1 0 0 4 FB2_8 3 GTS/I/O (b) -fsb/BERR1r 2 0 0 3 FB2_9 4 GTS/I/O (b) -cnt/RefDone 2 0 0 3 FB2_10 (b) (b) -nLDS_IOB 3 0 0 2 FB2_11 6 I/O O -nUDS_IOB 3 0 0 2 FB2_12 7 I/O O -cnt/TimeoutBPre 3 0 0 2 FB2_13 (b) (b) -nDoutOE 2 0 0 3 FB2_14 8 I/O O -TimeoutB 3 0 0 2 FB2_15 9 I/O I -TimeoutA 3 0 0 2 FB2_16 (b) (b) -nAS_IOB 1 0 0 4 FB2_17 10 I/O O -iobs/IOReady 4 0 0 1 FB2_18 (b) (b) - -Signals Used by Logic in Function Block - 1: BERR_IOBS 13: cnt/RefCnt<3> 25: iobm/IOS_FSM_FFd5 - 2: IOBERR 14: cnt/RefCnt<4> 26: iobm/IOS_FSM_FFd6 - 3: IOL0 15: cnt/RefCnt<5> 27: iobm/IOS_FSM_FFd7 - 4: IOREQ 16: cnt/RefCnt<6> 28: iobs/IOACTr - 5: IORW0 17: cnt/RefCnt<7> 29: iobs/IOReady - 6: IOU0 18: cnt/RefDone 30: iobs/Once - 7: RefAck 19: cnt/TimeoutBPre 31: iobs/PS_FSM_FFd2 - 8: TimeoutA 20: fsb/ASrf 32: nADoutLE1 - 9: TimeoutB 21: fsb/BERR1r 33: nAS_FSB - 10: cnt/RefCnt<0> 22: iobm/IOS_FSM_FFd2 34: nRES - 11: cnt/RefCnt<1> 23: iobm/IOS_FSM_FFd3 35: nVPA_IOB - 12: cnt/RefCnt<2> 24: iobm/IOS_FSM_FFd4 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/VPArr ..................................X..... 1 -iobm/VPArf ..................................X..... 1 -iobm/RESrr .................................X...... 1 -iobm/RESrf .................................X...... 1 -iobm/IOREQr ...X.................................... 1 -cnt/RefCnt<3> .........XXX............................ 3 -cnt/RefCnt<2> .........XX............................. 2 -cnt/RefCnt<1> .........X.............................. 1 -fsb/BERR1r X..................XX...........X....... 4 -cnt/RefDone ......X..XXXXXXXXX...................... 10 -nLDS_IOB ..X.X.................XXXXX............. 7 -nUDS_IOB ....XX................XXXXX............. 7 -cnt/TimeoutBPre .........XXXXXXXX.XX............X....... 11 -nDoutOE ....X................XXXXXX............. 7 -TimeoutB ........XXXXXXXXX.XX............X....... 12 -TimeoutA .......X.XXXXXXX...X............X....... 10 -nAS_IOB ......................XXXXX............. 5 -iobs/IOReady .X.................X.......XXXXXX....... 8 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 \/4 1 FB3_1 (b) (b) -(unused) 0 0 \/5 0 FB3_2 23 GCK/I/O GCK -IORW0 18 13<- 0 0 FB3_3 (b) (b) -iobs/IOACTr 1 0 /\4 0 FB3_4 (b) (b) -nRAS 3 0 0 2 FB3_5 24 I/O O -iobs/Clear1 1 0 0 4 FB3_6 25 I/O I -fsb/ASrf 1 0 0 4 FB3_7 (b) (b) -ALE0S 1 0 \/4 0 FB3_8 27 GCK/I/O GCK/I -iobs/PS_FSM_FFd2 14 9<- 0 0 FB3_9 28 I/O (b) -(unused) 0 0 /\5 0 FB3_10 (b) (b) -nADoutLE1 2 0 \/2 1 FB3_11 29 I/O O -iobs/PS_FSM_FFd1 2 2<- \/5 0 FB3_12 30 I/O (b) -IOREQ 14 9<- 0 0 FB3_13 (b) (b) -iobm/ETACK 1 0 /\4 0 FB3_14 32 I/O (b) -nVMA_IOB 2 0 \/2 1 FB3_15 33 I/O O -(unused) 0 0 \/5 0 FB3_16 (b) (b) -iobs/IORW1 16 11<- 0 0 FB3_17 34 I/O (b) -ram/BACTr 1 0 /\4 0 FB3_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<13> 13: RefAck 25: iobs/IORW1 - 2: A_FSB<14> 14: cs/nOverlay1 26: iobs/Load1 - 3: A_FSB<16> 15: fsb/ASrf 27: iobs/Once - 4: A_FSB<17> 16: iobm/ES<0> 28: iobs/PS_FSM_FFd1 - 5: A_FSB<18> 17: iobm/ES<1> 29: iobs/PS_FSM_FFd2 - 6: A_FSB<19> 18: iobm/ES<2> 30: nADoutLE1 - 7: A_FSB<20> 19: iobm/ES<3> 31: nAS_FSB - 8: A_FSB<21> 20: iobm/ES<4> 32: nVMA_IOB - 9: A_FSB<22> 21: iobm/VPArf 33: nWE_FSB - 10: A_FSB<23> 22: iobm/VPArr 34: ram/RAMDIS1 - 11: IOACT 23: iobs/Clear1 35: ram/RAMDIS2 - 12: IORW0 24: iobs/IOACTr - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -IORW0 XXXXXXXXXX.X.XX.........X.XXXXX.X....... 20 -iobs/IOACTr ..........X............................. 1 -nRAS .......XXX..XX................X..XX..... 8 -iobs/Clear1 ...........................XXX.......... 3 -fsb/ASrf ..............................X......... 1 -ALE0S ...........................XX........... 2 -iobs/PS_FSM_FFd2 XXXXXXXXXX...XX........X..XXXXX.X....... 19 -nADoutLE1 ......................X..X...X.......... 3 -iobs/PS_FSM_FFd1 .......................X...XX........... 3 -IOREQ XXXXXXXXXX...XX........X..XXXXX.X....... 19 -iobm/ETACK ...............XXXXX...........X........ 6 -nVMA_IOB ..........X....XXXXXXX.........X........ 9 -iobs/IORW1 XXXXXXXXXX...XX.........X.XXXXX.X....... 19 -ram/BACTr ..............X...............X......... 2 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 36/18 -Number of signals used by logic mapping into function block: 36 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -ram/RASEL 20 15<- 0 0 FB4_1 (b) (b) -RA<0> 2 2<- /\5 0 FB4_2 87 I/O O -cs/nOverlay1 2 0 /\2 1 FB4_3 (b) (b) -(unused) 0 0 0 5 FB4_4 (b) -(unused) 0 0 \/4 1 FB4_5 89 I/O I -iobs/Load1 14 9<- 0 0 FB4_6 90 I/O I -(unused) 0 0 /\5 0 FB4_7 (b) (b) -RA<2> 2 0 \/2 1 FB4_8 91 I/O O -(unused) 0 0 \/5 0 FB4_9 92 I/O I -iobs/Once 17 12<- 0 0 FB4_10 (b) (b) -(unused) 0 0 /\5 0 FB4_11 93 I/O I -RA<4> 2 0 \/3 0 FB4_12 94 I/O O -(unused) 0 0 \/5 0 FB4_13 (b) (b) -ram/RAMDIS1 18 13<- 0 0 FB4_14 95 I/O I -(unused) 0 0 /\5 0 FB4_15 96 I/O I -fsb/BERR0r 3 0 \/2 0 FB4_16 (b) (b) -nDinOE 2 2<- \/5 0 FB4_17 97 I/O O -(unused) 0 0 \/5 0 FB4_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<10> 13: A_FSB<23> 25: iobs/Once - 2: A_FSB<12> 14: A_FSB<3> 26: iobs/PS_FSM_FFd1 - 3: A_FSB<13> 15: A_FSB<5> 27: iobs/PS_FSM_FFd2 - 4: A_FSB<14> 16: TimeoutB 28: nADoutLE1 - 5: A_FSB<16> 17: cnt/RefCnt<5> 29: nAS_FSB - 6: A_FSB<17> 18: cnt/RefCnt<6> 30: nWE_FSB - 7: A_FSB<18> 19: cnt/RefCnt<7> 31: ram/BACTr - 8: A_FSB<19> 20: cnt/RefDone 32: ram/Once - 9: A_FSB<1> 21: cs/nOverlay0 33: ram/RASEL - 10: A_FSB<20> 22: cs/nOverlay1 34: ram/RS_FSM_FFd1 - 11: A_FSB<21> 23: fsb/ASrf 35: ram/RS_FSM_FFd2 - 12: A_FSB<22> 24: fsb/BERR0r 36: ram/RS_FSM_FFd3 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RASEL ..........XXX...XXXX.XX.....X.XX.XXX.... 15 -RA<0> X.......X.......................X....... 3 -cs/nOverlay1 ....................X.X.....X........... 3 -iobs/Load1 ..XXXXXX.XXXX........XX.XXXXXX.......... 18 -RA<2> .X...........X..................X....... 3 -iobs/Once ..XXXXXX.XXXX........XX.XXXXXX.......... 18 -RA<4> ...X..........X.................X....... 3 -ram/RAMDIS1 ..........XXX...XXXX.XX.....X.XX.XXX.... 15 -fsb/BERR0r .........XXXX..X......XX....X........... 8 -nDinOE .........XXXX...............XX.......... 6 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -IOACT 10 5<- 0 0 FB5_1 (b) (b) -RA<1> 2 0 /\3 0 FB5_2 35 I/O O -iobm/IOS_FSM_FFd7 1 0 0 4 FB5_3 (b) (b) -iobm/IOS_FSM_FFd6 1 0 0 4 FB5_4 (b) (b) -iobm/IOS_FSM_FFd5 1 0 0 4 FB5_5 36 I/O (b) -iobm/IOS_FSM_FFd4 1 0 0 4 FB5_6 37 I/O (b) -iobm/BERRrr 1 0 0 4 FB5_7 (b) (b) -RA<3> 2 0 0 3 FB5_8 39 I/O O -iobm/BERRrf 1 0 0 4 FB5_9 40 I/O (b) -cnt/RefCnt<0> 0 0 0 5 FB5_10 (b) (b) -iobm/IOS_FSM_FFd8 2 0 0 3 FB5_11 41 I/O (b) -nROMCS 2 0 0 3 FB5_12 42 I/O O -ALE0M 2 0 0 3 FB5_13 (b) (b) -iobm/IOS_FSM_FFd2 4 0 0 1 FB5_14 43 I/O (b) -BERR_IOBS 4 0 0 1 FB5_15 46 I/O (b) -iobm/IOS_FSM_FFd3 5 0 0 0 FB5_16 (b) (b) -(unused) 0 0 \/5 0 FB5_17 49 I/O I -IOBERR 8 5<- \/2 0 FB5_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<11> 13: fsb/ASrf 25: iobm/IOS_FSM_FFd7 - 2: A_FSB<13> 14: iobm/BERRrf 26: iobm/IOS_FSM_FFd8 - 3: A_FSB<20> 15: iobm/BERRrr 27: iobm/RESrf - 4: A_FSB<21> 16: iobm/DTACKrf 28: iobm/RESrr - 5: A_FSB<22> 17: iobm/DTACKrr 29: iobs/IOACTr - 6: A_FSB<23> 18: iobm/ETACK 30: iobs/Once - 7: A_FSB<2> 19: iobm/IOREQr 31: iobs/PS_FSM_FFd2 - 8: A_FSB<4> 20: iobm/IOS_FSM_FFd1 32: nADoutLE1 - 9: BERR_IOBS 21: iobm/IOS_FSM_FFd3 33: nAS_FSB - 10: CLK_IOB 22: iobm/IOS_FSM_FFd4 34: nBERR_IOB - 11: IOBERR 23: iobm/IOS_FSM_FFd5 35: ram/RASEL - 12: cs/nOverlay1 24: iobm/IOS_FSM_FFd6 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -IOACT .........X...XXXXXX.XXXXXXXX............ 15 -RA<1> X.....X...........................X..... 3 -iobm/IOS_FSM_FFd7 .........X........X......X.............. 3 -iobm/IOS_FSM_FFd6 ........................X............... 1 -iobm/IOS_FSM_FFd5 .......................X................ 1 -iobm/IOS_FSM_FFd4 ......................X................. 1 -iobm/BERRrr .................................X...... 1 -RA<3> .X.....X..........................X..... 3 -iobm/BERRrf .................................X...... 1 -cnt/RefCnt<0> ........................................ 0 -iobm/IOS_FSM_FFd8 .........X........XX.....X.............. 4 -nROMCS ..XXXX.....X............................ 5 -ALE0M ..................X.XXXXXX.............. 7 -iobm/IOS_FSM_FFd2 .........X...XXXXX..X.....XX............ 9 -BERR_IOBS ........X.X.X...............XXXXX....... 8 -iobm/IOS_FSM_FFd3 .........X...XXXXX..XX....XX............ 10 -IOBERR .........XX..XXXXX..X.....XX.....X...... 11 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB6 *********************************** -Number of function block inputs used/remaining: 24/30 -Number of signals used by logic mapping into function block: 24 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -iobm/Er2 1 0 0 4 FB6_1 (b) (b) -nCAS 1 0 0 4 FB6_2 74 I/O O -iobm/DTACKrr 1 0 0 4 FB6_3 (b) (b) -iobm/DTACKrf 1 0 0 4 FB6_4 (b) (b) -RefAck 1 0 0 4 FB6_5 76 I/O I -nRAMLWE 1 0 0 4 FB6_6 77 I/O O -iobs/IOU1 2 0 0 3 FB6_7 (b) (b) -iobs/IOL1 2 0 0 3 FB6_8 78 I/O I -nRAMUWE 1 0 0 4 FB6_9 79 I/O O -iobm/ES<3> 3 0 0 2 FB6_10 (b) (b) -iobm/ES<1> 3 0 0 2 FB6_11 80 I/O I -nROMWE 1 0 0 4 FB6_12 81 I/O O -iobm/ES<0> 3 0 0 2 FB6_13 (b) (b) -IOU0 3 0 0 2 FB6_14 82 I/O I -nVPA_FSB 1 0 0 4 FB6_15 85 I/O O -IOL0 3 0 0 2 FB6_16 (b) (b) -iobm/ES<4> 4 0 0 1 FB6_17 86 I/O I -iobm/ES<2> 5 0 0 0 FB6_18 (b) (b) - -Signals Used by Logic in Function Block - 1: fsb/VPA 9: iobs/IOL1 17: nLDS_FSB - 2: iobm/ES<0> 10: iobs/IOU1 18: nUDS_FSB - 3: iobm/ES<1> 11: iobs/Load1 19: nWE_FSB - 4: iobm/ES<2> 12: iobs/PS_FSM_FFd1 20: ram/RAMDIS1 - 5: iobm/ES<3> 13: iobs/PS_FSM_FFd2 21: ram/RAMDIS2 - 6: iobm/ES<4> 14: nADoutLE1 22: ram/RASEL - 7: iobm/Er 15: nAS_FSB 23: ram/RS_FSM_FFd1 - 8: iobm/Er2 16: nDTACK_IOB 24: ram/RS_FSM_FFd2 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/Er2 ......X................................. 1 -nCAS .....................X.................. 1 -iobm/DTACKrr ...............X........................ 1 -iobm/DTACKrf ...............X........................ 1 -RefAck ......................XX................ 2 -nRAMLWE ..............X.X.XXX................... 5 -iobs/IOU1 ..........X......X...................... 2 -iobs/IOL1 ..........X.....X....................... 2 -nRAMUWE ..............X..XXXX................... 5 -iobm/ES<3> .XXXX.XX................................ 6 -iobm/ES<1> .XX...XX................................ 4 -nROMWE ..............X...X..................... 2 -iobm/ES<0> .XXXXXXX................................ 7 -IOU0 .........X.XXX...X...................... 5 -nVPA_FSB X.............X......................... 2 -IOL0 ........X..XXX..X....................... 5 -iobm/ES<4> .XXXXXXX................................ 7 -iobm/ES<2> .XXXXXXX................................ 7 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -cnt/RefCnt<5> 1 0 /\1 3 FB7_1 (b) (b) -RA<5> 2 0 0 3 FB7_2 50 I/O O -cnt/RefCnt<4> 1 0 0 4 FB7_3 (b) (b) -cs/nOverlay0 2 0 \/3 0 FB7_4 (b) (b) -ram/RS_FSM_FFd3 11 6<- 0 0 FB7_5 52 I/O I -RA<6> 2 0 /\3 0 FB7_6 53 I/O O -fsb/Ready0r 3 0 0 2 FB7_7 (b) (b) -ram/RS_FSM_FFd1 5 0 0 0 FB7_8 54 I/O I -RA<7> 2 0 \/3 0 FB7_9 55 I/O O -ram/Once 5 3<- \/3 0 FB7_10 (b) (b) -ram/RAMDIS2 7 3<- \/1 0 FB7_11 56 I/O I -RA<8> 2 1<- \/4 0 FB7_12 58 I/O O -ram/RS_FSM_FFd2 13 8<- 0 0 FB7_13 (b) (b) -cnt/RefCnt<7> 1 0 /\4 0 FB7_14 59 I/O I -RA<9> 2 0 \/1 2 FB7_15 60 I/O O -cnt/RefCnt<6> 1 1<- \/5 0 FB7_16 (b) (b) -ram/RAMReady 16 11<- 0 0 FB7_17 61 I/O I -(unused) 0 0 /\5 0 FB7_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<15> 13: A_FSB<9> 25: fsb/ASrf - 2: A_FSB<16> 14: cnt/RefCnt<0> 26: fsb/Ready0r - 3: A_FSB<17> 15: cnt/RefCnt<1> 27: nAS_FSB - 4: A_FSB<18> 16: cnt/RefCnt<2> 28: ram/BACTr - 5: A_FSB<19> 17: cnt/RefCnt<3> 29: ram/Once - 6: A_FSB<20> 18: cnt/RefCnt<4> 30: ram/RAMDIS2 - 7: A_FSB<21> 19: cnt/RefCnt<5> 31: ram/RAMReady - 8: A_FSB<22> 20: cnt/RefCnt<6> 32: ram/RASEL - 9: A_FSB<23> 21: cnt/RefCnt<7> 33: ram/RS_FSM_FFd1 - 10: A_FSB<6> 22: cnt/RefDone 34: ram/RS_FSM_FFd2 - 11: A_FSB<7> 23: cs/nOverlay0 35: ram/RS_FSM_FFd3 - 12: A_FSB<8> 24: cs/nOverlay1 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/RefCnt<5> .............XXXXX...................... 5 -RA<5> X........X.....................X........ 3 -cnt/RefCnt<4> .............XXXX....................... 4 -cs/nOverlay0 .....XXXX.............X.X.X............. 7 -ram/RS_FSM_FFd3 ......XXX.........XXXX.XX.X.X...XXX..... 14 -RA<6> .X........X....................X........ 3 -fsb/Ready0r ......XXX..............XXXX...X......... 8 -ram/RS_FSM_FFd1 ......XXX..............XX.X.X...XXX..... 10 -RA<7> ..X........X...................X........ 3 -ram/Once ......XXX..............XX.X.X...XXX..... 10 -ram/RAMDIS2 ......XXX.........XXXX.XX.X.XX..XXX..... 15 -RA<8> ...X........X..................X........ 3 -ram/RS_FSM_FFd2 ......XXX.........XXXX.XX.XX....XXX..... 14 -cnt/RefCnt<7> .............XXXXXXX.................... 7 -RA<9> ....XX.........................X........ 3 -cnt/RefCnt<6> .............XXXXXX..................... 6 -ram/RAMReady ......XXX.........XXXX.XX.XXX...XXX..... 15 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 8/46 -Number of signals used by logic mapping into function block: 8 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 0 5 FB8_1 (b) -RA<11> 1 0 0 4 FB8_2 63 I/O O -(unused) 0 0 0 5 FB8_3 (b) -(unused) 0 0 0 5 FB8_4 (b) -(unused) 0 0 0 5 FB8_5 64 I/O I -RA<10> 1 0 0 4 FB8_6 65 I/O O -(unused) 0 0 0 5 FB8_7 (b) -(unused) 0 0 0 5 FB8_8 66 I/O I -nADoutLE0 1 0 0 4 FB8_9 67 I/O O -(unused) 0 0 0 5 FB8_10 (b) -(unused) 0 0 0 5 FB8_11 68 I/O I -nAoutOE 0 0 0 5 FB8_12 70 I/O O -(unused) 0 0 0 5 FB8_13 (b) -(unused) 0 0 0 5 FB8_14 71 I/O I -nDinLE 1 0 0 4 FB8_15 72 I/O O -(unused) 0 0 0 5 FB8_16 (b) -iobm/IOS_FSM_FFd1 1 0 0 4 FB8_17 73 I/O I -iobm/Er 1 0 0 4 FB8_18 (b) (b) - -Signals Used by Logic in Function Block - 1: ALE0M 4: A_FSB<21> 7: iobm/IOS_FSM_FFd3 - 2: ALE0S 5: E_IOB 8: iobm/IOS_FSM_FFd4 - 3: A_FSB<19> 6: iobm/IOS_FSM_FFd2 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -RA<11> ..X..................................... 1 -RA<10> ...X.................................... 1 -nADoutLE0 XX...................................... 2 -nAoutOE ........................................ 0 -nDinLE ......XX................................ 2 -iobm/IOS_FSM_FFd1 .....X.................................. 1 -iobm/Er ....X................................... 1 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - ******************************* Equations ******************************** - -********** Mapped Logic ********** - - -$OpTx$FX_DC$602 <= ((NOT TimeoutB) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20))); - - -$OpTx$FX_DC$606 <= ((A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB) - OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20)) - OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB)); - -FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); -ALE0M_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND - NOT iobm/IOREQr) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND - NOT iobm/IOS_FSM_FFd8)); - -FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0'); -ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); - -FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); -BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) - OR (iobs/Once AND BERR_IOBS AND NOT IOBERR AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) - OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) - OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); -IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND - iobm/BERRrf AND iobm/BERRrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND - iobm/DTACKrf AND iobm/DTACKrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND - iobm/RESrf AND iobm/RESrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND - iobm/DTACKrf AND iobm/DTACKrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND - iobm/RESrf AND iobm/RESrr) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND - NOT iobm/IOREQr) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND - NOT iobm/IOS_FSM_FFd8) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND - NOT iobm/IOREQr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND - NOT iobm/IOS_FSM_FFd8) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND - iobm/BERRrf AND iobm/BERRrr)); - -FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); -IOBERR_T <= ((nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) - OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/RESrf AND iobm/RESrr) - OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) - OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) - OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/RESrf AND iobm/RESrr) - OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/ETACK) - OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/ETACK) - OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); - -FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); -IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) - OR (iobs/IOL1 AND NOT nADoutLE1)); -IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); - -FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); -IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1) - OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) - OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND - nADoutLE1) - OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND - nADoutLE1)); - -FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); -IORW0_T <= ((EXP22_.EXP) - OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND - IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND - IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND - NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND - NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - fsb/ASrf AND nADoutLE1) - OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND - NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND - NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND - NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND - NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) - OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND - NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); - -FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE); -IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) - OR (iobs/IOU1 AND NOT nADoutLE1)); -IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); - - -RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(1))); - - -RA(1) <= ((A_FSB(11) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(2))); - - -RA(2) <= ((A_FSB(12) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(3))); - - -RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(4))); - - -RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(5))); - - -RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(6))); - - -RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(7))); - - -RA(7) <= ((A_FSB(8) AND ram/RASEL) - OR (A_FSB(17) AND NOT ram/RASEL)); - - -RA(8) <= ((A_FSB(9) AND ram/RASEL) - OR (A_FSB(18) AND NOT ram/RASEL)); - - -RA(9) <= ((A_FSB(20) AND ram/RASEL) - OR (A_FSB(19) AND NOT ram/RASEL)); - - -RA(10) <= A_FSB(21); - - -RA(11) <= A_FSB(19); - -FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0'); -RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); - -FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0'); -TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND - NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND - NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4)) - OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND - NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND - NOT cnt/RefCnt(4) AND fsb/ASrf)); - -FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0'); -TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND - NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND - NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) - OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND - NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND - NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); - -FTCPE_cnt/RefCnt0: FTCPE port map (cnt/RefCnt(0),'1',CLK_FSB,'0','0'); - -FTCPE_cnt/RefCnt1: FTCPE port map (cnt/RefCnt(1),cnt/RefCnt(0),CLK_FSB,'0','0'); - -FTCPE_cnt/RefCnt2: FTCPE port map (cnt/RefCnt(2),cnt/RefCnt_T(2),CLK_FSB,'0','0'); -cnt/RefCnt_T(2) <= (cnt/RefCnt(0) AND cnt/RefCnt(1)); - -FTCPE_cnt/RefCnt3: FTCPE port map (cnt/RefCnt(3),cnt/RefCnt_T(3),CLK_FSB,'0','0'); -cnt/RefCnt_T(3) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2)); - -FTCPE_cnt/RefCnt4: FTCPE port map (cnt/RefCnt(4),cnt/RefCnt_T(4),CLK_FSB,'0','0'); -cnt/RefCnt_T(4) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND - cnt/RefCnt(3)); - -FTCPE_cnt/RefCnt5: FTCPE port map (cnt/RefCnt(5),cnt/RefCnt_T(5),CLK_FSB,'0','0'); -cnt/RefCnt_T(5) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND - cnt/RefCnt(3) AND cnt/RefCnt(4)); - -FTCPE_cnt/RefCnt6: FTCPE port map (cnt/RefCnt(6),cnt/RefCnt_T(6),CLK_FSB,'0','0'); -cnt/RefCnt_T(6) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(1) AND - cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); - -FTCPE_cnt/RefCnt7: FTCPE port map (cnt/RefCnt(7),cnt/RefCnt_T(7),CLK_FSB,'0','0'); -cnt/RefCnt_T(7) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND - cnt/RefCnt(1) AND cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); - -FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0'); -cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck) - OR (NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND - NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND - NOT cnt/RefCnt(7))); - -FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0'); -cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND - NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND - NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) - OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND - NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND - NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); - -FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0'); -cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay0 AND NOT nAS_FSB) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay0 AND fsb/ASrf)); - -FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE); -cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf); - -FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0'); - -FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0'); -fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND - NOT fsb/BERR0r)); - -FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0'); -fsb/BERR1r_D <= ((NOT BERR_IOBS AND NOT fsb/BERR1r) - OR (nAS_FSB AND NOT fsb/ASrf)); - -FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0'); -fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT fsb/Ready0r AND NOT ram/RAMReady) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); - -FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); -fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - NOT nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - NOT nADoutLE1) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND - NOT iobs/IOReady) - OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady) - OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady)); - -FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); -fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); - -FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); -fsb/VPA_D <= ((EXP18_.EXP) - OR (BERR_IOBS AND fsb/VPA AND fsb/ASrf) - OR (fsb/BERR0r AND fsb/VPA AND fsb/ASrf) - OR (fsb/BERR1r AND fsb/VPA AND fsb/ASrf) - OR (fsb/VPA AND fsb/ASrf AND - fsb/VPA__or00001/fsb/VPA__or00001_D2) - OR (fsb/VPA AND fsb/ASrf AND NOT $OpTx$FX_DC$602) - OR ($OpTx$FX_DC$602.EXP) - OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT nAS_FSB AND - $OpTx$FX_DC$606) - OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND fsb/ASrf AND - $OpTx$FX_DC$606) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) - OR (BERR_IOBS AND fsb/VPA AND NOT nAS_FSB) - OR (fsb/BERR0r AND fsb/VPA AND NOT nAS_FSB) - OR (fsb/BERR1r AND fsb/VPA AND NOT nAS_FSB) - OR (fsb/VPA AND NOT nAS_FSB AND - fsb/VPA__or00001/fsb/VPA__or00001_D2) - OR (fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$FX_DC$602)); - - -fsb/VPA__or00001/fsb/VPA__or00001_D2 <= ((A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); - -FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); - -FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0'); - -FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0'); - -FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0'); - -FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),CLK2X_IOB,'0','0'); -iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) - OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) - OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); - -FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),CLK2X_IOB,'0','0'); -iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) - OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) - OR (NOT iobm/Er AND iobm/Er2)); - -FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),CLK2X_IOB,'0','0'); -iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) - OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) - OR (NOT iobm/Er AND iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) - OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); - -FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),CLK2X_IOB,'0','0'); -iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); - -FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),CLK2X_IOB,'0','0'); -iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND - iobm/ES(3) AND iobm/Er) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND - iobm/ES(3) AND NOT iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND iobm/ES(4))); - -FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,'0','0'); -iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND iobm/ES(4)); - -FDCPE_iobm/Er: FDCPE port map (iobm/Er,E_IOB,NOT CLK_IOB,'0','0'); - -FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd2,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd2_D <= ((iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/ETACK) - OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/BERRrf AND - iobm/BERRrr) - OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/DTACKrf AND - iobm/DTACKrr) - OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/RESrf AND - iobm/RESrr)); - -FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4) - OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK) - OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND - iobm/BERRrr) - OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND - iobm/DTACKrr) - OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND - iobm/RESrr)); - -FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd5,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd5: FDCPE port map (iobm/IOS_FSM_FFd5,iobm/IOS_FSM_FFd6,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd6: FDCPE port map (iobm/IOS_FSM_FFd6,iobm/IOS_FSM_FFd7,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd7: FDCPE port map (iobm/IOS_FSM_FFd7,iobm/IOS_FSM_FFd7_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd7_D <= (NOT CLK_IOB AND iobm/IOREQr AND iobm/IOS_FSM_FFd8); - -FDCPE_iobm/IOS_FSM_FFd8: FDCPE port map (iobm/IOS_FSM_FFd8,iobm/IOS_FSM_FFd8_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd8_D <= ((NOT iobm/IOS_FSM_FFd8 AND NOT iobm/IOS_FSM_FFd1) - OR (NOT CLK_IOB AND iobm/IOREQr AND NOT iobm/IOS_FSM_FFd1)); - -FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0'); - -FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES,CLK2X_IOB,'0','0'); - -FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0'); - -FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0'); - -FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0'); -iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); - -FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0'); - -FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1); - -FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); -iobs/IORW1_T <= ((iobs/Once) - OR (NOT nADoutLE1) - OR (nVMA_IOB_OBUF.EXP) - OR (NOT nWE_FSB AND NOT iobs/IORW1) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) - OR (NOT A_FSB(23) AND NOT A_FSB(20)) - OR (nWE_FSB AND iobs/IORW1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); - -FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); -iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) - OR (iobs/Once AND IOBERR AND iobs/IOReady AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) - OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) - OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); - -FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1); - -FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0'); -iobs/Load1_D <= ((iobs/Once) - OR (NOT nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) - OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21)) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1) - OR (NOT A_FSB(23) AND NOT A_FSB(20)) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); - -FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); -iobs/Once_D <= ((RA_2_OBUF.EXP) - OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) - OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1) - OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND - NOT iobs/Once) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) - OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once) - OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) - OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)); - -FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0'); -iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) - OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); - -FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); -iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND - iobs/IOACTr) - OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND - NOT iobs/IOACTr) - OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - NOT fsb/ASrf AND nADoutLE1)); - - -nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); - -FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0'); -nADoutLE1_D <= ((iobs/Load1) - OR (NOT iobs/Clear1 AND NOT nADoutLE1)); - -FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); -nAS_IOB_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7); - - -nAoutOE <= '0'; - - -nBERR_FSB <= ((nAS_FSB) - OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND - NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); - -FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); - -FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); -nDTACK_FSB_D <= ((EXP21_.EXP) - OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - nDTACK_FSB) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND - NOT iobs/IOReady AND nDTACK_FSB) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) - OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) - OR ($OpTx$FX_DC$606.EXP) - OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) - OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - nDTACK_FSB AND NOT nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - nDTACK_FSB AND NOT nADoutLE1) - OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND - nDTACK_FSB) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND - nDTACK_FSB) - OR (BERR_IOBS AND nDTACK_FSB) - OR (fsb/BERR0r AND nDTACK_FSB) - OR (fsb/BERR1r AND nDTACK_FSB) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (nDTACK_FSB AND NOT $OpTx$FX_DC$602)); - -FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0'); -nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); - - -nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND - NOT nAS_FSB)); - -FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0'); -nDoutOE_D <= ((NOT IORW0) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND - NOT iobm/IOS_FSM_FFd2)); - -FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB,nLDS_IOB_D,NOT CLK2X_IOB,'0','0'); -nLDS_IOB_D <= ((NOT IOL0) - OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); - - -nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); - - -nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND - NOT ram/RAMDIS1)); - - -nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND - NOT ram/RAMDIS1)); - - -nRAS <= NOT (((RefAck) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); - - -nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay1))); - - -nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); - -FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB,nUDS_IOB_D,NOT CLK2X_IOB,'0','0'); -nUDS_IOB_D <= ((NOT IOU0) - OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); - -FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB,nVMA_IOB_T,CLK2X_IOB,'0','0'); -nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4)) - OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr)); - - -nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB)); - -FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0'); -ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); - -FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); -ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND fsb/ASrf) - OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3)); - -FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); -ram/RAMDIS1_D <= ((RA_4_OBUF.EXP) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) - OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) - OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) - OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf) - OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) - OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) - OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND - NOT ram/BACTr AND fsb/ASrf)); - -FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0'); -ram/RAMDIS2_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND - NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND - fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) - OR (ram/RAMDIS2 AND nAS_FSB AND NOT fsb/ASrf) - OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND - ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) - OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND - ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND - NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND - cnt/RefCnt(7))); - -FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0'); -ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT ram/RS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) - OR (cnt/RefCnt(5).EXP) - OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) - OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1) - OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND - NOT ram/BACTr AND fsb/ASrf) - OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); - -FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); -ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND - NOT fsb/ASrf) - OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND - ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND - NOT fsb/ASrf) - OR (nDinOE_OBUF.EXP) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))); - -FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0'); -ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); - -FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0'); -ram/RS_FSM_FFd2_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT cnt/RefCnt(5) AND NOT fsb/ASrf) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT cnt/RefCnt(6) AND NOT fsb/ASrf) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT cnt/RefCnt(7) AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) - OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd2 AND - ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND - NOT fsb/ASrf) - OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) - OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT cnt/RefCnt(5) AND ram/BACTr) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT cnt/RefCnt(6) AND ram/BACTr) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND - NOT cnt/RefCnt(7))); - -FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0'); -ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) - OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND - ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND - cnt/RefCnt(7)) - OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND - ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND - fsb/ASrf) - OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (ram/Once AND cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(5)) - OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6)) - OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7))); - -Register Legend: - FDCPE (Q,D,C,CLR,PRE,CE); - FTCPE (Q,D,C,CLR,PRE,CE); - LDCP (Q,D,G,CLR,PRE); - - ****************************** Device Pin Out ***************************** - -Device : XC95144XL-10-TQ100 - - - -------------------------------------------------- - /100 98 96 94 92 90 88 86 84 82 80 78 76 \ - | 99 97 95 93 91 89 87 85 83 81 79 77 | - | 1 75 | - | 2 74 | - | 3 73 | - | 4 72 | - | 5 71 | - | 6 70 | - | 7 69 | - | 8 68 | - | 9 67 | - | 10 66 | - | 11 65 | - | 12 64 | - | 13 XC95144XL-10-TQ100 63 | - | 14 62 | - | 15 61 | - | 16 60 | - | 17 59 | - | 18 58 | - | 19 57 | - | 20 56 | - | 21 55 | - | 22 54 | - | 23 53 | - | 24 52 | - | 25 51 | - | 27 29 31 33 35 37 39 41 43 45 47 49 | - \26 28 30 32 34 36 38 40 42 44 46 48 50 / - -------------------------------------------------- - - -Pin Signal Pin Signal -No. Name No. Name - 1 KPR 51 VCC - 2 KPR 52 nUDS_FSB - 3 KPR 53 RA<6> - 4 KPR 54 nDTACK_IOB - 5 VCC 55 RA<7> - 6 nLDS_IOB 56 A_FSB<4> - 7 nUDS_IOB 57 VCC - 8 nDoutOE 58 RA<8> - 9 A_FSB<22> 59 nVPA_IOB - 10 nAS_IOB 60 RA<9> - 11 nDTACK_FSB 61 nWE_FSB - 12 A_FSB<9> 62 GND - 13 nAS_FSB 63 RA<11> - 14 nBERR_FSB 64 A_FSB<8> - 15 A_FSB<5> 65 RA<10> - 16 A_FSB<2> 66 A_FSB<23> - 17 nOE 67 nADoutLE0 - 18 nBERR_IOB 68 A_FSB<21> - 19 A_FSB<6> 69 GND - 20 A_FSB<7> 70 nAoutOE - 21 GND 71 A_FSB<20> - 22 CLK2X_IOB 72 nDinLE - 23 CLK_FSB 73 A_FSB<19> - 24 nRAS 74 nCAS - 25 nLDS_FSB 75 GND - 26 VCC 76 A_FSB<18> - 27 CLK_IOB 77 nRAMLWE - 28 KPR 78 A_FSB<3> - 29 nADoutLE1 79 nRAMUWE - 30 KPR 80 A_FSB<15> - 31 GND 81 nROMWE - 32 KPR 82 A_FSB<13> - 33 nVMA_IOB 83 TDO - 34 KPR 84 GND - 35 RA<1> 85 nVPA_FSB - 36 KPR 86 A_FSB<11> - 37 KPR 87 RA<0> - 38 VCC 88 VCC - 39 RA<3> 89 A_FSB<10> - 40 KPR 90 A_FSB<1> - 41 KPR 91 RA<2> - 42 nROMCS 92 A_FSB<12> - 43 KPR 93 A_FSB<14> - 44 GND 94 RA<4> - 45 TDI 95 A_FSB<16> - 46 KPR 96 A_FSB<17> - 47 TMS 97 nDinOE - 48 TCK 98 VCC - 49 E_IOB 99 nRES - 50 RA<5> 100 GND - - -Legend : NC = Not Connected, unbonded pin - PGND = Unused I/O configured as additional Ground pin - TIE = Unused I/O floating -- must tie to VCC, GND or other signal - KPR = Unused I/O with weak keeper (leave unconnected) - VCC = Dedicated Power Pin - GND = Dedicated Ground Pin - TDI = Test Data In, JTAG pin - TDO = Test Data Out, JTAG pin - TCK = Test Clock, JTAG pin - TMS = Test Mode Select, JTAG pin - PROHIBITED = User reserved pin - **************************** Compiler Options **************************** - -Following is a list of all global compiler options used by the fitter run. - -Device(s) Specified : xc95144xl-10-TQ100 -Optimization Method : SPEED -Multi-Level Logic Optimization : ON -Ignore Timing Specifications : OFF -Default Register Power Up Value : LOW -Keep User Location Constraints : ON -What-You-See-Is-What-You-Get : OFF -Exhaustive Fitting : OFF -Keep Unused Inputs : OFF -Slew Rate : FAST -Power Mode : STD -Ground on Unused IOs : OFF -Set I/O Pin Termination : KEEPER -Global Clock Optimization : ON -Global Set/Reset Optimization : ON -Global Ouput Enable Optimization : ON -Input Limit : 54 -Pterm Limit : 25 diff --git a/cpld/XC95144XL/MXSE.tspec b/cpld/XC95144XL/MXSE.tspec deleted file mode 100644 index fa7edb3..0000000 --- a/cpld/XC95144XL/MXSE.tspec +++ /dev/null @@ -1,393 +0,0 @@ -TS_CLK2X_IOB:FROM:IOBERR.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:iobm/IOS_FSM_FFd7.D:666 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd7.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:iobm/IOS_FSM_FFd8.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd8.D:666 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd8.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:RefAck.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:IORW0.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:400 -TS_CLK_FSB:FROM:iobs/IOL1.Q:TO:IOL0.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOL0.CE:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOL0.CE:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOU0.D:400 -TS_CLK_FSB:FROM:iobs/IOU1.Q:TO:IOU0.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOU0.CE:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOU0.CE:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:TimeoutA.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:TimeoutB.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR0r.D:400 -TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/BERR0r.D:400 -TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/BERR0r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR1r.D:400 -TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/BERR1r.D:400 -TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/BERR1r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:400 -TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:400 -TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready2r.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready2r.D:400 -TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/Ready2r.D:400 -TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/Ready2r.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/VPA.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RAMDIS2.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:TimeoutA.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:TimeoutB.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<1>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<2>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<2>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<3>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<3>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<3>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<4>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<4>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<4>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<4>.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:RefAck.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:RefAck.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:ALE0S.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Clear1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Clear1.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Clear1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:400 -TS_CLK_FSB:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:400 -TS_CLK_FSB:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:400 -TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:IOACT.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:iobm/IOS_FSM_FFd4.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:iobm/IOS_FSM_FFd5.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:iobm/IOS_FSM_FFd6.D:666 -TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nAS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nAS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nAS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nAS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDinLE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDinLE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nDoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nDoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nDoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nLDS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nLDS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nLDS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nLDS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nUDS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nUDS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nUDS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nUDS_IOB_OBUF.D:666 diff --git a/cpld/XC95144XL/MXSE.vm6 b/cpld/XC95144XL/MXSE.vm6 deleted file mode 100644 index b8ad9c0..0000000 --- a/cpld/XC95144XL/MXSE.vm6 +++ /dev/null @@ -1,8379 +0,0 @@ -NDS Database: version P.20131013 - -NDS_INFO | xc9500xl | 95144XL100 | XC95144XL-10-TQ100 - -DEVICE | 95144XL | 95144XL100 | - -NETWORK | MXSE | 0 | 0 | 16391 - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<9> | 9483 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<8> | 9484 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<15> | 9485 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<14> | 9486 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<13> | 9487 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<12> | 9488 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<11> | 9489 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<10> | 9490 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<23> | 9491 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<22> | 9492 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<21> | 9493 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<20> | 9494 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<19> | 9495 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<18> | 9496 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<17> | 9497 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<16> | 9498 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | CLK2X_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK2X_IOB | 9499 | PI | 577 | 0 | MXSE_COPY_0_COPY_0 | CLK2X_IOB;CLK2X_IOB;CLK2X_IOB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nVMA_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9393 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9394 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVMA_IOB_OBUF$Q | 9317 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nVMA_IOB_OBUF.EXP | 10020 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nVMA_IOB_OBUF.SI | nVMA_IOB_OBUF | 0 | 15 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9393 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9394 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVMA_IOB_OBUF.D1 | 9519 | ? | 648 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVMA_IOB_OBUF.D2 | 9520 | ? | 648 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> -SPPTERM | 9 | IV_TRUE | nVMA_IOB_OBUF | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | IOACT | IV_TRUE | iobm/VPArf | IV_TRUE | iobm/VPArr -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nVMA_IOB_OBUF.EXP | 10009 | ? | 615 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 - -SRFF_INSTANCE | nVMA_IOB_OBUF.REG | nVMA_IOB_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVMA_IOB_OBUF.D | 9518 | ? | 648 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVMA_IOB_OBUF.Q | 9521 | ? | 661 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -INPUT_INSTANCE | 0 | 0 | NULL | CLK_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK_FSB | 9500 | PI | 578 | 0 | MXSE_COPY_0_COPY_0 | CLK_FSB;CLK_FSB;CLK_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV - -INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nAS_FSB | 9506 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/nOverlay1 | MXSE_COPY_0_COPY_0 | 2155873280 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cs/nOverlay1.EXP | 10037 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.EXP | cs/nOverlay1 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cs/nOverlay1.SI | cs/nOverlay1 | 0 | 6 | 4 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay1.D1 | 9523 | ? | 590 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay1.D2 | 9524 | ? | 590 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cs/nOverlay0 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cs/nOverlay1.EXP | 10024 | ? | 0 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cs/nOverlay1.CE | 9525 | ? | 592 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | cs/nOverlay1.REG | cs/nOverlay1 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay1.D | 9522 | ? | 590 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cs/nOverlay1.CE | 9525 | ? | 592 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay1.Q | 9526 | ? | 645 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/Once | MXSE_COPY_0_COPY_0 | 2155877376 | 17 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_7_OBUF.EXP | 10069 | ? | 593 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/Once.EXP | 10070 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 16 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_7_OBUF.EXP | 10069 | ? | 593 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/Once.D1 | 9528 | ? | 593 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/Once.D2 | 9529 | ? | 593 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_7_OBUF.EXP -SPPTERM | 3 | IV_TRUE | ram/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/Once.EXP | 10059 | ? | 619 | 0 | ram/Once | NULL | NULL | ram/Once.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/Once.REG | ram/Once | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/Once.D | 9527 | ? | 593 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/Once.Q | 9530 | ? | 645 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/RefDone | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefDone.SI | cnt/RefDone | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefDone.D1 | 9532 | ? | 594 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefDone.D2 | 9533 | ? | 594 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | cnt/RefDone | IV_FALSE | RefAck -SPPTERM | 8 | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> - -SRFF_INSTANCE | cnt/RefDone.REG | cnt/RefDone | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefDone.D | 9531 | ? | 594 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefDone.Q | 9534 | ? | 645 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nWE_FSB | 9502 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Once | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP28_.EXP | 10041 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP29_.EXP | 10042 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP28_.EXP | 10041 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP29_.EXP | 10042 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Once.D1 | 9536 | ? | 595 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Once.D2 | 9537 | ? | 595 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP28_.EXP -SPPTERM | 1 | IV_TRUE | EXP29_.EXP -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/Once -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 - -SRFF_INSTANCE | iobs/Once.REG | iobs/Once | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Once.D | 9535 | ? | 595 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Once.Q | 9538 | ? | 640 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | BERR_IOBS | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | BERR_IOBS.SI | BERR_IOBS | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | BERR_IOBS.D1 | 9540 | ? | 596 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | BERR_IOBS.D2 | 9541 | ? | 596 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | BERR_IOBS | IV_FALSE | IOBERR | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_TRUE | IOBERR | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_TRUE | IOBERR | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | BERR_IOBS.REG | BERR_IOBS | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | BERR_IOBS.D | 9539 | ? | 596 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | BERR_IOBS.Q | 9542 | ? | 620 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBERR_IOB | 9501 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | CLK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK_IOB | 9507 | PI | 579 | 0 | MXSE_COPY_0_COPY_0 | CLK_IOB;CLK_IOB;CLK_IOB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK-IO_4 | 9370 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IOBERR | MXSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP33_.EXP | 10053 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IOBERR.EXP | 10054 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.EXP | IOBERR | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 17 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP33_.EXP | 10053 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOBERR.D1 | 9544 | ? | 650 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOBERR.D2 | 9545 | ? | 650 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP33_.EXP -SPPTERM | 5 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK -SPPTERM | 5 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK -SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IOBERR.EXP | 10051 | ? | 665 | 0 | IOBERR | NULL | NULL | IOBERR.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr - -SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOBERR.D | 9543 | ? | 650 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOBERR.Q | 9546 | ? | 650 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IORW0 | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 10013 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr.EXP | 10014 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IORW0.SI | IORW0 | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 10013 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr.EXP | 10014 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IORW0.D1 | 9548 | ? | 597 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IORW0.D2 | 9549 | ? | 597 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP23_.EXP -SPPTERM | 1 | IV_TRUE | iobs/IOACTr.EXP -SPPTERM | 5 | IV_TRUE | IORW0 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -SPPTERM | 5 | IV_FALSE | IORW0 | IV_TRUE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 - -SRFF_INSTANCE | IORW0.REG | IORW0 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IORW0.D | 9547 | ? | 597 | 0 | IORW0 | NULL | NULL | IORW0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IORW0.Q | 9550 | ? | 682 | 0 | IORW0 | NULL | NULL | IORW0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | nRES_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nRES | 9503 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 9 | 5 | II_FSRINV -NODE | FSR-IO_5 | 9331 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay0 | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FSR-IO_5 | 9331 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cs/nOverlay0.EXP | 10067 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cs/nOverlay0.SI | cs/nOverlay0 | 0 | 11 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay0.D1 | 9552 | ? | 598 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay0.D2 | 9553 | ? | 598 | 6144 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cs/nOverlay0.EXP | 10056 | ? | 624 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | cs/nOverlay0.REG | cs/nOverlay0 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay0.D | 9551 | ? | 598 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 3 | 8 | SRFF_R -NODE | FSR-IO_5 | 9331 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay0.Q | 9554 | ? | 598 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nLDS_FSB | 9504 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | IOL0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9345 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOL0 | 9333 | ? | 681 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9345 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOL0.D1 | 9556 | ? | 600 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOL0.D2 | 9557 | ? | 600 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 2 | IV_TRUE | iobs/IOL1 | IV_FALSE | ALE1 -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | IOL0.CE | 9558 | ? | 601 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 - -SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOL0.D | 9555 | ? | 600 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | IOL0.CE | 9558 | ? | 601 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOL0.Q | 9559 | ? | 681 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nUDS_FSB | 9505 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | IOU0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9349 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOU0 | 9334 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9349 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOU0.D1 | 9561 | ? | 602 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOU0.D2 | 9562 | ? | 602 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 2 | IV_TRUE | iobs/IOU1 | IV_FALSE | ALE1 -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | IOU0.CE | 9563 | ? | 603 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 - -SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOU0.D | 9560 | ? | 602 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | IOU0.CE | 9563 | ? | 603 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOU0.Q | 9564 | ? | 682 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutA | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | TimeoutA.SI | TimeoutA | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | TimeoutA.D1 | 9566 | ? | 604 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | TimeoutA.D2 | 9567 | ? | 604 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | TimeoutA | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 9 | IV_FALSE | TimeoutA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> -SPPTERM | 9 | IV_FALSE | TimeoutA | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | TimeoutA.REG | TimeoutA | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | TimeoutA.D | 9565 | ? | 604 | 0 | TimeoutA | NULL | NULL | TimeoutA.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | TimeoutA.Q | 9568 | ? | 620 | 0 | TimeoutA | NULL | NULL | TimeoutA.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutB | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | TimeoutB.SI | TimeoutB | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | TimeoutB.D1 | 9570 | ? | 605 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | TimeoutB.D2 | 9571 | ? | 605 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | TimeoutB | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 11 | IV_FALSE | TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> -SPPTERM | 11 | IV_FALSE | TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | TimeoutB.REG | TimeoutB | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | TimeoutB.D | 9569 | ? | 605 | 0 | TimeoutB | NULL | NULL | TimeoutB.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | TimeoutB.Q | 9572 | ? | 620 | 0 | TimeoutB | NULL | NULL | TimeoutB.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/TimeoutBPre | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/TimeoutBPre.SI | cnt/TimeoutBPre | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/TimeoutBPre.D1 | 9574 | ? | 606 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/TimeoutBPre.D2 | 9575 | ? | 606 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/TimeoutBPre | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> -SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | cnt/TimeoutBPre.REG | cnt/TimeoutBPre | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/TimeoutBPre.D | 9573 | ? | 606 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/TimeoutBPre.Q | 9576 | ? | 606 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR0r | MXSE_COPY_0_COPY_0 | 2155873536 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | fsb/BERR0r.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | fsb/BERR0r.SI | fsb/BERR0r | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/BERR0r.D1 | 9578 | ? | 607 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/BERR0r.D2 | 9579 | ? | 607 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/BERR0r -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | fsb/BERR0r.EXP | 10033 | ? | 0 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | fsb/BERR0r.REG | fsb/BERR0r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/BERR0r.D | 9577 | ? | 607 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/BERR0r.Q | 9580 | ? | 620 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR1r | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/BERR1r.SI | fsb/BERR1r | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/BERR1r.D1 | 9582 | ? | 608 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/BERR1r.D2 | 9583 | ? | 608 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | fsb/BERR1r.REG | fsb/BERR1r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/BERR1r.D | 9581 | ? | 608 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/BERR1r.Q | 9584 | ? | 620 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | MXSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready0r.D1 | 9586 | ? | 609 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready0r.D2 | 9587 | ? | 609 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady - -SRFF_INSTANCE | fsb/Ready0r.REG | fsb/Ready0r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready0r.D | 9585 | ? | 609 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready0r.Q | 9588 | ? | 620 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | MXSE_COPY_0_COPY_0 | 2155873536 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 9993 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 17 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 9993 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready1r.D1 | 9590 | ? | 610 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready1r.D2 | 9591 | ? | 610 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 11 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 11 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady - -SRFF_INSTANCE | fsb/Ready1r.REG | fsb/Ready1r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready1r.D | 9589 | ? | 610 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready1r.Q | 9592 | ? | 620 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready2r | MXSE_COPY_0_COPY_0 | 2155873536 | 22 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 9995 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/Ready2r.SI | fsb/Ready2r | 0 | 21 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 9995 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready2r.D1 | 9594 | ? | 611 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready2r.D2 | 9595 | ? | 611 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r - -SRFF_INSTANCE | fsb/Ready2r.REG | fsb/Ready2r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready2r.D | 9593 | ? | 611 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready2r.Q | 9596 | ? | 620 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/VPA | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 9997 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 9998 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 9997 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 9998 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/VPA.D1 | 9598 | ? | 612 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/VPA.D2 | 9599 | ? | 612 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP19_.EXP -SPPTERM | 1 | IV_TRUE | EXP20_.EXP -SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 3 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 3 | IV_TRUE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM -SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | $OpTx$FX_DC$602.UIM - -SRFF_INSTANCE | fsb/VPA.REG | fsb/VPA | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/VPA.D | 9597 | ? | 612 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/VPA.Q | 9600 | ? | 612 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL1 | 9345 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL1.D1 | 9602 | ? | 613 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL1.D2 | 9603 | ? | 613 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL1.CE | 9604 | ? | 614 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | iobs/Load1 - -SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL1.D | 9601 | ? | 613 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL1.CE | 9604 | ? | 614 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | iobs/Load1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL1.Q | 9605 | ? | 600 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | MXSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 10021 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr.EXP | 10022 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 10021 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr.EXP | 10022 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW1.D1 | 9607 | ? | 615 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW1.D2 | 9608 | ? | 615 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Once -SPPTERM | 1 | IV_FALSE | ALE1 -SPPTERM | 1 | IV_TRUE | EXP25_.EXP -SPPTERM | 1 | IV_TRUE | ram/BACTr.EXP -SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/IORW1 - -SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW1.D | 9606 | ? | 615 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW1.Q | 9609 | ? | 615 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOReady.D1 | 9611 | ? | 616 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOReady.D2 | 9612 | ? | 616 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobs/IOReady | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | IOBERR | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | IOBERR | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | IOBERR | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | iobs/IOReady.REG | iobs/IOReady | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOReady.D | 9610 | ? | 616 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOReady.Q | 9613 | ? | 620 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU1 | 9349 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU1.D1 | 9615 | ? | 617 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU1.D2 | 9616 | ? | 617 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU1.CE | 9617 | ? | 618 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | iobs/Load1 - -SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU1.D | 9614 | ? | 617 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU1.CE | 9617 | ? | 618 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | iobs/Load1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU1.Q | 9618 | ? | 602 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RAMDIS2 | MXSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once.EXP | 10070 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RAMDIS2.EXP | 10071 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/RAMDIS2.SI | ram/RAMDIS2 | 0 | 17 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once.EXP | 10070 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMDIS2.D1 | 9620 | ? | 619 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMDIS2.D2 | 9621 | ? | 619 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/Once.EXP -SPPTERM | 3 | IV_TRUE | ram/RAMDIS2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RAMDIS2.EXP | 10060 | ? | 0 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | ram/RASEL - -SRFF_INSTANCE | ram/RAMDIS2.REG | ram/RAMDIS2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMDIS2.D | 9619 | ? | 619 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMDIS2.Q | 9622 | ? | 619 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 9989 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 9990 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDTACK_FSB_OBUF | 9352 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 9989 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 9990 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 9624 | ? | 620 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 9625 | ? | 620 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP14_.EXP -SPPTERM | 1 | IV_TRUE | EXP15_.EXP -SPPTERM | 2 | IV_TRUE | BERR_IOBS | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 2 | IV_TRUE | fsb/BERR0r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 2 | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | $OpTx$FX_DC$602.UIM - -SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDTACK_FSB_OBUF.D | 9623 | ? | 620 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDTACK_FSB_OBUF.Q | 9626 | ? | 620 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | MXSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_0_OBUF.EXP | 10036 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP32_.EXP | 10048 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_0_OBUF.EXP | 10036 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP32_.EXP | 10048 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEL.D1 | 9628 | ? | 621 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEL.D2 | 9629 | ? | 621 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_0_OBUF.EXP -SPPTERM | 1 | IV_TRUE | EXP32_.EXP -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEL.D | 9627 | ? | 621 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEL.Q | 9630 | ? | 646 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155877632 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_8_OBUF.EXP | 10072 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.EXP | RA_8_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7>.EXP | 10073 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_8_OBUF.EXP | 10072 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.EXP | RA_8_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7>.EXP | 10073 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9632 | ? | 622 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9633 | ? | 622 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_8_OBUF.EXP -SPPTERM | 1 | IV_TRUE | cnt/RefCnt<7>.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_TRUE | ram/BACTr -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_TRUE | ram/BACTr -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr | IV_FALSE | cnt/RefCnt<7> - -SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd2.D | 9631 | ? | 622 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd2.Q | 9634 | ? | 645 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9636 | ? | 623 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9637 | ? | 623 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd1.D | 9635 | ? | 623 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd1.Q | 9638 | ? | 645 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155877632 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0.EXP | 10067 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 10068 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0.EXP | 10067 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 10068 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9640 | ? | 624 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9641 | ? | 624 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cs/nOverlay0.EXP -SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | ram/Once | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> -SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> -SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> - -SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd3.D | 9639 | ? | 624 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd3.Q | 9642 | ? | 645 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/PS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S.EXP | 10015 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 10016 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S.EXP | 10015 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 10016 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 9644 | ? | 625 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 9645 | ? | 625 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ALE0S.EXP -SPPTERM | 1 | IV_TRUE | EXP24_.EXP -SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/IOACTr -SPPTERM | 4 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | iobs/PS_FSM_FFd2.REG | iobs/PS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd2.D | 9643 | ? | 625 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd2.Q | 9646 | ? | 643 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobm/ES<0> | MXSE_COPY_0_COPY_0 | 2155877632 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<0>.D1 | 9648 | ? | 651 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<0>.D2 | 9649 | ? | 651 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 -SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/Er -SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_FALSE | iobm/Er2 - -SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<0>.D | 9647 | ? | 651 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<0>.Q | 9650 | ? | 661 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<1> | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<1>.D1 | 9652 | ? | 652 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<1>.D2 | 9653 | ? | 652 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> -SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> -SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 - -SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<1>.D | 9651 | ? | 652 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<1>.Q | 9654 | ? | 661 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9656 | ? | 653 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9657 | ? | 653 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK -SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr - -SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd3.D | 9655 | ? | 653 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd3.Q | 9658 | ? | 682 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<2> | MXSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<2>.D1 | 9660 | ? | 654 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<2>.D2 | 9661 | ? | 654 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> -SPPTERM | 2 | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> -SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 -SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> -SPPTERM | 3 | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> - -SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<2>.D | 9659 | ? | 654 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<2>.Q | 9662 | ? | 661 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nADoutLE1_OBUF.EXP | 10017 | ? | 626 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.EXP | nADoutLE1_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/PS_FSM_FFd1.EXP | 10018 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nADoutLE1_OBUF.EXP | 10017 | ? | 626 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.EXP | nADoutLE1_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 9664 | ? | 626 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 9665 | ? | 626 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nADoutLE1_OBUF.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/PS_FSM_FFd1.EXP | 10007 | ? | 640 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 - -SRFF_INSTANCE | iobs/PS_FSM_FFd1.REG | iobs/PS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd1.D | 9663 | ? | 626 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd1.Q | 9666 | ? | 643 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<3>.D1 | 9668 | ? | 655 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<3>.D2 | 9669 | ? | 655 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 -SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/Er -SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/Er2 - -SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<3>.D | 9667 | ? | 655 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<3>.Q | 9670 | ? | 661 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<4>.SI | iobm/ES<4> | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<4>.D1 | 9672 | ? | 656 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<4>.D2 | 9673 | ? | 656 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobm/ES<4> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 -SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_TRUE | iobm/Er -SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er2 -SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> - -SRFF_INSTANCE | iobm/ES<4>.REG | iobm/ES<4> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<4>.D | 9671 | ? | 656 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<4>.Q | 9674 | ? | 661 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd4 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd4.SI | iobm/IOS_FSM_FFd4 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 9676 | ? | 657 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 9677 | ? | 657 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 - -SRFF_INSTANCE | iobm/IOS_FSM_FFd4.REG | iobm/IOS_FSM_FFd4 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd4.D | 9675 | ? | 657 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd4.Q | 9678 | ? | 682 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd5 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd5.SI | iobm/IOS_FSM_FFd5 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 9680 | ? | 658 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 9681 | ? | 658 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 - -SRFF_INSTANCE | iobm/IOS_FSM_FFd5.REG | iobm/IOS_FSM_FFd5 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd5.D | 9679 | ? | 658 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd5.Q | 9682 | ? | 682 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd6 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd6.SI | iobm/IOS_FSM_FFd6 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 9684 | ? | 659 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 9685 | ? | 659 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd7 - -SRFF_INSTANCE | iobm/IOS_FSM_FFd6.REG | iobm/IOS_FSM_FFd6 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd6.D | 9683 | ? | 659 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd6.Q | 9686 | ? | 682 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd7 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd7.SI | iobm/IOS_FSM_FFd7 | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 9688 | ? | 660 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 9689 | ? | 660 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_FALSE | CLK_IOB_IBUF | IV_TRUE | iobm/IOREQr | IV_TRUE | iobm/IOS_FSM_FFd8 - -SRFF_INSTANCE | iobm/IOS_FSM_FFd7.REG | iobm/IOS_FSM_FFd7 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd7.D | 9687 | ? | 660 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd7.Q | 9690 | ? | 682 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<0> | MXSE_COPY_0_COPY_0 | 2155877376 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<0>.SI | cnt/RefCnt<0> | 0 | 0 | 2 -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<0>.D1 | 9692 | ? | 627 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<0>.D2 | 9693 | ? | 627 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_DC - -SRFF_INSTANCE | cnt/RefCnt<0>.REG | cnt/RefCnt<0> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<0>.D | 9691 | ? | 627 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<0>.Q | 9694 | ? | 637 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<5> | MXSE_COPY_0_COPY_0 | 2155877376 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/RefCnt<5>.EXP | 10066 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.EXP | cnt/RefCnt<5> | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cnt/RefCnt<5>.SI | cnt/RefCnt<5> | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<5>.D1 | 9696 | ? | 628 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<5>.D2 | 9697 | ? | 628 | 4096 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/RefCnt<5>.EXP | 10055 | ? | 645 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | cnt/RefDone | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -SRFF_INSTANCE | cnt/RefCnt<5>.REG | cnt/RefCnt<5> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<5>.D | 9695 | ? | 628 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<5>.Q | 9698 | ? | 645 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<6> | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_9_OBUF.EXP | 10074 | ? | 629 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/RefCnt<6>.EXP | 10075 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cnt/RefCnt<6>.SI | cnt/RefCnt<6> | 0 | 11 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_9_OBUF.EXP | 10074 | ? | 629 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<6>.D1 | 9700 | ? | 629 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<6>.D2 | 9701 | ? | 629 | 4096 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_9_OBUF.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/RefCnt<6>.EXP | 10064 | ? | 645 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | cnt/RefCnt<6>.REG | cnt/RefCnt<6> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<6>.D | 9699 | ? | 629 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<6>.Q | 9702 | ? | 645 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | MXSE_COPY_0_COPY_0 | 2155873280 | 19 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/IOACTr.EXP | 10014 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 18 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOACTr.D1 | 9704 | ? | 630 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOACTr.D2 | 9705 | ? | 630 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IOACT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/IOACTr.EXP | 10003 | ? | 597 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOACTr.D | 9703 | ? | 630 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOACTr.Q | 9706 | ? | 640 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<1> | MXSE_COPY_0_COPY_0 | 2155877376 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<1>.SI | cnt/RefCnt<1> | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<1>.D1 | 9708 | ? | 631 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<1>.D2 | 9709 | ? | 631 | 4096 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/RefCnt<0> - -SRFF_INSTANCE | cnt/RefCnt<1>.REG | cnt/RefCnt<1> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<1>.D | 9707 | ? | 631 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<1>.Q | 9710 | ? | 637 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<2> | MXSE_COPY_0_COPY_0 | 2155877376 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<2>.SI | cnt/RefCnt<2> | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<2>.D1 | 9712 | ? | 632 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<2>.D2 | 9713 | ? | 632 | 4096 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> - -SRFF_INSTANCE | cnt/RefCnt<2>.REG | cnt/RefCnt<2> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<2>.D | 9711 | ? | 632 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<2>.Q | 9714 | ? | 637 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<3>.SI | cnt/RefCnt<3> | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<3>.D1 | 9716 | ? | 633 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<3>.D2 | 9717 | ? | 633 | 4096 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> - -SRFF_INSTANCE | cnt/RefCnt<3>.REG | cnt/RefCnt<3> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<3>.D | 9715 | ? | 633 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<3>.Q | 9718 | ? | 637 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<4>.SI | cnt/RefCnt<4> | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<4>.D1 | 9720 | ? | 634 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<4>.D2 | 9721 | ? | 634 | 4096 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> - -SRFF_INSTANCE | cnt/RefCnt<4>.REG | cnt/RefCnt<4> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<4>.D | 9719 | ? | 634 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<4>.Q | 9722 | ? | 637 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | MXSE_COPY_0_COPY_0 | 2155873280 | 17 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/ETACK.EXP | 10019 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 16 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ETACK.D1 | 9724 | ? | 661 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ETACK.D2 | 9725 | ? | 661 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/ETACK.EXP | 10008 | ? | 640 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 - -SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ETACK.D | 9723 | ? | 661 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ETACK.Q | 9726 | ? | 667 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/IOREQr | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ | 9397 | ? | 662 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOREQr.SI | iobm/IOREQr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ | 9397 | ? | 662 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOREQr.D1 | 9728 | ? | 662 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOREQr.D2 | 9729 | ? | 662 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IOREQ - -SRFF_INSTANCE | iobm/IOREQr.REG | iobm/IOREQr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOREQr.D | 9727 | ? | 662 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOREQr.Q | 9730 | ? | 670 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | iobm/IOS_FSM_FFd8 | MXSE_COPY_0_COPY_0 | 2155873024 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9404 | ? | 664 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd8.SI | iobm/IOS_FSM_FFd8 | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9404 | ? | 664 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd8.D1 | 9732 | ? | 664 | 4096 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd8.D2 | 9733 | ? | 664 | 4096 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_FALSE | iobm/IOS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | CLK_IOB_IBUF | IV_TRUE | iobm/IOREQr | IV_FALSE | iobm/IOS_FSM_FFd1 - -SRFF_INSTANCE | iobm/IOS_FSM_FFd8.REG | iobm/IOS_FSM_FFd8 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd8.D | 9731 | ? | 664 | 0 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd8.Q | 9734 | ? | 670 | 0 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 10038 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP27_.EXP | 10039 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 10038 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP27_.EXP | 10039 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Load1.D1 | 9736 | ? | 635 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Load1.D2 | 9737 | ? | 635 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Once -SPPTERM | 1 | IV_FALSE | ALE1 -SPPTERM | 1 | IV_TRUE | EXP26_.EXP -SPPTERM | 1 | IV_TRUE | EXP27_.EXP -SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 - -SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Load1.D | 9735 | ? | 635 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Load1.Q | 9738 | ? | 647 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | MXSE_COPY_0_COPY_0 | 2155873536 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/BACTr.EXP | 10022 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 8 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/BACTr.D1 | 9740 | ? | 636 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/BACTr.D2 | 9741 | ? | 636 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/BACTr.EXP | 10011 | ? | 615 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF - -SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/BACTr.D | 9739 | ? | 636 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/BACTr.Q | 9742 | ? | 645 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOACT | MXSE_COPY_0_COPY_0 | 2155873536 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_1_OBUF.EXP | 10052 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR.EXP | 10054 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.EXP | IOBERR | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_1_OBUF.EXP | 10052 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR.EXP | 10054 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.EXP | IOBERR | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOACT.D1 | 9744 | ? | 665 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOACT.D2 | 9745 | ? | 665 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_1_OBUF.EXP -SPPTERM | 1 | IV_TRUE | IOBERR.EXP -SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr -SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 -SPPTERM | 7 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/ETACK | IV_FALSE | iobm/IOREQr -SPPTERM | 7 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/ETACK | IV_FALSE | iobm/IOS_FSM_FFd8 -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr - -SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOACT.D | 9743 | ? | 665 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOACT.Q | 9746 | ? | 648 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<7> | MXSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/RefCnt<7>.EXP | 10073 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cnt/RefCnt<7>.SI | cnt/RefCnt<7> | 0 | 18 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<7>.D1 | 9748 | ? | 637 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<7>.D2 | 9749 | ? | 637 | 4096 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/RefCnt<7>.EXP | 10062 | ? | 622 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | cnt/RefCnt<7>.REG | cnt/RefCnt<7> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<7>.D | 9747 | ? | 637 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<7>.Q | 9750 | ? | 645 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | E_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | E_IOB | 9508 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | E_IOB_IBUF | 9387 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IOB_IBUF | 9387 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_4 | 9370 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IOB_IBUF | 9387 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er.D1 | 9752 | ? | 587 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er.D2 | 9753 | ? | 587 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | E_IOB_IBUF - -SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er.D | 9751 | ? | 587 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_4 | 9370 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er.Q | 9754 | ? | 666 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | RefAck | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | RefAck.SI | RefAck | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefAck.D1 | 9756 | ? | 638 | 4096 | RefAck | NULL | NULL | RefAck.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefAck.D2 | 9757 | ? | 638 | 4096 | RefAck | NULL | NULL | RefAck.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 - -SRFF_INSTANCE | RefAck.REG | RefAck | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefAck.D | 9755 | ? | 638 | 0 | RefAck | NULL | NULL | RefAck.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefAck.Q | 9758 | ? | 594 | 0 | RefAck | NULL | NULL | RefAck.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/Er2 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/Er2.SI | iobm/Er2 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er2.D1 | 9760 | ? | 666 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er2.D2 | 9761 | ? | 666 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/Er - -SRFF_INSTANCE | iobm/Er2.REG | iobm/Er2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er2.D | 9759 | ? | 666 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er2.Q | 9762 | ? | 656 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9764 | ? | 667 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9765 | ? | 667 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK -SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr - -SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd2.D | 9763 | ? | 667 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd2.Q | 9766 | ? | 680 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB - -INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nVPA_IOB | 9509 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPArf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArf | 9393 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/VPArf.SI | iobm/VPArf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArf.D1 | 9768 | ? | 668 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArf.D2 | 9769 | ? | 668 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF - -SRFF_INSTANCE | iobm/VPArf.REG | iobm/VPArf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArf.D | 9767 | ? | 668 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArf.Q | 9770 | ? | 648 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/VPArr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArr | 9394 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/VPArr.SI | iobm/VPArr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArr.D1 | 9772 | ? | 669 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArr.D2 | 9773 | ? | 669 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF - -SRFF_INSTANCE | iobm/VPArr.REG | iobm/VPArr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArr.D | 9771 | ? | 669 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArr.Q | 9774 | ? | 648 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | MXSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0M | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0M.D1 | 9776 | ? | 670 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0M.D2 | 9777 | ? | 670 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr -SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 - -SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0M.D | 9775 | ? | 670 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0M.Q | 9778 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0S | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ALE0S.EXP | 10015 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0S.D1 | 9780 | ? | 639 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0S.D2 | 9781 | ? | 639 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ALE0S.EXP | 10004 | ? | 625 | 0 | ALE0S | NULL | NULL | ALE0S.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 - -SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0S.D | 9779 | ? | 639 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0S.Q | 9782 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOREQ | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1.EXP | 10018 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK.EXP | 10019 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOREQ | 9397 | ? | 662 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOREQ.SI | IOREQ | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1.EXP | 10018 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK.EXP | 10019 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOREQ.D1 | 9784 | ? | 640 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOREQ.D2 | 9785 | ? | 640 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd1.EXP -SPPTERM | 1 | IV_TRUE | iobm/ETACK.EXP -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -SPPTERM | 3 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | IOREQ.REG | IOREQ | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOREQ.D | 9783 | ? | 640 | 0 | IOREQ | NULL | NULL | IOREQ.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOREQ.Q | 9786 | ? | 662 | 0 | IOREQ | NULL | NULL | IOREQ.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/ASrf.D1 | 9788 | ? | 641 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/ASrf.D2 | 9789 | ? | 641 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/ASrf.D | 9787 | ? | 641 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/ASrf.Q | 9790 | ? | 645 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/BERRrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/BERRrf.SI | iobm/BERRrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrf.D1 | 9792 | ? | 671 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrf.D2 | 9793 | ? | 671 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF - -SRFF_INSTANCE | iobm/BERRrf.REG | iobm/BERRrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrf.D | 9791 | ? | 671 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrf.Q | 9794 | ? | 667 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BERRrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/BERRrr.SI | iobm/BERRrr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrr.D1 | 9796 | ? | 672 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrr.D2 | 9797 | ? | 672 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF - -SRFF_INSTANCE | iobm/BERRrr.REG | iobm/BERRrr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrr.D | 9795 | ? | 672 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrr.Q | 9798 | ? | 667 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nDTACK_IOB | 9510 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/DTACKrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/DTACKrf.SI | iobm/DTACKrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrf.D1 | 9800 | ? | 673 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrf.D2 | 9801 | ? | 673 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF - -SRFF_INSTANCE | iobm/DTACKrf.REG | iobm/DTACKrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrf.D | 9799 | ? | 673 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrf.Q | 9802 | ? | 667 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DTACKrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/DTACKrr.SI | iobm/DTACKrr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrr.D1 | 9804 | ? | 674 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrr.D2 | 9805 | ? | 674 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF - -SRFF_INSTANCE | iobm/DTACKrr.REG | iobm/DTACKrr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrr.D | 9803 | ? | 674 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrr.Q | 9806 | ? | 667 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd1 | 9404 | ? | 664 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9808 | ? | 675 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9809 | ? | 675 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd2 - -SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd1.D | 9807 | ? | 675 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd1.Q | 9810 | ? | 664 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/RESrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/RESrf.SI | iobm/RESrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrf.D1 | 9812 | ? | 676 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrf.D2 | 9813 | ? | 676 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nRES_IBUF - -SRFF_INSTANCE | iobm/RESrf.REG | iobm/RESrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrf.D | 9811 | ? | 676 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrf.Q | 9814 | ? | 667 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/RESrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/RESrr.SI | iobm/RESrr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrr.D1 | 9816 | ? | 677 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrr.D2 | 9817 | ? | 677 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nRES_IBUF - -SRFF_INSTANCE | iobm/RESrr.REG | iobm/RESrr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrr.D | 9815 | ? | 677 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrr.Q | 9818 | ? | 667 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Clear1 | 9407 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Clear1.D1 | 9820 | ? | 643 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Clear1.D2 | 9821 | ? | 643 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 - -SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Clear1.D | 9819 | ? | 643 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Clear1.Q | 9822 | ? | 647 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMDIS1 | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP30_.EXP | 10044 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP31_.EXP | 10045 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RAMDIS1.SI | ram/RAMDIS1 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP30_.EXP | 10044 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP31_.EXP | 10045 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMDIS1.D1 | 9824 | ? | 644 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMDIS1.D2 | 9825 | ? | 644 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP30_.EXP -SPPTERM | 1 | IV_TRUE | EXP31_.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RAMDIS1.REG | ram/RAMDIS1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMDIS1.D | 9823 | ? | 644 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMDIS1.Q | 9826 | ? | 0 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RAMReady | MXSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6>.EXP | 10075 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP34_.EXP | 10076 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6>.EXP | 10075 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP34_.EXP | 10076 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMReady.D1 | 9828 | ? | 645 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMReady.D2 | 9829 | ? | 645 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/RefCnt<6>.EXP -SPPTERM | 1 | IV_TRUE | EXP34_.EXP -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 - -SRFF_INSTANCE | ram/RAMReady.REG | ram/RAMReady | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMReady.D | 9827 | ? | 645 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMReady.Q | 9830 | ? | 620 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<1> | 9511 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_1_IBUF | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<2> | 9512 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_2_IBUF | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<3> | 9513 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_3_IBUF | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<4> | 9514 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_4_IBUF | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<5> | 9515 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_5_IBUF | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<6> | 9516 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_6_IBUF | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<7> | 9517 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_7_IBUF | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nAS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAS_IOB_OBUF | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nAS_IOB_OBUF.SI | nAS_IOB_OBUF | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAS_IOB_OBUF.D1 | 9832 | ? | 678 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAS_IOB_OBUF.D2 | 9833 | ? | 678 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 - -SRFF_INSTANCE | nAS_IOB_OBUF.REG | nAS_IOB_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAS_IOB_OBUF.D | 9831 | ? | 678 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAS_IOB_OBUF.Q | 9834 | ? | 0 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nCAS_OBUF | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nCAS_OBUF.D1 | 9836 | ? | 646 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nCAS_OBUF.D2 | 9837 | ? | 646 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | ram/RASEL - -SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nCAS_OBUF.D | 9835 | ? | 646 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nCAS_OBUF.Q | 9838 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | nDinLE_OBUF | MXSE_COPY_0_COPY_0 | 2424308992 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinLE_OBUF | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinLE_OBUF.D1 | 9840 | ? | 679 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinLE_OBUF.D2 | 9841 | ? | 679 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 - -SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinLE_OBUF.D | 9839 | ? | 679 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinLE_OBUF.Q | 9842 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | nDoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDoutOE_OBUF | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDoutOE_OBUF.D1 | 9844 | ? | 680 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDoutOE_OBUF.D2 | 9845 | ? | 680 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | IORW0 -SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd2 - -SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDoutOE_OBUF.D | 9843 | ? | 680 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDoutOE_OBUF.Q | 9846 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nLDS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9333 | ? | 681 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nLDS_IOB_OBUF | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nLDS_IOB_OBUF.SI | nLDS_IOB_OBUF | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9333 | ? | 681 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nLDS_IOB_OBUF.D1 | 9848 | ? | 681 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nLDS_IOB_OBUF.D2 | 9849 | ? | 681 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | IOL0 -SPPTERM | 4 | IV_TRUE | IORW0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 -SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 - -SRFF_INSTANCE | nLDS_IOB_OBUF.REG | nLDS_IOB_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nLDS_IOB_OBUF.D | 9847 | ? | 681 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nLDS_IOB_OBUF.Q | 9850 | ? | 0 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nUDS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9334 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nUDS_IOB_OBUF | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nUDS_IOB_OBUF.SI | nUDS_IOB_OBUF | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9334 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nUDS_IOB_OBUF.D1 | 9852 | ? | 682 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nUDS_IOB_OBUF.D2 | 9853 | ? | 682 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | IOU0 -SPPTERM | 4 | IV_TRUE | IORW0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 -SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 - -SRFF_INSTANCE | nUDS_IOB_OBUF.REG | nUDS_IOB_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nUDS_IOB_OBUF.D | 9851 | ? | 682 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nUDS_IOB_OBUF.Q | 9854 | ? | 0 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1.EXP | 10037 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.EXP | cs/nOverlay1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_0_OBUF | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_0_OBUF.EXP | 10036 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1.EXP | 10037 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.EXP | cs/nOverlay1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_0_OBUF.D1 | 9856 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_0_OBUF.D2 | 9857 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cs/nOverlay1.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_0_OBUF.EXP | 10023 | ? | 621 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_0_OBUF.D | 9855 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_0_OBUF.Q | 9858 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 15 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_1_OBUF | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_1_OBUF.EXP | 10052 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 15 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_1_OBUF.D1 | 9860 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_1_OBUF.D2 | 9861 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_1_OBUF.EXP | 10049 | ? | 665 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr - -SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_1_OBUF.D | 9859 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_1_OBUF.Q | 9862 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_2_OBUF | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_2_OBUF.EXP | 10040 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_2_OBUF.D1 | 9864 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_2_OBUF.D2 | 9865 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_2_OBUF.EXP | 10027 | ? | 595 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once - -SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_2_OBUF.D | 9863 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_2_OBUF.Q | 9866 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_3_OBUF | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_3_OBUF.D1 | 9868 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_3_OBUF.D2 | 9869 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF - -SRFF_INSTANCE | RA_3_OBUF.REG | RA_3_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_3_OBUF.D | 9867 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_3_OBUF.Q | 9870 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_4_OBUF | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_4_OBUF.EXP | 10043 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 11 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_4_OBUF.D1 | 9872 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_4_OBUF.D2 | 9873 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_4_OBUF.EXP | 10030 | ? | 644 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_4_OBUF.D | 9871 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_4_OBUF.Q | 9874 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_5_OBUF | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_5_OBUF.D1 | 9876 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_5_OBUF.D2 | 9877 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF - -SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_5_OBUF.D | 9875 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_5_OBUF.Q | 9878 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 14 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_6_OBUF | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_6_OBUF.EXP | 10068 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 14 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_6_OBUF.D1 | 9880 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_6_OBUF.D2 | 9881 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_6_OBUF.EXP | 10057 | ? | 624 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_6_OBUF.D | 9879 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_6_OBUF.Q | 9882 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_7_OBUF | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_7_OBUF.EXP | 10069 | ? | 593 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_7_OBUF.D1 | 9884 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_7_OBUF.D2 | 9885 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | ram/RASEL -SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_7_OBUF.EXP | 10058 | ? | 593 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_7_OBUF.D | 9883 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_7_OBUF.Q | 9886 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2.EXP | 10071 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_8_OBUF | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_8_OBUF.EXP | 10072 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.EXP | RA_8_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2.EXP | 10071 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_8_OBUF.D1 | 9888 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_8_OBUF.D2 | 9889 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RAMDIS2.EXP -SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_8_OBUF.EXP | 10061 | ? | 622 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 - -SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_8_OBUF.D | 9887 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_8_OBUF.Q | 9890 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_9_OBUF | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_9_OBUF.EXP | 10074 | ? | 629 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_9_OBUF.D1 | 9892 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_9_OBUF.D2 | 9893 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL -SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_9_OBUF.EXP | 10063 | ? | 629 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> - -SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_9_OBUF.D | 9891 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_9_OBUF.Q | 9894 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | nBERR_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 20 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBERR_FSB_OBUF | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBERR_FSB_OBUF.EXP | 9993 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 20 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 9896 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 9897 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF -SPPTERM | 4 | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 9981 | ? | 610 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 - -SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBERR_FSB_OBUF.D | 9895 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBERR_FSB_OBUF.Q | 9898 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 21 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nOE_OBUF | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nOE_OBUF.EXP | 9995 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 21 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nOE_OBUF.D1 | 9900 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nOE_OBUF.D2 | 9901 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nOE_OBUF.EXP | 9983 | ? | 611 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r - -SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nOE_OBUF.D | 9899 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nOE_OBUF.Q | 9902 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMWE_OBUF | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMWE_OBUF.D1 | 9904 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMWE_OBUF.D2 | 9905 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMWE_OBUF.D | 9903 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMWE_OBUF.Q | 9906 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nVPA_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVPA_FSB_OBUF | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 9908 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 9909 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVPA_FSB_OBUF.D | 9907 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVPA_FSB_OBUF.Q | 9910 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE0_OBUF | 9437 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE0_OBUF.D1 | 9912 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE0_OBUF.D2 | 9913 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S - -SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE0_OBUF.D | 9911 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE0_OBUF.Q | 9914 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | nDinOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 14 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinOE_OBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDinOE_OBUF.EXP | 10047 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 14 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinOE_OBUF.D1 | 9916 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinOE_OBUF.D2 | 9917 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | fsb/BERR0r.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDinOE_OBUF.EXP | 10034 | ? | 621 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinOE_OBUF.D | 9915 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinOE_OBUF.Q | 9918 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAS_OBUF | 9439 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAS_OBUF.D1 | 9920 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAS_OBUF.D2 | 9921 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RefAck -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 - -SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAS_OBUF.D | 9919 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAS_OBUF.Q | 9922 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_19_IBUF$BUF0 | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 9924 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 9925 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF - -SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_19_IBUF$BUF0.D | 9923 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_19_IBUF$BUF0.Q | 9926 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_21_IBUF$BUF0 | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 9928 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 9929 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF - -SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_21_IBUF$BUF0.D | 9927 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_21_IBUF$BUF0.Q | 9930 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | MXSE_COPY_0_COPY_0 | 2155873024 | 7 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9407 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE1_OBUF | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nADoutLE1_OBUF.EXP | 10017 | ? | 626 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.EXP | nADoutLE1_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 6 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9407 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE1_OBUF.D1 | 9932 | ? | 647 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE1_OBUF.D2 | 9933 | ? | 647 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Load1 -SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nADoutLE1_OBUF.EXP | 10006 | ? | 626 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr - -SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE1_OBUF.D | 9931 | ? | 647 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE1_OBUF.Q | 9934 | ? | 647 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMLWE_OBUF | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMLWE_OBUF.D1 | 9936 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMLWE_OBUF.D2 | 9937 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 - -SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMLWE_OBUF.D | 9935 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMLWE_OBUF.Q | 9938 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMUWE_OBUF | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMUWE_OBUF.D1 | 9940 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMUWE_OBUF.D2 | 9941 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 - -SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMUWE_OBUF.D | 9939 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMUWE_OBUF.Q | 9942 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMCS_OBUF | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMCS_OBUF.D1 | 9944 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMCS_OBUF.D2 | 9945 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay1 - -SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMCS_OBUF.D | 9943 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMCS_OBUF.Q | 9946 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | nAoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAoutOE_OBUF$Q | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 0 | 2 -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAoutOE_OBUF.D1 | 9948 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAoutOE_OBUF.D2 | 9949 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_ZERO - -SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAoutOE_OBUF.D | 9947 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAoutOE_OBUF.Q | 9950 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q - -OUTPUT_INSTANCE | 0 | nVMA_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVMA_IOB_OBUF$Q | 9317 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVMA_IOB | 9448 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDTACK_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDTACK_FSB_OBUF | 9352 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDTACK_FSB | 9449 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nAS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAS_IOB_OBUF | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAS_IOB | 9450 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nCAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nCAS_OBUF | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nCAS | 9451 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinLE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinLE_OBUF | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinLE | 9452 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDoutOE_OBUF | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDoutOE | 9453 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nLDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nLDS_IOB_OBUF | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nLDS_IOB | 9454 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nUDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nUDS_IOB_OBUF | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nUDS_IOB | 9455 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<0> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_0_OBUF | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<0> | 9456 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<1> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_1_OBUF | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<1> | 9457 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<2> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_2_OBUF | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<2> | 9458 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<3> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_3_OBUF | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<3> | 9459 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<4> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_4_OBUF | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<4> | 9460 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<5> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_5_OBUF | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<5> | 9461 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<6> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_6_OBUF | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<6> | 9462 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<7> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_7_OBUF | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<7> | 9463 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<8> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_8_OBUF | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<8> | 9464 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<9> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_9_OBUF | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<9> | 9465 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nBERR_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBERR_FSB_OBUF | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBERR_FSB | 9466 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nOE_OBUF | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nOE | 9467 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nROMWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMWE_OBUF | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMWE | 9468 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nVPA_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVPA_FSB_OBUF | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVPA_FSB | 9469 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nADoutLE0 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE0_OBUF | 9437 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE0 | 9470 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinOE_OBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinOE | 9471 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAS_OBUF | 9439 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAS | 9472 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<11> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_19_IBUF$BUF0 | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<11> | 9473 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<10> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_21_IBUF$BUF0 | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<10> | 9474 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nADoutLE1 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE1_OBUF | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE1 | 9475 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAMLWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMLWE_OBUF | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMLWE | 9476 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAMUWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMUWE_OBUF | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMUWE | 9477 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nROMCS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMCS_OBUF | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMCS | 9478 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nAoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAoutOE_OBUF$Q | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAoutOE | 9479 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT - -MACROCELL_INSTANCE | SoftPfbk | fsb/VPA__or00001/fsb/VPA__or00001_D2 | MXSE_COPY_0_COPY_0 | 2181038080 | 21 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 9994 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/VPA__or00001/fsb/VPA__or00001_D2.SI | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 0 | 21 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 9994 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.D1 | 9952 | ? | 612 | 4096 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | NULL | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.D2 | 9953 | ? | 612 | 4096 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | NULL | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP17_.EXP -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r - -SRFF_INSTANCE | fsb/VPA__or00001/fsb/VPA__or00001_D2.REG | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.D | 9951 | ? | 612 | 0 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | NULL | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | 9954 | ? | 612 | 0 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | NULL | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | SoftPfbk | $OpTx$FX_DC$602 | MXSE_COPY_0_COPY_0 | 2181038080 | 25 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | $OpTx$FX_DC$602.EXP | 9999 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.EXP | $OpTx$FX_DC$602 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | $OpTx$FX_DC$602.SI | $OpTx$FX_DC$602 | 0 | 25 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | $OpTx$FX_DC$602.D1 | 9956 | ? | 620 | 4096 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | $OpTx$FX_DC$602.D2 | 9957 | ? | 620 | 4096 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | TimeoutB -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | $OpTx$FX_DC$602.EXP | 9987 | ? | 612 | 0 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM -SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/ASrf | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM | IV_FALSE | $OpTx$FX_DC$606.UIM - -SRFF_INSTANCE | $OpTx$FX_DC$602.REG | $OpTx$FX_DC$602 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | $OpTx$FX_DC$602.D | 9955 | ? | 620 | 0 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | $OpTx$FX_DC$602.Q | 9958 | ? | 620 | 0 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | SoftPfbk | $OpTx$FX_DC$606 | MXSE_COPY_0_COPY_0 | 2181038080 | 28 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 9992 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | $OpTx$FX_DC$606.EXP | 9991 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.EXP | $OpTx$FX_DC$606 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | $OpTx$FX_DC$606.SI | $OpTx$FX_DC$606 | 0 | 28 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 9992 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | $OpTx$FX_DC$606.D1 | 9960 | ? | 612 | 4096 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | $OpTx$FX_DC$606.D2 | 9961 | ? | 612 | 4096 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP16_.EXP -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF -SPPTERM | 9 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | $OpTx$FX_DC$606.EXP | 9979 | ? | 620 | 0 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_TRUE | $OpTx$FX_DC$602.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_TRUE | $OpTx$FX_DC$602.UIM - -SRFF_INSTANCE | $OpTx$FX_DC$606.REG | $OpTx$FX_DC$606 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | $OpTx$FX_DC$606.D | 9959 | ? | 612 | 0 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | $OpTx$FX_DC$606.Q | 9962 | ? | 612 | 0 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | NULL | EXP14_ | MXSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 10000 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP14_.EXP | 9989 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 17 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 10000 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP14_.EXP | 9977 | ? | 620 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP21_.EXP -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady -SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM - -MACROCELL_INSTANCE | NULL | EXP15_ | MXSE_COPY_0_COPY_0 | 2147483648 | 25 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$606.EXP | 9991 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.EXP | $OpTx$FX_DC$606 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP15_.EXP | 9990 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 25 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$606.EXP | 9991 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.EXP | $OpTx$FX_DC$606 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP15_.EXP | 9978 | ? | 620 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | $OpTx$FX_DC$606.EXP -SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 -SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM - -MACROCELL_INSTANCE | NULL | EXP16_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP16_.EXP | 9992 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP16_.EXP | 9980 | ? | 612 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 9 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF -SPPTERM | 11 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | ALE1 -SPPTERM | 11 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP17_ | MXSE_COPY_0_COPY_0 | 2147483648 | 20 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP17_.EXP | 9994 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 20 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP17_.EXP | 9982 | ? | 612 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r - -MACROCELL_INSTANCE | NULL | EXP18_ | MXSE_COPY_0_COPY_0 | 2147483648 | 30 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP18_.EXP | 9996 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 30 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP18_.EXP | 9984 | ? | 612 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | ram/RAMReady -SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM -SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_TRUE | fsb/ASrf | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM -SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM -SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM | IV_FALSE | $OpTx$FX_DC$606.UIM - -MACROCELL_INSTANCE | NULL | EXP19_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 9996 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP19_.EXP | 9997 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 9996 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP19_.EXP | 9985 | ? | 612 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP18_.EXP -SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_TRUE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM -SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | $OpTx$FX_DC$602.UIM - -MACROCELL_INSTANCE | NULL | EXP20_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.EXP | 9999 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.EXP | $OpTx$FX_DC$602 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP20_.EXP | 9998 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.EXP | 9999 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.EXP | $OpTx$FX_DC$602 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP20_.EXP | 9986 | ? | 612 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | $OpTx$FX_DC$602.EXP -SPPTERM | 5 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | $OpTx$FX_DC$606.UIM -SPPTERM | 5 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_TRUE | $OpTx$FX_DC$606.UIM -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMReady -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | ram/RAMReady -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMReady - -MACROCELL_INSTANCE | NULL | EXP21_ | MXSE_COPY_0_COPY_0 | 2147483648 | 21 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP21_.EXP | 10000 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 21 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP21_.EXP | 9988 | ? | 620 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM - -MACROCELL_INSTANCE | NULL | EXP22_ | MXSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP22_.EXP | 10012 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP22_.EXP | 10001 | ? | 597 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP23_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 10012 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP23_.EXP | 10013 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 10012 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP23_.EXP | 10002 | ? | 597 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP22_.EXP -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP24_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP24_.EXP | 10016 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP24_.EXP | 10005 | ? | 625 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 7 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP25_ | MXSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF.EXP | 10020 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP25_.EXP | 10021 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF.EXP | 10020 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP25_.EXP | 10010 | ? | 615 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nVMA_IOB_OBUF.EXP -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW1 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 - -MACROCELL_INSTANCE | NULL | EXP26_ | MXSE_COPY_0_COPY_0 | 2147483648 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP26_.EXP | 10038 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP26_.EXP | 10025 | ? | 635 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF - -MACROCELL_INSTANCE | NULL | EXP27_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP27_.EXP | 10039 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP27_.EXP | 10026 | ? | 635 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 - -MACROCELL_INSTANCE | NULL | EXP28_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 10040 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP28_.EXP | 10041 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP28_.SI | EXP28_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 10040 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP28_.EXP | 10028 | ? | 595 | 0 | EXP28_ | NULL | NULL | EXP28_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | ALE1 -SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once - -MACROCELL_INSTANCE | NULL | EXP29_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP29_.EXP | 10042 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP29_.SI | EXP29_ | 0 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP29_.EXP | 10029 | ? | 595 | 0 | EXP29_ | NULL | NULL | EXP29_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_TRUE | nWE_FSB_IBUF - -MACROCELL_INSTANCE | NULL | EXP30_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 10043 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP30_.EXP | 10044 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP30_.SI | EXP30_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 10043 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP30_.EXP | 10031 | ? | 644 | 0 | EXP30_ | NULL | NULL | EXP30_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr - -MACROCELL_INSTANCE | NULL | EXP31_ | MXSE_COPY_0_COPY_0 | 2147483648 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP31_.EXP | 10045 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP31_.SI | EXP31_ | 0 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP31_.EXP | 10032 | ? | 644 | 0 | EXP31_ | NULL | NULL | EXP31_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 6 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 7 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP32_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinOE_OBUF.EXP | 10047 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP32_.EXP | 10048 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP32_.SI | EXP32_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinOE_OBUF.EXP | 10047 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP32_.EXP | 10035 | ? | 621 | 0 | EXP32_ | NULL | NULL | EXP32_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nDinOE_OBUF.EXP -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr - -MACROCELL_INSTANCE | NULL | EXP33_ | MXSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP33_.EXP | 10053 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP33_.SI | EXP33_ | 0 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP33_.EXP | 10050 | ? | 650 | 0 | EXP33_ | NULL | NULL | EXP33_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr -SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr - -MACROCELL_INSTANCE | NULL | EXP34_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5>.EXP | 10066 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.EXP | cnt/RefCnt<5> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP34_.EXP | 10076 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP34_.SI | EXP34_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5>.EXP | 10066 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.EXP | cnt/RefCnt<5> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP34_.EXP | 10065 | ? | 645 | 0 | EXP34_ | NULL | NULL | EXP34_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | cnt/RefCnt<5>.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf - -FB_INSTANCE | FOOBAR1_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP14_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 11 | 49152 -FBPIN | 3 | EXP15_ | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 12 | 49152 -FBPIN | 4 | $OpTx$FX_DC$606 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | EXP16_ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 13 | 49152 -FBPIN | 6 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 14 | 49152 -FBPIN | 7 | fsb/Ready1r | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | NULL | 0 | A_FSB_5_IBUF | 1 | NULL | 0 | 15 | 49152 -FBPIN | 9 | EXP17_ | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 16 | 49152 -FBPIN | 10 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 17 | 49152 -FBPIN | 12 | fsb/Ready2r | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 18 | 49152 -FBPIN | 13 | EXP18_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | EXP19_ | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 19 | 49152 -FBPIN | 15 | fsb/VPA | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 20 | 49152 -FBPIN | 16 | EXP20_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | $OpTx$FX_DC$602 | 1 | CLK2X_IOB_IBUF | 1 | NULL | 0 | 22 | 57344 -FBPIN | 18 | EXP21_ | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR2_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | iobm/VPArr | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | iobm/VPArf | 1 | nRES_IBUF | 1 | NULL | 0 | 99 | 51200 -FBPIN | 3 | iobm/RESrr | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobm/RESrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/IOREQr | 1 | NULL | 0 | NULL | 0 | 1 | 53248 -FBPIN | 6 | cnt/RefCnt<3> | 1 | NULL | 0 | NULL | 0 | 2 | 53248 -FBPIN | 7 | cnt/RefCnt<2> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | cnt/RefCnt<1> | 1 | NULL | 0 | NULL | 0 | 3 | 53248 -FBPIN | 9 | fsb/BERR1r | 1 | NULL | 0 | NULL | 0 | 4 | 53248 -FBPIN | 10 | cnt/RefDone | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | nLDS_IOB_OBUF | 1 | NULL | 0 | nLDS_IOB | 1 | 6 | 49152 -FBPIN | 12 | nUDS_IOB_OBUF | 1 | NULL | 0 | nUDS_IOB | 1 | 7 | 49152 -FBPIN | 13 | cnt/TimeoutBPre | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 8 | 49152 -FBPIN | 15 | TimeoutB | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 9 | 49152 -FBPIN | 16 | TimeoutA | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | nAS_IOB_OBUF | 1 | NULL | 0 | nAS_IOB | 1 | 10 | 49152 -FBPIN | 18 | iobs/IOReady | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR3_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP22_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | EXP23_ | 1 | CLK_FSB_IBUF | 1 | NULL | 0 | 23 | 57344 -FBPIN | 3 | IORW0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 24 | 49152 -FBPIN | 6 | iobs/Clear1 | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 25 | 49152 -FBPIN | 7 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | ALE0S | 1 | CLK_IOB_IBUF | 1 | NULL | 0 | 27 | 57344 -FBPIN | 9 | iobs/PS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 | 28 | 49152 -FBPIN | 10 | EXP24_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | nADoutLE1_OBUF | 1 | NULL | 0 | nADoutLE1 | 1 | 29 | 49152 -FBPIN | 12 | iobs/PS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 | 30 | 49152 -FBPIN | 13 | IOREQ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobm/ETACK | 1 | NULL | 0 | NULL | 0 | 32 | 49152 -FBPIN | 15 | nVMA_IOB_OBUF | 1 | NULL | 0 | nVMA_IOB | 1 | 33 | 49152 -FBPIN | 16 | EXP25_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 | 34 | 49152 -FBPIN | 18 | ram/BACTr | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR4_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/RASEL | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 87 | 49152 -FBPIN | 3 | cs/nOverlay1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | EXP26_ | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 89 | 49152 -FBPIN | 6 | iobs/Load1 | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 90 | 49152 -FBPIN | 7 | EXP27_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 91 | 49152 -FBPIN | 9 | EXP28_ | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 92 | 49152 -FBPIN | 10 | iobs/Once | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | EXP29_ | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 93 | 49152 -FBPIN | 12 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 94 | 49152 -FBPIN | 13 | EXP30_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | ram/RAMDIS1 | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 95 | 49152 -FBPIN | 15 | EXP31_ | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 96 | 49152 -FBPIN | 16 | fsb/BERR0r | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 97 | 49152 -FBPIN | 18 | EXP32_ | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR5_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | IOACT | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 35 | 49152 -FBPIN | 3 | iobm/IOS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobm/IOS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/IOS_FSM_FFd5 | 1 | NULL | 0 | NULL | 0 | 36 | 49152 -FBPIN | 6 | iobm/IOS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 | 37 | 49152 -FBPIN | 7 | iobm/BERRrr | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | RA_3_OBUF | 1 | NULL | 0 | RA<3> | 1 | 39 | 49152 -FBPIN | 9 | iobm/BERRrf | 1 | NULL | 0 | NULL | 0 | 40 | 49152 -FBPIN | 10 | cnt/RefCnt<0> | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | iobm/IOS_FSM_FFd8 | 1 | NULL | 0 | NULL | 0 | 41 | 49152 -FBPIN | 12 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 42 | 49152 -FBPIN | 13 | ALE0M | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 | 43 | 49152 -FBPIN | 15 | BERR_IOBS | 1 | NULL | 0 | NULL | 0 | 46 | 49152 -FBPIN | 16 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | EXP33_ | 1 | E_IOB_IBUF | 1 | NULL | 0 | 49 | 49152 -FBPIN | 18 | IOBERR | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR6_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | iobm/Er2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 74 | 49152 -FBPIN | 3 | iobm/DTACKrr | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobm/DTACKrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | RefAck | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 76 | 49152 -FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 77 | 49152 -FBPIN | 7 | iobs/IOU1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobs/IOL1 | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 78 | 49152 -FBPIN | 9 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 79 | 49152 -FBPIN | 10 | iobm/ES<3> | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | iobm/ES<1> | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 80 | 49152 -FBPIN | 12 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 81 | 49152 -FBPIN | 13 | iobm/ES<0> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | IOU0 | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 82 | 49152 -FBPIN | 15 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 85 | 49152 -FBPIN | 16 | IOL0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobm/ES<4> | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 86 | 49152 -FBPIN | 18 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR7_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | cnt/RefCnt<5> | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 50 | 49152 -FBPIN | 3 | cnt/RefCnt<4> | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cs/nOverlay0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | ram/RS_FSM_FFd3 | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 52 | 49152 -FBPIN | 6 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 53 | 49152 -FBPIN | 7 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | ram/RS_FSM_FFd1 | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 54 | 49152 -FBPIN | 9 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 55 | 49152 -FBPIN | 10 | ram/Once | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | ram/RAMDIS2 | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 56 | 49152 -FBPIN | 12 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 58 | 49152 -FBPIN | 13 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | cnt/RefCnt<7> | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 59 | 49152 -FBPIN | 15 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 60 | 49152 -FBPIN | 16 | cnt/RefCnt<6> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | ram/RAMReady | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 61 | 49152 -FBPIN | 18 | EXP34_ | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR8_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 2 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 -FBPIN | 5 | NULL | 0 | A_FSB_8_IBUF | 1 | NULL | 0 | 64 | 49152 -FBPIN | 6 | A_FSB_21_IBUF$BUF0 | 1 | NULL | 0 | RA<10> | 1 | 65 | 49152 -FBPIN | 8 | NULL | 0 | A_FSB_23_IBUF | 1 | NULL | 0 | 66 | 49152 -FBPIN | 9 | nADoutLE0_OBUF | 1 | NULL | 0 | nADoutLE0 | 1 | 67 | 49152 -FBPIN | 11 | NULL | 0 | A_FSB_21_IBUF | 1 | NULL | 0 | 68 | 49152 -FBPIN | 12 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 70 | 49152 -FBPIN | 14 | NULL | 0 | A_FSB_20_IBUF | 1 | NULL | 0 | 71 | 49152 -FBPIN | 15 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 72 | 49152 -FBPIN | 17 | iobm/IOS_FSM_FFd1 | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 73 | 49152 -FBPIN | 18 | iobm/Er | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | INPUTPINS_FOOBAR9_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 - -BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> | 11 | A_FSB<13> | 10 | A_FSB<14> | 9 | A_FSB<15> | 8 | A_FSB<16> | 7 | A_FSB<17> | 6 | A_FSB<18> | 5 | A_FSB<19> | 4 | A_FSB<1> | 22 | A_FSB<20> | 3 | A_FSB<21> | 2 | A_FSB<22> | 1 | A_FSB<23> | 0 | A_FSB<2> | 21 | A_FSB<3> | 20 | A_FSB<4> | 19 | A_FSB<5> | 18 | A_FSB<6> | 17 | A_FSB<7> | 16 | A_FSB<8> | 15 | A_FSB<9> | 14 -BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 - -FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | A_FSB<10> | 89 | 1 | nDTACK_FSB_OBUF.UIM | NULL | 2 | cs/nOverlay1 | NULL | 3 | $OpTx$FX_DC$606.UIM | NULL | 4 | A_FSB<16> | 95 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 5 | A_FSB<21> | 68 | 6 | fsb/Ready1r | NULL | 7 | A_FSB<13> | 82 | 8 | fsb/BERR1r | NULL | 9 | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 10 | ALE1 | NULL | 11 | fsb/Ready2r | NULL | 13 | A_FSB<20> | 71 | 14 | fsb/VPA | NULL | 15 | TimeoutA | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 16 | $OpTx$FX_DC$602.UIM | NULL | 17 | iobs/IOReady | NULL | 21 | A_FSB<17> | 96 | 24 | nAS_FSB | 13 | 27 | BERR_IOBS | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 29 | ram/RAMReady | NULL | 30 | A_FSB<14> | 93 | 32 | A_FSB<8> | 64 | 33 | fsb/ASrf | NULL | 37 | TimeoutB | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 38 | A_FSB<22> | 9 | 41 | A_FSB<19> | 73 | 42 | A_FSB<15> | 80 | 43 | A_FSB<11> | 86 | 44 | A_FSB<9> | 12 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 46 | A_FSB<18> | 76 | 47 | nWE_FSB | 61 | 48 | A_FSB<23> | 66 | 49 | A_FSB<12> | 92 | 50 | fsb/BERR0r | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 52 | fsb/Ready0r | NULL - -FB_IMUX_INDEX | FOOBAR1_ | 144 | 1 | 56 | 3 | 164 | 197 | 6 | 151 | 26 | 9 | 46 | 11 | -1 | 189 | 14 | 33 | 16 | 35 | -1 | -1 | -1 | 168 | -1 | -1 | 212 | -1 | -1 | 86 | -1 | 124 | 152 | -1 | 209 | 42 | -1 | -1 | -1 | 32 | 202 | -1 | -1 | 183 | 155 | 147 | 210 | -1 | 175 | 213 | 205 | 150 | 69 | -1 | 114 | -1 - - -FB_ORDER_OF_INPUTS | FOOBAR2_ | 0 | cnt/RefCnt<5> | NULL | 2 | IORW0 | NULL | 3 | nAS_FSB | 13 | 4 | iobm/IOS_FSM_FFd5 | NULL | 5 | cnt/RefCnt<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 6 | cnt/RefCnt<2> | NULL | 7 | cnt/RefCnt<1> | NULL | 8 | nVPA_IOB | 59 | 9 | iobs/Once | NULL | 10 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 12 | cnt/TimeoutBPre | NULL | 13 | iobm/IOS_FSM_FFd2 | NULL | 14 | BERR_IOBS | NULL | 15 | IOL0 | NULL | 17 | IOBERR | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 19 | cnt/RefCnt<6> | NULL | 21 | nRES | 99 | 22 | iobs/IOACTr | NULL | 23 | fsb/ASrf | NULL | 24 | IOREQ | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 28 | RefAck | NULL | 30 | cnt/RefCnt<0> | NULL | 31 | iobs/PS_FSM_FFd2 | NULL | 33 | fsb/BERR1r | NULL | 36 | iobm/IOS_FSM_FFd6 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 37 | TimeoutB | NULL | 38 | cnt/RefDone | NULL | 39 | iobm/IOS_FSM_FFd7 | NULL | 40 | iobs/IOReady | NULL | 43 | iobm/IOS_FSM_FFd3 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 44 | cnt/RefCnt<4> | NULL | 46 | cnt/RefCnt<7> | NULL | 49 | IOU0 | NULL | 51 | iobm/IOS_FSM_FFd4 | NULL | 52 | TimeoutA | NULL - -FB_IMUX_INDEX | FOOBAR2_ | 108 | -1 | 38 | 212 | 76 | 23 | 24 | 25 | 217 | 63 | 46 | -1 | 30 | 85 | 86 | 105 | -1 | 89 | -1 | 123 | -1 | 176 | 39 | 42 | 48 | -1 | -1 | -1 | 94 | -1 | 81 | 44 | -1 | 26 | -1 | -1 | 75 | 32 | 27 | 74 | 35 | -1 | -1 | 87 | 110 | -1 | 121 | -1 | -1 | 103 | -1 | 77 | 33 | -1 - - -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | iobm/VPArr | NULL | 1 | iobm/VPArf | NULL | 2 | cs/nOverlay1 | NULL | 4 | A_FSB<16> | 95 | 5 | A_FSB<21> | 68 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 7 | A_FSB<13> | 82 | 8 | iobs/PS_FSM_FFd2 | NULL | 9 | iobm/ES<3> | NULL | 10 | iobm/ES<1> | NULL | 12 | iobm/ES<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 13 | ram/RAMDIS1 | NULL | 14 | nVMA_IOB_OBUF | NULL | 15 | A_FSB<18> | 76 | 16 | iobs/IORW1 | NULL | 17 | iobm/ES<2> | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 19 | iobs/Load1 | NULL | 21 | A_FSB<17> | 96 | 24 | nAS_FSB | 13 | 28 | RefAck | NULL | 29 | A_FSB<23> | 66 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 30 | A_FSB<14> | 93 | 33 | fsb/ASrf | NULL | 34 | ram/RAMDIS2 | NULL | 35 | iobm/ES<4> | NULL | 36 | iobs/Once | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 37 | iobs/Clear1 | NULL | 38 | iobs/IOACTr | NULL | 39 | IORW0 | NULL | 41 | ALE1 | NULL | 43 | A_FSB<19> | 73 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 45 | IOACT | NULL | 47 | nWE_FSB | 61 | 48 | iobs/PS_FSM_FFd1 | NULL | 50 | A_FSB<20> | 71 | 52 | A_FSB<22> | 9 - -FB_IMUX_INDEX | FOOBAR3_ | 18 | 19 | 56 | -1 | 164 | 197 | -1 | 151 | 44 | 99 | 100 | -1 | 102 | 67 | 50 | 175 | 52 | 107 | -1 | 59 | -1 | 168 | -1 | -1 | 212 | -1 | -1 | -1 | 94 | 205 | 152 | -1 | -1 | 42 | 118 | 106 | 63 | 41 | 39 | 38 | -1 | 46 | -1 | 183 | -1 | 72 | -1 | 213 | 47 | -1 | 189 | -1 | 202 | -1 - - -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | ram/RASEL | NULL | 2 | A_FSB<1> | 90 | 3 | cs/nOverlay0 | NULL | 4 | nWE_FSB | 61 | 6 | fsb/ASrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 7 | ram/RS_FSM_FFd1 | NULL | 8 | iobs/PS_FSM_FFd2 | NULL | 9 | cnt/RefDone | NULL | 10 | A_FSB<22> | 9 | 11 | iobs/PS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 12 | ram/RS_FSM_FFd2 | NULL | 13 | cnt/RefCnt<7> | NULL | 14 | TimeoutB | NULL | 15 | fsb/BERR0r | NULL | 17 | ram/BACTr | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 19 | cnt/RefCnt<6> | NULL | 20 | A_FSB<12> | 92 | 21 | A_FSB<17> | 96 | 22 | ram/RS_FSM_FFd3 | NULL | 23 | A_FSB<18> | 76 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 24 | nAS_FSB | 13 | 27 | A_FSB<3> | 78 | 28 | A_FSB<10> | 89 | 29 | A_FSB<20> | 71 | 30 | A_FSB<14> | 93 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 33 | cs/nOverlay1 | NULL | 34 | A_FSB<5> | 15 | 35 | A_FSB<23> | 66 | 36 | iobs/Once | NULL | 41 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 43 | A_FSB<19> | 73 | 46 | A_FSB<13> | 82 | 47 | A_FSB<21> | 68 | 48 | A_FSB<16> | 95 | 49 | ram/Once | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 50 | cnt/RefCnt<5> | NULL - -FB_IMUX_INDEX | FOOBAR4_ | 54 | -1 | 146 | 111 | 213 | -1 | 42 | 115 | 44 | 27 | 202 | 47 | 120 | 121 | 32 | 69 | -1 | 53 | -1 | 123 | 150 | 168 | 112 | 175 | 212 | -1 | -1 | 167 | 144 | 189 | 152 | -1 | -1 | 56 | 216 | 205 | 63 | -1 | -1 | -1 | -1 | 46 | -1 | 183 | -1 | -1 | 151 | 197 | 164 | 117 | 108 | -1 | -1 | -1 - - -FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | nBERR_IOB | 18 | 2 | iobm/RESrr | NULL | 3 | iobm/RESrf | NULL | 4 | iobm/IOREQr | NULL | 5 | iobm/IOS_FSM_FFd4 | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 6 | iobm/BERRrr | NULL | 7 | A_FSB<13> | 82 | 8 | iobm/BERRrf | NULL | 9 | iobs/Once | NULL | 10 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 13 | iobm/ETACK | NULL | 14 | A_FSB<4> | 56 | 15 | iobm/IOS_FSM_FFd3 | NULL | 16 | iobm/IOS_FSM_FFd1 | NULL | 20 | iobm/DTACKrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 22 | iobs/IOACTr | NULL | 23 | fsb/ASrf | NULL | 24 | nAS_FSB | 13 | 27 | BERR_IOBS | NULL | 29 | iobm/IOS_FSM_FFd5 | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 30 | CLK_IOB | 27 | 31 | iobs/PS_FSM_FFd2 | NULL | 32 | iobm/IOS_FSM_FFd8 | NULL | 33 | cs/nOverlay1 | NULL | 35 | A_FSB<23> | 66 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 36 | iobm/IOS_FSM_FFd6 | NULL | 38 | A_FSB<22> | 9 | 39 | iobm/IOS_FSM_FFd7 | NULL | 43 | A_FSB<11> | 86 | 45 | A_FSB<2> | 16 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 46 | IOBERR | NULL | 47 | A_FSB<21> | 68 | 50 | A_FSB<20> | 71 | 51 | iobm/DTACKrr | NULL | 52 | ram/RASEL | NULL - -FB_IMUX_INDEX | FOOBAR5_ | 226 | -1 | 20 | 21 | 22 | 77 | 78 | 151 | 80 | 63 | 46 | -1 | -1 | 49 | 223 | 87 | 142 | -1 | -1 | -1 | 93 | -1 | 39 | 42 | 212 | -1 | -1 | 86 | -1 | 76 | 248 | 44 | 82 | 56 | -1 | 205 | 75 | -1 | 202 | 74 | -1 | -1 | -1 | 147 | -1 | 218 | 89 | 197 | -1 | -1 | 189 | 92 | 54 | -1 - - -FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/Er2 | NULL | 4 | nWE_FSB | 61 | 5 | iobs/Load1 | NULL | 6 | iobs/IOU1 | NULL | 7 | iobs/IOL1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 8 | iobs/PS_FSM_FFd2 | NULL | 11 | iobs/PS_FSM_FFd1 | NULL | 16 | iobm/ES<4> | NULL | 17 | iobm/Er | NULL | 24 | nAS_FSB | 13 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 27 | iobm/ES<1> | NULL | 33 | nLDS_FSB | 25 | 34 | ram/RAMDIS2 | NULL | 37 | ram/RS_FSM_FFd1 | NULL | 41 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 42 | nUDS_FSB | 52 | 43 | iobm/ES<0> | NULL | 45 | ram/RAMDIS1 | NULL | 46 | nDTACK_IOB | 54 | 47 | iobm/ES<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 48 | ram/RS_FSM_FFd2 | NULL | 49 | fsb/VPA | NULL | 52 | ram/RASEL | NULL | 53 | iobm/ES<2> | NULL - -FB_IMUX_INDEX | FOOBAR6_ | 90 | -1 | -1 | -1 | 213 | 59 | 96 | 97 | 44 | -1 | -1 | 47 | -1 | -1 | -1 | -1 | 106 | 143 | -1 | -1 | -1 | -1 | -1 | -1 | 212 | -1 | -1 | 100 | -1 | -1 | -1 | -1 | -1 | 242 | 118 | -1 | -1 | 115 | -1 | -1 | -1 | 46 | 243 | 102 | -1 | 67 | 231 | 99 | 120 | 14 | -1 | -1 | 54 | 107 - - -FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | ram/RASEL | NULL | 1 | A_FSB<9> | 12 | 2 | cs/nOverlay1 | NULL | 3 | nAS_FSB | 13 | 4 | A_FSB<16> | 95 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 5 | A_FSB<21> | 68 | 6 | fsb/Ready0r | NULL | 7 | A_FSB<19> | 73 | 8 | A_FSB<17> | 96 | 9 | cnt/RefDone | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 10 | ram/RAMDIS2 | NULL | 11 | A_FSB<15> | 80 | 12 | ram/RS_FSM_FFd2 | NULL | 13 | cnt/RefCnt<7> | NULL | 15 | cnt/RefCnt<6> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 16 | ram/RAMReady | NULL | 23 | A_FSB<18> | 76 | 29 | A_FSB<20> | 71 | 30 | cnt/RefCnt<0> | NULL | 32 | A_FSB<8> | 64 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 33 | fsb/ASrf | NULL | 34 | cnt/RefCnt<1> | NULL | 35 | A_FSB<23> | 66 | 37 | ram/RS_FSM_FFd1 | NULL | 39 | A_FSB<7> | 20 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 42 | cnt/RefCnt<2> | NULL | 43 | ram/RS_FSM_FFd3 | NULL | 44 | cnt/RefCnt<4> | NULL | 45 | A_FSB<6> | 19 | 47 | cnt/RefCnt<5> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 48 | cnt/RefCnt<3> | NULL | 49 | ram/Once | NULL | 50 | ram/BACTr | NULL | 51 | cs/nOverlay0 | NULL | 52 | A_FSB<22> | 9 - -FB_IMUX_INDEX | FOOBAR7_ | 54 | 210 | 56 | 212 | 164 | 197 | 114 | 183 | 168 | 27 | 118 | 155 | 120 | 121 | -1 | 123 | 124 | -1 | -1 | -1 | -1 | -1 | -1 | 175 | -1 | -1 | -1 | -1 | -1 | 189 | 81 | -1 | 209 | 42 | 25 | 205 | -1 | 115 | -1 | 230 | -1 | -1 | 24 | 112 | 110 | 228 | -1 | 108 | 23 | 117 | 53 | 111 | 202 | -1 - - -FB_ORDER_OF_INPUTS | FOOBAR8_ | 6 | E_IOB | 49 | 7 | ALE0S | NULL | 12 | ALE0M | NULL | 41 | A_FSB<19> | 73 | 43 | iobm/IOS_FSM_FFd3 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 47 | A_FSB<21> | 68 | 51 | iobm/IOS_FSM_FFd4 | NULL | 53 | iobm/IOS_FSM_FFd2 | NULL - -FB_IMUX_INDEX | FOOBAR8_ | -1 | -1 | -1 | -1 | -1 | -1 | 249 | 43 | -1 | -1 | -1 | -1 | 84 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 183 | -1 | 87 | -1 | -1 | -1 | 197 | -1 | -1 | -1 | 77 | -1 | 85 - - -GLOBAL_FCLK | CLK2X_IOB | 0 | 0 | CLK_FSB | 1 | 1 | CLK_IOB | 2 | 2 - -GLOBAL_FSR | nRES | 0 | 0 - -TIME_TSPEC | TS_CLK_IOB | PERIOD:CLK_IOB:142.857nS:HIGH:71.428nS | CLK_IOB | 10003 | 1428 | 714 | NULL - -TIME_TSPEC | TS_CLK_FSB | PERIOD:CLK_FSB:40.000nS:HIGH:20.000nS | CLK_FSB | 10003 | 400 | 200 | NULL - -TIME_TSPEC | TS_CLK2X_IOB | PERIOD:CLK2X_IOB:66.666nS:HIGH:33.333nS | CLK2X_IOB | 10003 | 666 | 333 | NULL diff --git a/cpld/XC95144XL/MXSE_html/fit/eqns.htm b/cpld/XC95144XL/MXSE_html/fit/eqns.htm deleted file mode 100644 index 1a9486d..0000000 --- a/cpld/XC95144XL/MXSE_html/fit/eqns.htm +++ /dev/null @@ -1,1791 +0,0 @@ - - - - diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB4.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB4.htm deleted file mode 100644 index dfd7983..0000000 --- a/cpld/XC95144XL/MXSE_html/fit/fbs_FB4.htm +++ /dev/null @@ -1,270 +0,0 @@ - - - - - - -

    - - - -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
    ram/RASEL20 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 18_4 18_5 1_1 1_2 1_3 1_4 1_5 2_1 2_2 2_3 2_4 2_5 -MC1STD (b)(b)
    RA<0>2 3_3 3_4 -MC2STD87I/OO
    cs/nOverlay12 3_1 3_2 -MC3STD (b)(b)
    (unused)0 MC4  (b) 
    (unused)0 MC5 89I/OI
    iobs/Load114 5_1 5_2 5_3 5_4 6_1 6_2 6_3 6_4 6_5 7_1 7_2 7_3 7_4 7_5 -MC6STD90I/OI
    (unused)0 MC7  (b)(b)
    RA<2>2 8_1 8_2 -MC8STD91I/OO
    (unused)0 MC9 92I/OI
    iobs/Once17 10_1 10_2 10_3 10_4 10_5 11_1 11_2 11_3 11_4 11_5 8_3 8_4 9_1 9_2 9_3 9_4 9_5 -MC10STD (b)(b)
    (unused)0 MC11 93I/OI
    RA<4>2 12_1 12_2 -MC12STD94I/OO
    (unused)0 MC13  (b)(b)
    ram/RAMDIS118 12_3 12_4 12_5 13_1 13_2 13_3 13_4 13_5 14_1 14_2 14_3 14_4 14_5 15_1 15_2 15_3 15_4 15_5 -MC14STD95I/OI
    (unused)0 MC15 96I/OI
    fsb/BERR0r3 16_1 16_2 16_3 -MC16STD (b)(b)
    nDinOE2 16_4 16_5 -MC17STD97I/OO
    (unused)0 MC18  (b)(b)
    -
    -
    Signals Used By Logic in Function Block
      -
    1. A_FSB<10>
    2. -
    3. A_FSB<12>
    4. -
    5. A_FSB<13>
    6. -
    7. A_FSB<14>
    8. -
    9. A_FSB<16>
    10. -
    11. A_FSB<17>
    12. -
    13. A_FSB<18>
    14. -
    15. A_FSB<19>
    16. -
    17. A_FSB<1>
    18. -
    19. A_FSB<20>
    20. -
    21. A_FSB<21>
    22. -
    23. A_FSB<22>
    24. -
    25. A_FSB<23>
    26. -
    27. A_FSB<3>
    28. -
    29. A_FSB<5>
    30. -
    31. TimeoutB
    32. -
    33. cnt/RefCnt<5>
    34. -
    35. cnt/RefCnt<6>
    36. -
    37. cnt/RefCnt<7>
    38. -
    39. cnt/RefDone
    40. -
    41. cs/nOverlay0
    42. -
    43. cs/nOverlay1
    44. -
    45. fsb/ASrf
    46. -
    47. fsb/BERR0r
    48. -
    49. iobs/Once
    50. -
    51. iobs/PS_FSM_FFd1
    52. -
    53. iobs/PS_FSM_FFd2
    54. -
    55. nADoutLE1
    56. -
    57. nAS_FSB
    58. -
    59. nWE_FSB
    60. -
    61. ram/BACTr
    62. -
    63. ram/Once
    64. -
    65. ram/RASEL
    66. -
    67. ram/RS_FSM_FFd1
    68. -
    69. ram/RS_FSM_FFd2
    70. -
    71. ram/RS_FSM_FFd3
    72. -
    - - - -
    - -    - -
    - -
    - - diff --git a/cpld/XC95144XL/RAM_isim_beh.exe b/cpld/XC95144XL/RAM_isim_beh.exe deleted file mode 100644 index fb891a9..0000000 Binary files a/cpld/XC95144XL/RAM_isim_beh.exe and /dev/null differ diff --git a/cpld/XC95144XL/RAM_stx_beh.prj b/cpld/XC95144XL/RAM_stx_beh.prj deleted file mode 100644 index 03826ee..0000000 --- a/cpld/XC95144XL/RAM_stx_beh.prj +++ /dev/null @@ -1,2 +0,0 @@ -verilog isim_temp "../RAM.v" -verilog isim_temp "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/_ngo/netlist.lst b/cpld/XC95144XL/_ngo/netlist.lst deleted file mode 100644 index cb34933..0000000 --- a/cpld/XC95144XL/_ngo/netlist.lst +++ /dev/null @@ -1,2 +0,0 @@ -C:\Users\zanek\Documents\GitHub\Warp-SE\cpld\XC95144XL\MXSE.ngc 1639221846 -OK diff --git a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs deleted file mode 100644 index 0e420ad..0000000 --- a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs +++ /dev/null @@ -1,12 +0,0 @@ - - - -Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'MXSE.ise'. - - - - diff --git a/cpld/XC95144XL/fuse.log b/cpld/XC95144XL/fuse.log deleted file mode 100644 index fd1dcaf..0000000 --- a/cpld/XC95144XL/fuse.log +++ /dev/null @@ -1,19 +0,0 @@ -Running: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\fuse.exe -intstyle ise -incremental -lib uni9000_ver -lib aim_ver -lib cpld_ver -lib xilinxcorelib_ver -o C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_isim_beh.exe -prj C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_beh.prj work.t_fsb work.glbl -ISim P.20131013 (signature 0x7708f090) -Number of CPUs detected in this system: 8 -Turning on mult-threading, number of parallel sub-compilation jobs: 16 -Determining compilation order of HDL files -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/../FSB.v" into library work -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_fsb.v" into library work -Analyzing Verilog file "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" into library work -Starting static elaboration -Completed static elaboration -Compiling module FSB -Compiling module t_fsb -Compiling module glbl -Time Resolution for simulation is 1ps. -Waiting for 1 sub-compilation(s) to finish... -Compiled 3 Verilog Units -Built simulation executable C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_isim_beh.exe -Fuse Memory Usage: 29068 KB -Fuse CPU Usage: 327 ms diff --git a/cpld/XC95144XL/fuse.xmsgs b/cpld/XC95144XL/fuse.xmsgs deleted file mode 100644 index f84336a..0000000 --- a/cpld/XC95144XL/fuse.xmsgs +++ /dev/null @@ -1,9 +0,0 @@ - - - - - diff --git a/cpld/XC95144XL/fuseRelaunch.cmd b/cpld/XC95144XL/fuseRelaunch.cmd deleted file mode 100644 index 242fb03..0000000 --- a/cpld/XC95144XL/fuseRelaunch.cmd +++ /dev/null @@ -1 +0,0 @@ --intstyle "ise" -incremental -lib "uni9000_ver" -lib "aim_ver" -lib "cpld_ver" -lib "xilinxcorelib_ver" -o "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_isim_beh.exe" -prj "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_beh.prj" "work.t_fsb" "work.glbl" diff --git a/cpld/XC95144XL/isim.cmd b/cpld/XC95144XL/isim.cmd deleted file mode 100644 index fff18e8..0000000 --- a/cpld/XC95144XL/isim.cmd +++ /dev/null @@ -1,3 +0,0 @@ -onerror {resume} -wave add / -run 1000 ns; diff --git a/cpld/XC95144XL/isim.log b/cpld/XC95144XL/isim.log deleted file mode 100644 index 690fea5..0000000 --- a/cpld/XC95144XL/isim.log +++ /dev/null @@ -1,42 +0,0 @@ -ISim log file -Running: C:\Users\zanek\Documents\GitHub\Warp-SE\cpld\XC95144XL\t_fsb_isim_beh.exe -intstyle ise -gui -tclbatch isim.cmd -wdb C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_isim_beh.wdb -INFO: There is another simulation running in the same directory. Using database file name isim2.wdb. -ISim P.20131013 (signature 0x7708f090) ----------------------------------------------------------------------- -INFO:Security:51 - The XILINXD_LICENSE_FILE environment variable is not set. -INFO:Security:52 - The LM_LICENSE_FILE environment variable is set to 'C:\ispLEVER_Classic2_0\license\license.dat;C:\lscc\diamond\3.12\license\license.dat;C:\Xilinx\14.7\ISE_DS\Xilinx.lic'. -INFO:Security:66 - Your license for 'ISIM' is for evaluation use only. -WARNING:Security:43 - No license file was found in the standard Xilinx license directory. -WARNING:Security:44 - Since no license file was found, - please run the Xilinx License Configuration Manager - (xlcm or "Manage Xilinx Licenses") - to assist in obtaining a license. -WARNING:Security:42 - Your license support version '2021.12' for ISIM expires in 20 days after which you will not qualify for Xilinx software updates or new releases. - - ----------------------------------------------------------------------- -This is a Full version of ISim. -Time resolution is 1 ps -# onerror resume -# wave add / -# run 1000 ns -Simulator is doing circuit initialization process. -Finished circuit initialization process. -# run 1.00us -# run 1.00us -# restart -# run 1.00us -Simulator is doing circuit initialization process. -Finished circuit initialization process. -# run 1.00us -# run 1.00us -# run 1.00us -# run 1.00us -# run 1.00us -# restart -# run 1.00us -Simulator is doing circuit initialization process. -Finished circuit initialization process. -# run 1.00us -# run 1.00us -# run 1.00us diff --git a/cpld/XC95144XL/isim/isim_usage_statistics.html b/cpld/XC95144XL/isim/isim_usage_statistics.html deleted file mode 100644 index adc5380..0000000 --- a/cpld/XC95144XL/isim/isim_usage_statistics.html +++ /dev/null @@ -1,16 +0,0 @@ - - - - - - - - - - - - - - - -
    ISim Statistics
    Xilinx HDL Libraries Used=
    Fuse Resource Usage=327 ms, 29068 KB
    Total Signals=39
    Total Nets=38
    Total Blocks=3
    Total Processes=48
    Total Simulation Time=4 us
    Simulation Resource Usage=0.109375 sec, 4643532 KB
    Simulation Mode=gui
    Hardware CoSim=0
    diff --git a/cpld/XC95144XL/isim/pn_info b/cpld/XC95144XL/isim/pn_info deleted file mode 100644 index f96c793..0000000 --- a/cpld/XC95144XL/isim/pn_info +++ /dev/null @@ -1 +0,0 @@ -14.7 diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/ISimEngine-DesignHierarchy2.dbg b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/ISimEngine-DesignHierarchy2.dbg deleted file mode 100644 index 23c2b34..0000000 Binary files a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/ISimEngine-DesignHierarchy2.dbg and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/isimcrash.log b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/isimcrash.log deleted file mode 100644 index e69de29..0000000 diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/isimkernel.log b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/isimkernel.log deleted file mode 100644 index 6992bed..0000000 --- a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/isimkernel.log +++ /dev/null @@ -1,28 +0,0 @@ -Command line: - t_fsb_isim_beh.exe - -simmode gui - -simrunnum 2 - -socket 65110 - -Sat Dec 11 08:29:19 2021 - - - Elaboration Time: 0.0625 sec - - Current Memory Usage: 5140.46 Meg - - Total Signals : 30 - Total Nets : 27 - Total Signal Drivers : 19 - Total Blocks : 3 - Total Primitive Blocks : 2 - Total Processes : 24 - Total Traceable Variables : 34 - Total Scalar Nets and Variables : 123 - - Total Simulation Time: 0.078125 sec - - Current Memory Usage: 4668.6 Meg - -Sun Dec 12 07:05:26 2021 - diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/libPortability.dll b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/libPortability.dll deleted file mode 100644 index 3df1061..0000000 Binary files a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/libPortability.dll and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/netId2.dat b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/netId2.dat deleted file mode 100644 index 84f1de6..0000000 Binary files a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/netId2.dat and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/t_fsb_isim_beh.exe b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/t_fsb_isim_beh.exe deleted file mode 100644 index 48d934f..0000000 Binary files a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/t_fsb_isim_beh.exe and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/tmp_save/_1 b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/tmp_save/_1 deleted file mode 100644 index 6165745..0000000 Binary files a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/tmp_save/_1 and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.c b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.c deleted file mode 100644 index f90b989..0000000 --- a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.c +++ /dev/null @@ -1,677 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_fsb.v"; -static int ng1[] = {1, 0}; -static int ng2[] = {0, 0}; - - - -static void Initial_59_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 3968U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(59, ng0); - -LAB4: xsi_set_current_line(61, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(62, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(63, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(64, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2408); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(65, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2568); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(66, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2728); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(67, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(68, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(70, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(70, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(71, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(71, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(72, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(72, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(76, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(76, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(77, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(80, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2408); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(81, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2568); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 15000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(85, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(85, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(86, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(86, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(89, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(89, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(91, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 15000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(93, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(94, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB17; - goto LAB1; - -LAB17: xsi_set_current_line(98, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(98, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB18; - goto LAB1; - -LAB18: xsi_set_current_line(99, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(100, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(101, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(102, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2408); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(103, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2568); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(104, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 15000LL); - *((char **)t1) = &&LAB19; - goto LAB1; - -LAB19: xsi_set_current_line(106, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(106, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB20; - goto LAB1; - -LAB20: xsi_set_current_line(107, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(107, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB21; - goto LAB1; - -LAB21: xsi_set_current_line(108, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(109, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2408); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(110, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2568); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(111, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 15000LL); - *((char **)t1) = &&LAB22; - goto LAB1; - -LAB22: xsi_set_current_line(113, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(113, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB23; - goto LAB1; - -LAB23: xsi_set_current_line(114, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(114, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB24; - goto LAB1; - -LAB24: xsi_set_current_line(115, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(116, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2408); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(117, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2568); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(118, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 15000LL); - *((char **)t1) = &&LAB25; - goto LAB1; - -LAB25: xsi_set_current_line(120, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(120, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB26; - goto LAB1; - -LAB26: xsi_set_current_line(121, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(121, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB27; - goto LAB1; - -LAB27: xsi_set_current_line(122, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(123, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2408); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(124, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2568); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(125, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 15000LL); - *((char **)t1) = &&LAB28; - goto LAB1; - -LAB28: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(127, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB29; - goto LAB1; - -LAB29: xsi_set_current_line(128, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(128, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB30; - goto LAB1; - -LAB30: xsi_set_current_line(129, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(130, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2408); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(131, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2568); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(132, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 15000LL); - *((char **)t1) = &&LAB31; - goto LAB1; - -LAB31: xsi_set_current_line(134, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(134, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB32; - goto LAB1; - -LAB32: xsi_set_current_line(135, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(135, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB33; - goto LAB1; - -LAB33: xsi_set_current_line(137, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(137, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB34; - goto LAB1; - -LAB34: xsi_set_current_line(138, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(139, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 15000LL); - *((char **)t1) = &&LAB35; - goto LAB1; - -LAB35: xsi_set_current_line(141, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(141, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB36; - goto LAB1; - -LAB36: xsi_set_current_line(142, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(142, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB37; - goto LAB1; - -LAB37: xsi_set_current_line(145, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(145, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB38; - goto LAB1; - -LAB38: xsi_set_current_line(146, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(147, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(148, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(149, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2408); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(150, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2568); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(151, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 15000LL); - *((char **)t1) = &&LAB39; - goto LAB1; - -LAB39: xsi_set_current_line(153, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(153, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB40; - goto LAB1; - -LAB40: xsi_set_current_line(154, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(154, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB41; - goto LAB1; - -LAB41: xsi_set_current_line(155, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(155, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB42; - goto LAB1; - -LAB42: xsi_set_current_line(156, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(156, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB43; - goto LAB1; - -LAB43: xsi_set_current_line(157, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(157, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB44; - goto LAB1; - -LAB44: xsi_set_current_line(158, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(158, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB45; - goto LAB1; - -LAB45: xsi_set_current_line(159, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(159, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB46; - goto LAB1; - -LAB46: xsi_set_current_line(160, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(160, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB47; - goto LAB1; - -LAB47: xsi_set_current_line(161, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(161, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB48; - goto LAB1; - -LAB48: xsi_set_current_line(162, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(162, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB49; - goto LAB1; - -LAB49: xsi_set_current_line(163, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(163, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB50; - goto LAB1; - -LAB50: xsi_set_current_line(164, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(164, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB51; - goto LAB1; - -LAB51: xsi_set_current_line(166, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(166, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB52; - goto LAB1; - -LAB52: xsi_set_current_line(167, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(168, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 15000LL); - *((char **)t1) = &&LAB53; - goto LAB1; - -LAB53: xsi_set_current_line(170, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(170, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB54; - goto LAB1; - -LAB54: xsi_set_current_line(171, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(171, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB55; - goto LAB1; - -LAB55: goto LAB1; - -} - - -extern void work_m_00000000001435061139_3156757336_init() -{ - static char *pe[] = {(void *)Initial_59_0}; - xsi_register_didat("work_m_00000000001435061139_3156757336", "isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.didat b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.didat deleted file mode 100644 index 9a890f2..0000000 Binary files a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.didat and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.nt64.obj b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.nt64.obj deleted file mode 100644 index 82f0c33..0000000 Binary files a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.nt64.obj and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.c b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.c deleted file mode 100644 index 038b6c3..0000000 --- a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.c +++ /dev/null @@ -1,2898 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/FSB.v"; -static int ng1[] = {0, 0}; -static int ng2[] = {1, 0}; - - - -static void Always_15_0(char *t0) -{ - char t4[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - -LAB0: t1 = (t0 + 5408U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(15, ng0); - t2 = (t0 + 7464); - *((int *)t2) = 1; - t3 = (t0 + 5440); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(15, ng0); - -LAB5: xsi_set_current_line(15, ng0); - t5 = (t0 + 1208U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t5 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = (~(t7)); - t9 = *((unsigned int *)t6); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t5) == 0) - goto LAB6; - -LAB8: t12 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t12) = 1; - -LAB9: t13 = (t4 + 4); - t14 = (t6 + 4); - t15 = *((unsigned int *)t6); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB11; - -LAB10: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t0 + 3528); - xsi_vlogvar_wait_assign_value(t23, t4, 0, 0, 1, 0LL); - goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t14); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB10; - -} - -static void Cont_16_1(char *t0) -{ - char t3[8]; - char t21[8]; - char t37[8]; - char t45[8]; - char *t1; - char *t2; - char *t4; - unsigned int t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - char *t10; - char *t11; - char *t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - char *t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - char *t28; - char *t29; - unsigned int t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - char *t34; - char *t35; - char *t36; - char *t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - unsigned int t42; - unsigned int t43; - char *t44; - unsigned int t46; - unsigned int t47; - unsigned int t48; - char *t49; - char *t50; - char *t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - char *t59; - char *t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - char *t73; - char *t74; - char *t75; - char *t76; - char *t77; - unsigned int t78; - unsigned int t79; - char *t80; - unsigned int t81; - unsigned int t82; - char *t83; - unsigned int t84; - unsigned int t85; - char *t86; - -LAB0: t1 = (t0 + 5656U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(16, ng0); - t2 = (t0 + 1208U); - t4 = *((char **)t2); - memset(t3, 0, 8); - t2 = (t4 + 4); - t5 = *((unsigned int *)t2); - t6 = (~(t5)); - t7 = *((unsigned int *)t4); - t8 = (t7 & t6); - t9 = (t8 & 1U); - if (t9 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t10 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t10) = 1; - -LAB7: t11 = (t3 + 4); - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t14 = (~(t13)); - *((unsigned int *)t3) = t14; - *((unsigned int *)t11) = 0; - if (*((unsigned int *)t12) != 0) - goto LAB9; - -LAB8: t19 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t19 & 1U); - t20 = *((unsigned int *)t11); - *((unsigned int *)t11) = (t20 & 1U); - memset(t21, 0, 8); - t22 = (t3 + 4); - t23 = *((unsigned int *)t22); - t24 = (~(t23)); - t25 = *((unsigned int *)t3); - t26 = (t25 & t24); - t27 = (t26 & 1U); - if (t27 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t22) != 0) - goto LAB12; - -LAB13: t29 = (t21 + 4); - t30 = *((unsigned int *)t21); - t31 = (!(t30)); - t32 = *((unsigned int *)t29); - t33 = (t31 || t32); - if (t33 > 0) - goto LAB14; - -LAB15: memcpy(t45, t21, 8); - -LAB16: t73 = (t0 + 7656); - t74 = (t73 + 56U); - t75 = *((char **)t74); - t76 = (t75 + 56U); - t77 = *((char **)t76); - memset(t77, 0, 8); - t78 = 1U; - t79 = t78; - t80 = (t45 + 4); - t81 = *((unsigned int *)t45); - t78 = (t78 & t81); - t82 = *((unsigned int *)t80); - t79 = (t79 & t82); - t83 = (t77 + 4); - t84 = *((unsigned int *)t77); - *((unsigned int *)t77) = (t84 | t78); - t85 = *((unsigned int *)t83); - *((unsigned int *)t83) = (t85 | t79); - xsi_driver_vfirst_trans(t73, 0, 0); - t86 = (t0 + 7480); - *((int *)t86) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t3) = 1; - goto LAB7; - -LAB9: t15 = *((unsigned int *)t3); - t16 = *((unsigned int *)t12); - *((unsigned int *)t3) = (t15 | t16); - t17 = *((unsigned int *)t11); - t18 = *((unsigned int *)t12); - *((unsigned int *)t11) = (t17 | t18); - goto LAB8; - -LAB10: *((unsigned int *)t21) = 1; - goto LAB13; - -LAB12: t28 = (t21 + 4); - *((unsigned int *)t21) = 1; - *((unsigned int *)t28) = 1; - goto LAB13; - -LAB14: t34 = (t0 + 3528); - t35 = (t34 + 56U); - t36 = *((char **)t35); - memset(t37, 0, 8); - t38 = (t36 + 4); - t39 = *((unsigned int *)t38); - t40 = (~(t39)); - t41 = *((unsigned int *)t36); - t42 = (t41 & t40); - t43 = (t42 & 1U); - if (t43 != 0) - goto LAB17; - -LAB18: if (*((unsigned int *)t38) != 0) - goto LAB19; - -LAB20: t46 = *((unsigned int *)t21); - t47 = *((unsigned int *)t37); - t48 = (t46 | t47); - *((unsigned int *)t45) = t48; - t49 = (t21 + 4); - t50 = (t37 + 4); - t51 = (t45 + 4); - t52 = *((unsigned int *)t49); - t53 = *((unsigned int *)t50); - t54 = (t52 | t53); - *((unsigned int *)t51) = t54; - t55 = *((unsigned int *)t51); - t56 = (t55 != 0); - if (t56 == 1) - goto LAB21; - -LAB22: -LAB23: goto LAB16; - -LAB17: *((unsigned int *)t37) = 1; - goto LAB20; - -LAB19: t44 = (t37 + 4); - *((unsigned int *)t37) = 1; - *((unsigned int *)t44) = 1; - goto LAB20; - -LAB21: t57 = *((unsigned int *)t45); - t58 = *((unsigned int *)t51); - *((unsigned int *)t45) = (t57 | t58); - t59 = (t21 + 4); - t60 = (t37 + 4); - t61 = *((unsigned int *)t59); - t62 = (~(t61)); - t63 = *((unsigned int *)t21); - t64 = (t63 & t62); - t65 = *((unsigned int *)t60); - t66 = (~(t65)); - t67 = *((unsigned int *)t37); - t68 = (t67 & t66); - t69 = (~(t64)); - t70 = (~(t68)); - t71 = *((unsigned int *)t51); - *((unsigned int *)t51) = (t71 & t69); - t72 = *((unsigned int *)t51); - *((unsigned int *)t51) = (t72 & t70); - goto LAB23; - -} - -static void NetDecl_21_2(char *t0) -{ - char t4[8]; - char t19[8]; - char t27[8]; - char t55[8]; - char t69[8]; - char t84[8]; - char t92[8]; - char t120[8]; - char t128[8]; - char t160[8]; - char t174[8]; - char t189[8]; - char t197[8]; - char t225[8]; - char t233[8]; - char *t1; - char *t2; - char *t3; - unsigned int t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - char *t10; - char *t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - char *t16; - char *t17; - char *t18; - char *t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - char *t26; - unsigned int t28; - unsigned int t29; - unsigned int t30; - char *t31; - char *t32; - char *t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - char *t41; - char *t42; - unsigned int t43; - unsigned int t44; - unsigned int t45; - int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - char *t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - char *t62; - char *t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - char *t67; - char *t68; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - char *t75; - char *t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - char *t81; - char *t82; - char *t83; - char *t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - char *t91; - unsigned int t93; - unsigned int t94; - unsigned int t95; - char *t96; - char *t97; - char *t98; - unsigned int t99; - unsigned int t100; - unsigned int t101; - unsigned int t102; - unsigned int t103; - unsigned int t104; - unsigned int t105; - char *t106; - char *t107; - unsigned int t108; - unsigned int t109; - unsigned int t110; - int t111; - unsigned int t112; - unsigned int t113; - unsigned int t114; - int t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - char *t121; - unsigned int t122; - unsigned int t123; - unsigned int t124; - unsigned int t125; - unsigned int t126; - char *t127; - unsigned int t129; - unsigned int t130; - unsigned int t131; - char *t132; - char *t133; - char *t134; - unsigned int t135; - unsigned int t136; - unsigned int t137; - unsigned int t138; - unsigned int t139; - unsigned int t140; - unsigned int t141; - char *t142; - char *t143; - unsigned int t144; - unsigned int t145; - unsigned int t146; - unsigned int t147; - unsigned int t148; - unsigned int t149; - unsigned int t150; - unsigned int t151; - int t152; - int t153; - unsigned int t154; - unsigned int t155; - unsigned int t156; - unsigned int t157; - unsigned int t158; - unsigned int t159; - char *t161; - unsigned int t162; - unsigned int t163; - unsigned int t164; - unsigned int t165; - unsigned int t166; - char *t167; - char *t168; - unsigned int t169; - unsigned int t170; - unsigned int t171; - char *t172; - char *t173; - unsigned int t175; - unsigned int t176; - unsigned int t177; - unsigned int t178; - unsigned int t179; - char *t180; - char *t181; - unsigned int t182; - unsigned int t183; - unsigned int t184; - unsigned int t185; - char *t186; - char *t187; - char *t188; - char *t190; - unsigned int t191; - unsigned int t192; - unsigned int t193; - unsigned int t194; - unsigned int t195; - char *t196; - unsigned int t198; - unsigned int t199; - unsigned int t200; - char *t201; - char *t202; - char *t203; - unsigned int t204; - unsigned int t205; - unsigned int t206; - unsigned int t207; - unsigned int t208; - unsigned int t209; - unsigned int t210; - char *t211; - char *t212; - unsigned int t213; - unsigned int t214; - unsigned int t215; - int t216; - unsigned int t217; - unsigned int t218; - unsigned int t219; - int t220; - unsigned int t221; - unsigned int t222; - unsigned int t223; - unsigned int t224; - char *t226; - unsigned int t227; - unsigned int t228; - unsigned int t229; - unsigned int t230; - unsigned int t231; - char *t232; - unsigned int t234; - unsigned int t235; - unsigned int t236; - char *t237; - char *t238; - char *t239; - unsigned int t240; - unsigned int t241; - unsigned int t242; - unsigned int t243; - unsigned int t244; - unsigned int t245; - unsigned int t246; - char *t247; - char *t248; - unsigned int t249; - unsigned int t250; - unsigned int t251; - unsigned int t252; - unsigned int t253; - unsigned int t254; - unsigned int t255; - unsigned int t256; - int t257; - int t258; - unsigned int t259; - unsigned int t260; - unsigned int t261; - unsigned int t262; - unsigned int t263; - unsigned int t264; - char *t265; - char *t266; - char *t267; - char *t268; - char *t269; - unsigned int t270; - unsigned int t271; - char *t272; - unsigned int t273; - unsigned int t274; - char *t275; - unsigned int t276; - unsigned int t277; - char *t278; - -LAB0: t1 = (t0 + 5904U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(21, ng0); - t2 = (t0 + 1848U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t5 = *((unsigned int *)t2); - t6 = (~(t5)); - t7 = *((unsigned int *)t3); - t8 = (t7 & t6); - t9 = (t8 & 1U); - if (t9 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t2) != 0) - goto LAB6; - -LAB7: t11 = (t4 + 4); - t12 = *((unsigned int *)t4); - t13 = (!(t12)); - t14 = *((unsigned int *)t11); - t15 = (t13 || t14); - if (t15 > 0) - goto LAB8; - -LAB9: memcpy(t27, t4, 8); - -LAB10: memset(t55, 0, 8); - t56 = (t27 + 4); - t57 = *((unsigned int *)t56); - t58 = (~(t57)); - t59 = *((unsigned int *)t27); - t60 = (t59 & t58); - t61 = (t60 & 1U); - if (t61 != 0) - goto LAB18; - -LAB19: if (*((unsigned int *)t56) != 0) - goto LAB20; - -LAB21: t63 = (t55 + 4); - t64 = *((unsigned int *)t55); - t65 = *((unsigned int *)t63); - t66 = (t64 || t65); - if (t66 > 0) - goto LAB22; - -LAB23: memcpy(t128, t55, 8); - -LAB24: memset(t160, 0, 8); - t161 = (t128 + 4); - t162 = *((unsigned int *)t161); - t163 = (~(t162)); - t164 = *((unsigned int *)t128); - t165 = (t164 & t163); - t166 = (t165 & 1U); - if (t166 != 0) - goto LAB46; - -LAB47: if (*((unsigned int *)t161) != 0) - goto LAB48; - -LAB49: t168 = (t160 + 4); - t169 = *((unsigned int *)t160); - t170 = *((unsigned int *)t168); - t171 = (t169 || t170); - if (t171 > 0) - goto LAB50; - -LAB51: memcpy(t233, t160, 8); - -LAB52: t265 = (t0 + 7720); - t266 = (t265 + 56U); - t267 = *((char **)t266); - t268 = (t267 + 56U); - t269 = *((char **)t268); - memset(t269, 0, 8); - t270 = 1U; - t271 = t270; - t272 = (t233 + 4); - t273 = *((unsigned int *)t233); - t270 = (t270 & t273); - t274 = *((unsigned int *)t272); - t271 = (t271 & t274); - t275 = (t269 + 4); - t276 = *((unsigned int *)t269); - *((unsigned int *)t269) = (t276 | t270); - t277 = *((unsigned int *)t275); - *((unsigned int *)t275) = (t277 | t271); - xsi_driver_vfirst_trans(t265, 0, 0U); - t278 = (t0 + 7496); - *((int *)t278) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB6: t10 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t10) = 1; - goto LAB7; - -LAB8: t16 = (t0 + 3688); - t17 = (t16 + 56U); - t18 = *((char **)t17); - memset(t19, 0, 8); - t20 = (t18 + 4); - t21 = *((unsigned int *)t20); - t22 = (~(t21)); - t23 = *((unsigned int *)t18); - t24 = (t23 & t22); - t25 = (t24 & 1U); - if (t25 != 0) - goto LAB11; - -LAB12: if (*((unsigned int *)t20) != 0) - goto LAB13; - -LAB14: t28 = *((unsigned int *)t4); - t29 = *((unsigned int *)t19); - t30 = (t28 | t29); - *((unsigned int *)t27) = t30; - t31 = (t4 + 4); - t32 = (t19 + 4); - t33 = (t27 + 4); - t34 = *((unsigned int *)t31); - t35 = *((unsigned int *)t32); - t36 = (t34 | t35); - *((unsigned int *)t33) = t36; - t37 = *((unsigned int *)t33); - t38 = (t37 != 0); - if (t38 == 1) - goto LAB15; - -LAB16: -LAB17: goto LAB10; - -LAB11: *((unsigned int *)t19) = 1; - goto LAB14; - -LAB13: t26 = (t19 + 4); - *((unsigned int *)t19) = 1; - *((unsigned int *)t26) = 1; - goto LAB14; - -LAB15: t39 = *((unsigned int *)t27); - t40 = *((unsigned int *)t33); - *((unsigned int *)t27) = (t39 | t40); - t41 = (t4 + 4); - t42 = (t19 + 4); - t43 = *((unsigned int *)t41); - t44 = (~(t43)); - t45 = *((unsigned int *)t4); - t46 = (t45 & t44); - t47 = *((unsigned int *)t42); - t48 = (~(t47)); - t49 = *((unsigned int *)t19); - t50 = (t49 & t48); - t51 = (~(t46)); - t52 = (~(t50)); - t53 = *((unsigned int *)t33); - *((unsigned int *)t33) = (t53 & t51); - t54 = *((unsigned int *)t33); - *((unsigned int *)t33) = (t54 & t52); - goto LAB17; - -LAB18: *((unsigned int *)t55) = 1; - goto LAB21; - -LAB20: t62 = (t55 + 4); - *((unsigned int *)t55) = 1; - *((unsigned int *)t62) = 1; - goto LAB21; - -LAB22: t67 = (t0 + 2008U); - t68 = *((char **)t67); - memset(t69, 0, 8); - t67 = (t68 + 4); - t70 = *((unsigned int *)t67); - t71 = (~(t70)); - t72 = *((unsigned int *)t68); - t73 = (t72 & t71); - t74 = (t73 & 1U); - if (t74 != 0) - goto LAB25; - -LAB26: if (*((unsigned int *)t67) != 0) - goto LAB27; - -LAB28: t76 = (t69 + 4); - t77 = *((unsigned int *)t69); - t78 = (!(t77)); - t79 = *((unsigned int *)t76); - t80 = (t78 || t79); - if (t80 > 0) - goto LAB29; - -LAB30: memcpy(t92, t69, 8); - -LAB31: memset(t120, 0, 8); - t121 = (t92 + 4); - t122 = *((unsigned int *)t121); - t123 = (~(t122)); - t124 = *((unsigned int *)t92); - t125 = (t124 & t123); - t126 = (t125 & 1U); - if (t126 != 0) - goto LAB39; - -LAB40: if (*((unsigned int *)t121) != 0) - goto LAB41; - -LAB42: t129 = *((unsigned int *)t55); - t130 = *((unsigned int *)t120); - t131 = (t129 & t130); - *((unsigned int *)t128) = t131; - t132 = (t55 + 4); - t133 = (t120 + 4); - t134 = (t128 + 4); - t135 = *((unsigned int *)t132); - t136 = *((unsigned int *)t133); - t137 = (t135 | t136); - *((unsigned int *)t134) = t137; - t138 = *((unsigned int *)t134); - t139 = (t138 != 0); - if (t139 == 1) - goto LAB43; - -LAB44: -LAB45: goto LAB24; - -LAB25: *((unsigned int *)t69) = 1; - goto LAB28; - -LAB27: t75 = (t69 + 4); - *((unsigned int *)t69) = 1; - *((unsigned int *)t75) = 1; - goto LAB28; - -LAB29: t81 = (t0 + 3848); - t82 = (t81 + 56U); - t83 = *((char **)t82); - memset(t84, 0, 8); - t85 = (t83 + 4); - t86 = *((unsigned int *)t85); - t87 = (~(t86)); - t88 = *((unsigned int *)t83); - t89 = (t88 & t87); - t90 = (t89 & 1U); - if (t90 != 0) - goto LAB32; - -LAB33: if (*((unsigned int *)t85) != 0) - goto LAB34; - -LAB35: t93 = *((unsigned int *)t69); - t94 = *((unsigned int *)t84); - t95 = (t93 | t94); - *((unsigned int *)t92) = t95; - t96 = (t69 + 4); - t97 = (t84 + 4); - t98 = (t92 + 4); - t99 = *((unsigned int *)t96); - t100 = *((unsigned int *)t97); - t101 = (t99 | t100); - *((unsigned int *)t98) = t101; - t102 = *((unsigned int *)t98); - t103 = (t102 != 0); - if (t103 == 1) - goto LAB36; - -LAB37: -LAB38: goto LAB31; - -LAB32: *((unsigned int *)t84) = 1; - goto LAB35; - -LAB34: t91 = (t84 + 4); - *((unsigned int *)t84) = 1; - *((unsigned int *)t91) = 1; - goto LAB35; - -LAB36: t104 = *((unsigned int *)t92); - t105 = *((unsigned int *)t98); - *((unsigned int *)t92) = (t104 | t105); - t106 = (t69 + 4); - t107 = (t84 + 4); - t108 = *((unsigned int *)t106); - t109 = (~(t108)); - t110 = *((unsigned int *)t69); - t111 = (t110 & t109); - t112 = *((unsigned int *)t107); - t113 = (~(t112)); - t114 = *((unsigned int *)t84); - t115 = (t114 & t113); - t116 = (~(t111)); - t117 = (~(t115)); - t118 = *((unsigned int *)t98); - *((unsigned int *)t98) = (t118 & t116); - t119 = *((unsigned int *)t98); - *((unsigned int *)t98) = (t119 & t117); - goto LAB38; - -LAB39: *((unsigned int *)t120) = 1; - goto LAB42; - -LAB41: t127 = (t120 + 4); - *((unsigned int *)t120) = 1; - *((unsigned int *)t127) = 1; - goto LAB42; - -LAB43: t140 = *((unsigned int *)t128); - t141 = *((unsigned int *)t134); - *((unsigned int *)t128) = (t140 | t141); - t142 = (t55 + 4); - t143 = (t120 + 4); - t144 = *((unsigned int *)t55); - t145 = (~(t144)); - t146 = *((unsigned int *)t142); - t147 = (~(t146)); - t148 = *((unsigned int *)t120); - t149 = (~(t148)); - t150 = *((unsigned int *)t143); - t151 = (~(t150)); - t152 = (t145 & t147); - t153 = (t149 & t151); - t154 = (~(t152)); - t155 = (~(t153)); - t156 = *((unsigned int *)t134); - *((unsigned int *)t134) = (t156 & t154); - t157 = *((unsigned int *)t134); - *((unsigned int *)t134) = (t157 & t155); - t158 = *((unsigned int *)t128); - *((unsigned int *)t128) = (t158 & t154); - t159 = *((unsigned int *)t128); - *((unsigned int *)t128) = (t159 & t155); - goto LAB45; - -LAB46: *((unsigned int *)t160) = 1; - goto LAB49; - -LAB48: t167 = (t160 + 4); - *((unsigned int *)t160) = 1; - *((unsigned int *)t167) = 1; - goto LAB49; - -LAB50: t172 = (t0 + 2168U); - t173 = *((char **)t172); - memset(t174, 0, 8); - t172 = (t173 + 4); - t175 = *((unsigned int *)t172); - t176 = (~(t175)); - t177 = *((unsigned int *)t173); - t178 = (t177 & t176); - t179 = (t178 & 1U); - if (t179 != 0) - goto LAB53; - -LAB54: if (*((unsigned int *)t172) != 0) - goto LAB55; - -LAB56: t181 = (t174 + 4); - t182 = *((unsigned int *)t174); - t183 = (!(t182)); - t184 = *((unsigned int *)t181); - t185 = (t183 || t184); - if (t185 > 0) - goto LAB57; - -LAB58: memcpy(t197, t174, 8); - -LAB59: memset(t225, 0, 8); - t226 = (t197 + 4); - t227 = *((unsigned int *)t226); - t228 = (~(t227)); - t229 = *((unsigned int *)t197); - t230 = (t229 & t228); - t231 = (t230 & 1U); - if (t231 != 0) - goto LAB67; - -LAB68: if (*((unsigned int *)t226) != 0) - goto LAB69; - -LAB70: t234 = *((unsigned int *)t160); - t235 = *((unsigned int *)t225); - t236 = (t234 & t235); - *((unsigned int *)t233) = t236; - t237 = (t160 + 4); - t238 = (t225 + 4); - t239 = (t233 + 4); - t240 = *((unsigned int *)t237); - t241 = *((unsigned int *)t238); - t242 = (t240 | t241); - *((unsigned int *)t239) = t242; - t243 = *((unsigned int *)t239); - t244 = (t243 != 0); - if (t244 == 1) - goto LAB71; - -LAB72: -LAB73: goto LAB52; - -LAB53: *((unsigned int *)t174) = 1; - goto LAB56; - -LAB55: t180 = (t174 + 4); - *((unsigned int *)t174) = 1; - *((unsigned int *)t180) = 1; - goto LAB56; - -LAB57: t186 = (t0 + 4008); - t187 = (t186 + 56U); - t188 = *((char **)t187); - memset(t189, 0, 8); - t190 = (t188 + 4); - t191 = *((unsigned int *)t190); - t192 = (~(t191)); - t193 = *((unsigned int *)t188); - t194 = (t193 & t192); - t195 = (t194 & 1U); - if (t195 != 0) - goto LAB60; - -LAB61: if (*((unsigned int *)t190) != 0) - goto LAB62; - -LAB63: t198 = *((unsigned int *)t174); - t199 = *((unsigned int *)t189); - t200 = (t198 | t199); - *((unsigned int *)t197) = t200; - t201 = (t174 + 4); - t202 = (t189 + 4); - t203 = (t197 + 4); - t204 = *((unsigned int *)t201); - t205 = *((unsigned int *)t202); - t206 = (t204 | t205); - *((unsigned int *)t203) = t206; - t207 = *((unsigned int *)t203); - t208 = (t207 != 0); - if (t208 == 1) - goto LAB64; - -LAB65: -LAB66: goto LAB59; - -LAB60: *((unsigned int *)t189) = 1; - goto LAB63; - -LAB62: t196 = (t189 + 4); - *((unsigned int *)t189) = 1; - *((unsigned int *)t196) = 1; - goto LAB63; - -LAB64: t209 = *((unsigned int *)t197); - t210 = *((unsigned int *)t203); - *((unsigned int *)t197) = (t209 | t210); - t211 = (t174 + 4); - t212 = (t189 + 4); - t213 = *((unsigned int *)t211); - t214 = (~(t213)); - t215 = *((unsigned int *)t174); - t216 = (t215 & t214); - t217 = *((unsigned int *)t212); - t218 = (~(t217)); - t219 = *((unsigned int *)t189); - t220 = (t219 & t218); - t221 = (~(t216)); - t222 = (~(t220)); - t223 = *((unsigned int *)t203); - *((unsigned int *)t203) = (t223 & t221); - t224 = *((unsigned int *)t203); - *((unsigned int *)t203) = (t224 & t222); - goto LAB66; - -LAB67: *((unsigned int *)t225) = 1; - goto LAB70; - -LAB69: t232 = (t225 + 4); - *((unsigned int *)t225) = 1; - *((unsigned int *)t232) = 1; - goto LAB70; - -LAB71: t245 = *((unsigned int *)t233); - t246 = *((unsigned int *)t239); - *((unsigned int *)t233) = (t245 | t246); - t247 = (t160 + 4); - t248 = (t225 + 4); - t249 = *((unsigned int *)t160); - t250 = (~(t249)); - t251 = *((unsigned int *)t247); - t252 = (~(t251)); - t253 = *((unsigned int *)t225); - t254 = (~(t253)); - t255 = *((unsigned int *)t248); - t256 = (~(t255)); - t257 = (t250 & t252); - t258 = (t254 & t256); - t259 = (~(t257)); - t260 = (~(t258)); - t261 = *((unsigned int *)t239); - *((unsigned int *)t239) = (t261 & t259); - t262 = *((unsigned int *)t239); - *((unsigned int *)t239) = (t262 & t260); - t263 = *((unsigned int *)t233); - *((unsigned int *)t233) = (t263 & t259); - t264 = *((unsigned int *)t233); - *((unsigned int *)t233) = (t264 & t260); - goto LAB73; - -} - -static void NetDecl_24_3(char *t0) -{ - char t4[8]; - char t19[8]; - char t27[8]; - char t55[8]; - char t70[8]; - char t77[8]; - char t105[8]; - char t121[8]; - char t129[8]; - char *t1; - char *t2; - char *t3; - unsigned int t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - char *t10; - char *t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - char *t16; - char *t17; - char *t18; - char *t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - char *t26; - unsigned int t28; - unsigned int t29; - unsigned int t30; - char *t31; - char *t32; - char *t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - char *t41; - char *t42; - unsigned int t43; - unsigned int t44; - unsigned int t45; - int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - char *t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - char *t62; - char *t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - char *t68; - char *t69; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - char *t76; - unsigned int t78; - unsigned int t79; - unsigned int t80; - char *t81; - char *t82; - char *t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - char *t91; - char *t92; - unsigned int t93; - unsigned int t94; - unsigned int t95; - int t96; - unsigned int t97; - unsigned int t98; - unsigned int t99; - int t100; - unsigned int t101; - unsigned int t102; - unsigned int t103; - unsigned int t104; - char *t106; - unsigned int t107; - unsigned int t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - char *t112; - char *t113; - unsigned int t114; - unsigned int t115; - unsigned int t116; - unsigned int t117; - char *t118; - char *t119; - char *t120; - char *t122; - unsigned int t123; - unsigned int t124; - unsigned int t125; - unsigned int t126; - unsigned int t127; - char *t128; - unsigned int t130; - unsigned int t131; - unsigned int t132; - char *t133; - char *t134; - char *t135; - unsigned int t136; - unsigned int t137; - unsigned int t138; - unsigned int t139; - unsigned int t140; - unsigned int t141; - unsigned int t142; - char *t143; - char *t144; - unsigned int t145; - unsigned int t146; - unsigned int t147; - int t148; - unsigned int t149; - unsigned int t150; - unsigned int t151; - int t152; - unsigned int t153; - unsigned int t154; - unsigned int t155; - unsigned int t156; - char *t157; - char *t158; - char *t159; - char *t160; - char *t161; - unsigned int t162; - unsigned int t163; - char *t164; - unsigned int t165; - unsigned int t166; - char *t167; - unsigned int t168; - unsigned int t169; - char *t170; - -LAB0: t1 = (t0 + 6152U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(24, ng0); - t2 = (t0 + 2328U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t5 = *((unsigned int *)t2); - t6 = (~(t5)); - t7 = *((unsigned int *)t3); - t8 = (t7 & t6); - t9 = (t8 & 1U); - if (t9 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t2) != 0) - goto LAB6; - -LAB7: t11 = (t4 + 4); - t12 = *((unsigned int *)t4); - t13 = (!(t12)); - t14 = *((unsigned int *)t11); - t15 = (t13 || t14); - if (t15 > 0) - goto LAB8; - -LAB9: memcpy(t27, t4, 8); - -LAB10: memset(t55, 0, 8); - t56 = (t27 + 4); - t57 = *((unsigned int *)t56); - t58 = (~(t57)); - t59 = *((unsigned int *)t27); - t60 = (t59 & t58); - t61 = (t60 & 1U); - if (t61 != 0) - goto LAB18; - -LAB19: if (*((unsigned int *)t56) != 0) - goto LAB20; - -LAB21: t63 = (t55 + 4); - t64 = *((unsigned int *)t55); - t65 = (!(t64)); - t66 = *((unsigned int *)t63); - t67 = (t65 || t66); - if (t67 > 0) - goto LAB22; - -LAB23: memcpy(t77, t55, 8); - -LAB24: memset(t105, 0, 8); - t106 = (t77 + 4); - t107 = *((unsigned int *)t106); - t108 = (~(t107)); - t109 = *((unsigned int *)t77); - t110 = (t109 & t108); - t111 = (t110 & 1U); - if (t111 != 0) - goto LAB32; - -LAB33: if (*((unsigned int *)t106) != 0) - goto LAB34; - -LAB35: t113 = (t105 + 4); - t114 = *((unsigned int *)t105); - t115 = (!(t114)); - t116 = *((unsigned int *)t113); - t117 = (t115 || t116); - if (t117 > 0) - goto LAB36; - -LAB37: memcpy(t129, t105, 8); - -LAB38: t157 = (t0 + 7784); - t158 = (t157 + 56U); - t159 = *((char **)t158); - t160 = (t159 + 56U); - t161 = *((char **)t160); - memset(t161, 0, 8); - t162 = 1U; - t163 = t162; - t164 = (t129 + 4); - t165 = *((unsigned int *)t129); - t162 = (t162 & t165); - t166 = *((unsigned int *)t164); - t163 = (t163 & t166); - t167 = (t161 + 4); - t168 = *((unsigned int *)t161); - *((unsigned int *)t161) = (t168 | t162); - t169 = *((unsigned int *)t167); - *((unsigned int *)t167) = (t169 | t163); - xsi_driver_vfirst_trans(t157, 0, 0U); - t170 = (t0 + 7512); - *((int *)t170) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB6: t10 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t10) = 1; - goto LAB7; - -LAB8: t16 = (t0 + 4168); - t17 = (t16 + 56U); - t18 = *((char **)t17); - memset(t19, 0, 8); - t20 = (t18 + 4); - t21 = *((unsigned int *)t20); - t22 = (~(t21)); - t23 = *((unsigned int *)t18); - t24 = (t23 & t22); - t25 = (t24 & 1U); - if (t25 != 0) - goto LAB11; - -LAB12: if (*((unsigned int *)t20) != 0) - goto LAB13; - -LAB14: t28 = *((unsigned int *)t4); - t29 = *((unsigned int *)t19); - t30 = (t28 | t29); - *((unsigned int *)t27) = t30; - t31 = (t4 + 4); - t32 = (t19 + 4); - t33 = (t27 + 4); - t34 = *((unsigned int *)t31); - t35 = *((unsigned int *)t32); - t36 = (t34 | t35); - *((unsigned int *)t33) = t36; - t37 = *((unsigned int *)t33); - t38 = (t37 != 0); - if (t38 == 1) - goto LAB15; - -LAB16: -LAB17: goto LAB10; - -LAB11: *((unsigned int *)t19) = 1; - goto LAB14; - -LAB13: t26 = (t19 + 4); - *((unsigned int *)t19) = 1; - *((unsigned int *)t26) = 1; - goto LAB14; - -LAB15: t39 = *((unsigned int *)t27); - t40 = *((unsigned int *)t33); - *((unsigned int *)t27) = (t39 | t40); - t41 = (t4 + 4); - t42 = (t19 + 4); - t43 = *((unsigned int *)t41); - t44 = (~(t43)); - t45 = *((unsigned int *)t4); - t46 = (t45 & t44); - t47 = *((unsigned int *)t42); - t48 = (~(t47)); - t49 = *((unsigned int *)t19); - t50 = (t49 & t48); - t51 = (~(t46)); - t52 = (~(t50)); - t53 = *((unsigned int *)t33); - *((unsigned int *)t33) = (t53 & t51); - t54 = *((unsigned int *)t33); - *((unsigned int *)t33) = (t54 & t52); - goto LAB17; - -LAB18: *((unsigned int *)t55) = 1; - goto LAB21; - -LAB20: t62 = (t55 + 4); - *((unsigned int *)t55) = 1; - *((unsigned int *)t62) = 1; - goto LAB21; - -LAB22: t68 = (t0 + 2488U); - t69 = *((char **)t68); - memset(t70, 0, 8); - t68 = (t69 + 4); - t71 = *((unsigned int *)t68); - t72 = (~(t71)); - t73 = *((unsigned int *)t69); - t74 = (t73 & t72); - t75 = (t74 & 1U); - if (t75 != 0) - goto LAB25; - -LAB26: if (*((unsigned int *)t68) != 0) - goto LAB27; - -LAB28: t78 = *((unsigned int *)t55); - t79 = *((unsigned int *)t70); - t80 = (t78 | t79); - *((unsigned int *)t77) = t80; - t81 = (t55 + 4); - t82 = (t70 + 4); - t83 = (t77 + 4); - t84 = *((unsigned int *)t81); - t85 = *((unsigned int *)t82); - t86 = (t84 | t85); - *((unsigned int *)t83) = t86; - t87 = *((unsigned int *)t83); - t88 = (t87 != 0); - if (t88 == 1) - goto LAB29; - -LAB30: -LAB31: goto LAB24; - -LAB25: *((unsigned int *)t70) = 1; - goto LAB28; - -LAB27: t76 = (t70 + 4); - *((unsigned int *)t70) = 1; - *((unsigned int *)t76) = 1; - goto LAB28; - -LAB29: t89 = *((unsigned int *)t77); - t90 = *((unsigned int *)t83); - *((unsigned int *)t77) = (t89 | t90); - t91 = (t55 + 4); - t92 = (t70 + 4); - t93 = *((unsigned int *)t91); - t94 = (~(t93)); - t95 = *((unsigned int *)t55); - t96 = (t95 & t94); - t97 = *((unsigned int *)t92); - t98 = (~(t97)); - t99 = *((unsigned int *)t70); - t100 = (t99 & t98); - t101 = (~(t96)); - t102 = (~(t100)); - t103 = *((unsigned int *)t83); - *((unsigned int *)t83) = (t103 & t101); - t104 = *((unsigned int *)t83); - *((unsigned int *)t83) = (t104 & t102); - goto LAB31; - -LAB32: *((unsigned int *)t105) = 1; - goto LAB35; - -LAB34: t112 = (t105 + 4); - *((unsigned int *)t105) = 1; - *((unsigned int *)t112) = 1; - goto LAB35; - -LAB36: t118 = (t0 + 4328); - t119 = (t118 + 56U); - t120 = *((char **)t119); - memset(t121, 0, 8); - t122 = (t120 + 4); - t123 = *((unsigned int *)t122); - t124 = (~(t123)); - t125 = *((unsigned int *)t120); - t126 = (t125 & t124); - t127 = (t126 & 1U); - if (t127 != 0) - goto LAB39; - -LAB40: if (*((unsigned int *)t122) != 0) - goto LAB41; - -LAB42: t130 = *((unsigned int *)t105); - t131 = *((unsigned int *)t121); - t132 = (t130 | t131); - *((unsigned int *)t129) = t132; - t133 = (t105 + 4); - t134 = (t121 + 4); - t135 = (t129 + 4); - t136 = *((unsigned int *)t133); - t137 = *((unsigned int *)t134); - t138 = (t136 | t137); - *((unsigned int *)t135) = t138; - t139 = *((unsigned int *)t135); - t140 = (t139 != 0); - if (t140 == 1) - goto LAB43; - -LAB44: -LAB45: goto LAB38; - -LAB39: *((unsigned int *)t121) = 1; - goto LAB42; - -LAB41: t128 = (t121 + 4); - *((unsigned int *)t121) = 1; - *((unsigned int *)t128) = 1; - goto LAB42; - -LAB43: t141 = *((unsigned int *)t129); - t142 = *((unsigned int *)t135); - *((unsigned int *)t129) = (t141 | t142); - t143 = (t105 + 4); - t144 = (t121 + 4); - t145 = *((unsigned int *)t143); - t146 = (~(t145)); - t147 = *((unsigned int *)t105); - t148 = (t147 & t146); - t149 = *((unsigned int *)t144); - t150 = (~(t149)); - t151 = *((unsigned int *)t121); - t152 = (t151 & t150); - t153 = (~(t148)); - t154 = (~(t152)); - t155 = *((unsigned int *)t135); - *((unsigned int *)t135) = (t155 & t153); - t156 = *((unsigned int *)t135); - *((unsigned int *)t135) = (t156 & t154); - goto LAB45; - -} - -static void Cont_25_4(char *t0) -{ - char t3[8]; - char t4[8]; - char t22[8]; - char t36[8]; - char t43[8]; - char *t1; - char *t2; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - char *t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - char *t34; - char *t35; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - unsigned int t44; - unsigned int t45; - unsigned int t46; - char *t47; - char *t48; - char *t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - char *t57; - char *t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - int t67; - int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - char *t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - char *t81; - char *t82; - char *t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - unsigned int t91; - char *t92; - char *t93; - char *t94; - char *t95; - char *t96; - unsigned int t97; - unsigned int t98; - char *t99; - unsigned int t100; - unsigned int t101; - char *t102; - unsigned int t103; - unsigned int t104; - char *t105; - -LAB0: t1 = (t0 + 6400U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(25, ng0); - t2 = (t0 + 1208U); - t5 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t5 + 4); - t6 = *((unsigned int *)t2); - t7 = (~(t6)); - t8 = *((unsigned int *)t5); - t9 = (t8 & t7); - t10 = (t9 & 1U); - if (t10 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t11 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t11) = 1; - -LAB7: t12 = (t4 + 4); - t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = (~(t14)); - *((unsigned int *)t4) = t15; - *((unsigned int *)t12) = 0; - if (*((unsigned int *)t13) != 0) - goto LAB9; - -LAB8: t20 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t20 & 1U); - t21 = *((unsigned int *)t12); - *((unsigned int *)t12) = (t21 & 1U); - memset(t22, 0, 8); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 & 1U); - if (t28 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t23) != 0) - goto LAB12; - -LAB13: t30 = (t22 + 4); - t31 = *((unsigned int *)t22); - t32 = *((unsigned int *)t30); - t33 = (t31 || t32); - if (t33 > 0) - goto LAB14; - -LAB15: memcpy(t43, t22, 8); - -LAB16: memset(t3, 0, 8); - t75 = (t43 + 4); - t76 = *((unsigned int *)t75); - t77 = (~(t76)); - t78 = *((unsigned int *)t43); - t79 = (t78 & t77); - t80 = (t79 & 1U); - if (t80 != 0) - goto LAB27; - -LAB25: if (*((unsigned int *)t75) == 0) - goto LAB24; - -LAB26: t81 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t81) = 1; - -LAB27: t82 = (t3 + 4); - t83 = (t43 + 4); - t84 = *((unsigned int *)t43); - t85 = (~(t84)); - *((unsigned int *)t3) = t85; - *((unsigned int *)t82) = 0; - if (*((unsigned int *)t83) != 0) - goto LAB29; - -LAB28: t90 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t90 & 1U); - t91 = *((unsigned int *)t82); - *((unsigned int *)t82) = (t91 & 1U); - t92 = (t0 + 7848); - t93 = (t92 + 56U); - t94 = *((char **)t93); - t95 = (t94 + 56U); - t96 = *((char **)t95); - memset(t96, 0, 8); - t97 = 1U; - t98 = t97; - t99 = (t3 + 4); - t100 = *((unsigned int *)t3); - t97 = (t97 & t100); - t101 = *((unsigned int *)t99); - t98 = (t98 & t101); - t102 = (t96 + 4); - t103 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t103 | t97); - t104 = *((unsigned int *)t102); - *((unsigned int *)t102) = (t104 | t98); - xsi_driver_vfirst_trans(t92, 0, 0); - t105 = (t0 + 7528); - *((int *)t105) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB9: t16 = *((unsigned int *)t4); - t17 = *((unsigned int *)t13); - *((unsigned int *)t4) = (t16 | t17); - t18 = *((unsigned int *)t12); - t19 = *((unsigned int *)t13); - *((unsigned int *)t12) = (t18 | t19); - goto LAB8; - -LAB10: *((unsigned int *)t22) = 1; - goto LAB13; - -LAB12: t29 = (t22 + 4); - *((unsigned int *)t22) = 1; - *((unsigned int *)t29) = 1; - goto LAB13; - -LAB14: t34 = (t0 + 2968U); - t35 = *((char **)t34); - memset(t36, 0, 8); - t34 = (t35 + 4); - t37 = *((unsigned int *)t34); - t38 = (~(t37)); - t39 = *((unsigned int *)t35); - t40 = (t39 & t38); - t41 = (t40 & 1U); - if (t41 != 0) - goto LAB17; - -LAB18: if (*((unsigned int *)t34) != 0) - goto LAB19; - -LAB20: t44 = *((unsigned int *)t22); - t45 = *((unsigned int *)t36); - t46 = (t44 & t45); - *((unsigned int *)t43) = t46; - t47 = (t22 + 4); - t48 = (t36 + 4); - t49 = (t43 + 4); - t50 = *((unsigned int *)t47); - t51 = *((unsigned int *)t48); - t52 = (t50 | t51); - *((unsigned int *)t49) = t52; - t53 = *((unsigned int *)t49); - t54 = (t53 != 0); - if (t54 == 1) - goto LAB21; - -LAB22: -LAB23: goto LAB16; - -LAB17: *((unsigned int *)t36) = 1; - goto LAB20; - -LAB19: t42 = (t36 + 4); - *((unsigned int *)t36) = 1; - *((unsigned int *)t42) = 1; - goto LAB20; - -LAB21: t55 = *((unsigned int *)t43); - t56 = *((unsigned int *)t49); - *((unsigned int *)t43) = (t55 | t56); - t57 = (t22 + 4); - t58 = (t36 + 4); - t59 = *((unsigned int *)t22); - t60 = (~(t59)); - t61 = *((unsigned int *)t57); - t62 = (~(t61)); - t63 = *((unsigned int *)t36); - t64 = (~(t63)); - t65 = *((unsigned int *)t58); - t66 = (~(t65)); - t67 = (t60 & t62); - t68 = (t64 & t66); - t69 = (~(t67)); - t70 = (~(t68)); - t71 = *((unsigned int *)t49); - *((unsigned int *)t49) = (t71 & t69); - t72 = *((unsigned int *)t49); - *((unsigned int *)t49) = (t72 & t70); - t73 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t73 & t69); - t74 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t74 & t70); - goto LAB23; - -LAB24: *((unsigned int *)t3) = 1; - goto LAB27; - -LAB29: t86 = *((unsigned int *)t3); - t87 = *((unsigned int *)t83); - *((unsigned int *)t3) = (t86 | t87); - t88 = *((unsigned int *)t82); - t89 = *((unsigned int *)t83); - *((unsigned int *)t82) = (t88 | t89); - goto LAB28; - -} - -static void Always_26_5(char *t0) -{ - char t4[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - -LAB0: t1 = (t0 + 6648U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(26, ng0); - t2 = (t0 + 7544); - *((int *)t2) = 1; - t3 = (t0 + 6680); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(26, ng0); - -LAB5: xsi_set_current_line(27, ng0); - t5 = (t0 + 1688U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t5 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = (~(t7)); - t9 = *((unsigned int *)t6); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t5) == 0) - goto LAB6; - -LAB8: t12 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t12) = 1; - -LAB9: t13 = (t4 + 4); - t14 = (t6 + 4); - t15 = *((unsigned int *)t6); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB11; - -LAB10: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 != 0); - if (t28 > 0) - goto LAB12; - -LAB13: xsi_set_current_line(33, ng0); - -LAB16: xsi_set_current_line(34, ng0); - t2 = (t0 + 1848U); - t3 = *((char **)t2); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 != 0); - if (t11 > 0) - goto LAB17; - -LAB18: -LAB19: xsi_set_current_line(35, ng0); - t2 = (t0 + 2008U); - t3 = *((char **)t2); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 != 0); - if (t11 > 0) - goto LAB20; - -LAB21: -LAB22: xsi_set_current_line(36, ng0); - t2 = (t0 + 2168U); - t3 = *((char **)t2); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 != 0); - if (t11 > 0) - goto LAB23; - -LAB24: -LAB25: xsi_set_current_line(37, ng0); - t2 = (t0 + 2328U); - t3 = *((char **)t2); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 != 0); - if (t11 > 0) - goto LAB26; - -LAB27: -LAB28: xsi_set_current_line(38, ng0); - t2 = (t0 + 2488U); - t3 = *((char **)t2); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 != 0); - if (t11 > 0) - goto LAB29; - -LAB30: -LAB31: -LAB14: goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t14); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB10; - -LAB12: xsi_set_current_line(27, ng0); - -LAB15: xsi_set_current_line(28, ng0); - t29 = ((char*)((ng1))); - t30 = (t0 + 3688); - xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); - xsi_set_current_line(29, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(30, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(31, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4168); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(32, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4328); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB14; - -LAB17: xsi_set_current_line(34, ng0); - t5 = ((char*)((ng2))); - t6 = (t0 + 3688); - xsi_vlogvar_wait_assign_value(t6, t5, 0, 0, 1, 0LL); - goto LAB19; - -LAB20: xsi_set_current_line(35, ng0); - t5 = ((char*)((ng2))); - t6 = (t0 + 3848); - xsi_vlogvar_wait_assign_value(t6, t5, 0, 0, 1, 0LL); - goto LAB22; - -LAB23: xsi_set_current_line(36, ng0); - t5 = ((char*)((ng2))); - t6 = (t0 + 4008); - xsi_vlogvar_wait_assign_value(t6, t5, 0, 0, 1, 0LL); - goto LAB25; - -LAB26: xsi_set_current_line(37, ng0); - t5 = ((char*)((ng2))); - t6 = (t0 + 4168); - xsi_vlogvar_wait_assign_value(t6, t5, 0, 0, 1, 0LL); - goto LAB28; - -LAB29: xsi_set_current_line(38, ng0); - t5 = ((char*)((ng2))); - t6 = (t0 + 4328); - xsi_vlogvar_wait_assign_value(t6, t5, 0, 0, 1, 0LL); - goto LAB31; - -} - -static void Cont_44_6(char *t0) -{ - char t3[8]; - char t4[8]; - char t22[8]; - char t37[8]; - char t45[8]; - char *t1; - char *t2; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - char *t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - char *t34; - char *t35; - char *t36; - char *t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - unsigned int t42; - unsigned int t43; - char *t44; - unsigned int t46; - unsigned int t47; - unsigned int t48; - char *t49; - char *t50; - char *t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - char *t59; - char *t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - unsigned int t68; - int t69; - int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - char *t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - unsigned int t81; - unsigned int t82; - char *t83; - char *t84; - char *t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - unsigned int t91; - unsigned int t92; - unsigned int t93; - char *t94; - char *t95; - char *t96; - char *t97; - char *t98; - unsigned int t99; - unsigned int t100; - char *t101; - unsigned int t102; - unsigned int t103; - char *t104; - unsigned int t105; - unsigned int t106; - char *t107; - -LAB0: t1 = (t0 + 6896U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(44, ng0); - t2 = (t0 + 1208U); - t5 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t5 + 4); - t6 = *((unsigned int *)t2); - t7 = (~(t6)); - t8 = *((unsigned int *)t5); - t9 = (t8 & t7); - t10 = (t9 & 1U); - if (t10 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t11 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t11) = 1; - -LAB7: t12 = (t4 + 4); - t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = (~(t14)); - *((unsigned int *)t4) = t15; - *((unsigned int *)t12) = 0; - if (*((unsigned int *)t13) != 0) - goto LAB9; - -LAB8: t20 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t20 & 1U); - t21 = *((unsigned int *)t12); - *((unsigned int *)t12) = (t21 & 1U); - memset(t22, 0, 8); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 & 1U); - if (t28 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t23) != 0) - goto LAB12; - -LAB13: t30 = (t22 + 4); - t31 = *((unsigned int *)t22); - t32 = *((unsigned int *)t30); - t33 = (t31 || t32); - if (t33 > 0) - goto LAB14; - -LAB15: memcpy(t45, t22, 8); - -LAB16: memset(t3, 0, 8); - t77 = (t45 + 4); - t78 = *((unsigned int *)t77); - t79 = (~(t78)); - t80 = *((unsigned int *)t45); - t81 = (t80 & t79); - t82 = (t81 & 1U); - if (t82 != 0) - goto LAB27; - -LAB25: if (*((unsigned int *)t77) == 0) - goto LAB24; - -LAB26: t83 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t83) = 1; - -LAB27: t84 = (t3 + 4); - t85 = (t45 + 4); - t86 = *((unsigned int *)t45); - t87 = (~(t86)); - *((unsigned int *)t3) = t87; - *((unsigned int *)t84) = 0; - if (*((unsigned int *)t85) != 0) - goto LAB29; - -LAB28: t92 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t92 & 1U); - t93 = *((unsigned int *)t84); - *((unsigned int *)t84) = (t93 & 1U); - t94 = (t0 + 7912); - t95 = (t94 + 56U); - t96 = *((char **)t95); - t97 = (t96 + 56U); - t98 = *((char **)t97); - memset(t98, 0, 8); - t99 = 1U; - t100 = t99; - t101 = (t3 + 4); - t102 = *((unsigned int *)t3); - t99 = (t99 & t102); - t103 = *((unsigned int *)t101); - t100 = (t100 & t103); - t104 = (t98 + 4); - t105 = *((unsigned int *)t98); - *((unsigned int *)t98) = (t105 | t99); - t106 = *((unsigned int *)t104); - *((unsigned int *)t104) = (t106 | t100); - xsi_driver_vfirst_trans(t94, 0, 0); - t107 = (t0 + 7560); - *((int *)t107) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB9: t16 = *((unsigned int *)t4); - t17 = *((unsigned int *)t13); - *((unsigned int *)t4) = (t16 | t17); - t18 = *((unsigned int *)t12); - t19 = *((unsigned int *)t13); - *((unsigned int *)t12) = (t18 | t19); - goto LAB8; - -LAB10: *((unsigned int *)t22) = 1; - goto LAB13; - -LAB12: t29 = (t22 + 4); - *((unsigned int *)t22) = 1; - *((unsigned int *)t29) = 1; - goto LAB13; - -LAB14: t34 = (t0 + 4488); - t35 = (t34 + 56U); - t36 = *((char **)t35); - memset(t37, 0, 8); - t38 = (t36 + 4); - t39 = *((unsigned int *)t38); - t40 = (~(t39)); - t41 = *((unsigned int *)t36); - t42 = (t41 & t40); - t43 = (t42 & 1U); - if (t43 != 0) - goto LAB17; - -LAB18: if (*((unsigned int *)t38) != 0) - goto LAB19; - -LAB20: t46 = *((unsigned int *)t22); - t47 = *((unsigned int *)t37); - t48 = (t46 & t47); - *((unsigned int *)t45) = t48; - t49 = (t22 + 4); - t50 = (t37 + 4); - t51 = (t45 + 4); - t52 = *((unsigned int *)t49); - t53 = *((unsigned int *)t50); - t54 = (t52 | t53); - *((unsigned int *)t51) = t54; - t55 = *((unsigned int *)t51); - t56 = (t55 != 0); - if (t56 == 1) - goto LAB21; - -LAB22: -LAB23: goto LAB16; - -LAB17: *((unsigned int *)t37) = 1; - goto LAB20; - -LAB19: t44 = (t37 + 4); - *((unsigned int *)t37) = 1; - *((unsigned int *)t44) = 1; - goto LAB20; - -LAB21: t57 = *((unsigned int *)t45); - t58 = *((unsigned int *)t51); - *((unsigned int *)t45) = (t57 | t58); - t59 = (t22 + 4); - t60 = (t37 + 4); - t61 = *((unsigned int *)t22); - t62 = (~(t61)); - t63 = *((unsigned int *)t59); - t64 = (~(t63)); - t65 = *((unsigned int *)t37); - t66 = (~(t65)); - t67 = *((unsigned int *)t60); - t68 = (~(t67)); - t69 = (t62 & t64); - t70 = (t66 & t68); - t71 = (~(t69)); - t72 = (~(t70)); - t73 = *((unsigned int *)t51); - *((unsigned int *)t51) = (t73 & t71); - t74 = *((unsigned int *)t51); - *((unsigned int *)t51) = (t74 & t72); - t75 = *((unsigned int *)t45); - *((unsigned int *)t45) = (t75 & t71); - t76 = *((unsigned int *)t45); - *((unsigned int *)t45) = (t76 & t72); - goto LAB23; - -LAB24: *((unsigned int *)t3) = 1; - goto LAB27; - -LAB29: t88 = *((unsigned int *)t3); - t89 = *((unsigned int *)t85); - *((unsigned int *)t3) = (t88 | t89); - t90 = *((unsigned int *)t84); - t91 = *((unsigned int *)t85); - *((unsigned int *)t84) = (t90 | t91); - goto LAB28; - -} - -static void Always_45_7(char *t0) -{ - char t4[8]; - char t31[8]; - char t35[8]; - char t42[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t32; - unsigned int t33; - unsigned int t34; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - char *t41; - unsigned int t43; - unsigned int t44; - unsigned int t45; - char *t46; - char *t47; - char *t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - char *t56; - char *t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - int t66; - int t67; - unsigned int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - char *t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - char *t80; - char *t81; - -LAB0: t1 = (t0 + 7144U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(45, ng0); - t2 = (t0 + 7576); - *((int *)t2) = 1; - t3 = (t0 + 7176); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(45, ng0); - -LAB5: xsi_set_current_line(46, ng0); - t5 = (t0 + 1688U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t5 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = (~(t7)); - t9 = *((unsigned int *)t6); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t5) == 0) - goto LAB6; - -LAB8: t12 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t12) = 1; - -LAB9: t13 = (t4 + 4); - t14 = (t6 + 4); - t15 = *((unsigned int *)t6); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB11; - -LAB10: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 != 0); - if (t28 > 0) - goto LAB12; - -LAB13: xsi_set_current_line(49, ng0); - t2 = (t0 + 2808U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB16; - -LAB17: if (*((unsigned int *)t2) != 0) - goto LAB18; - -LAB19: t6 = (t4 + 4); - t15 = *((unsigned int *)t4); - t16 = *((unsigned int *)t6); - t17 = (t15 || t16); - if (t17 > 0) - goto LAB20; - -LAB21: memcpy(t42, t4, 8); - -LAB22: t74 = (t42 + 4); - t75 = *((unsigned int *)t74); - t76 = (~(t75)); - t77 = *((unsigned int *)t42); - t78 = (t77 & t76); - t79 = (t78 != 0); - if (t79 > 0) - goto LAB36; - -LAB37: -LAB38: -LAB14: goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t14); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB10; - -LAB12: xsi_set_current_line(46, ng0); - -LAB15: xsi_set_current_line(47, ng0); - t29 = ((char*)((ng2))); - t30 = (t0 + 3368); - xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); - xsi_set_current_line(48, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4488); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB14; - -LAB16: *((unsigned int *)t4) = 1; - goto LAB19; - -LAB18: t5 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t5) = 1; - goto LAB19; - -LAB20: t12 = (t0 + 2968U); - t13 = *((char **)t12); - memset(t31, 0, 8); - t12 = (t13 + 4); - t18 = *((unsigned int *)t12); - t19 = (~(t18)); - t20 = *((unsigned int *)t13); - t21 = (t20 & t19); - t22 = (t21 & 1U); - if (t22 != 0) - goto LAB26; - -LAB24: if (*((unsigned int *)t12) == 0) - goto LAB23; - -LAB25: t14 = (t31 + 4); - *((unsigned int *)t31) = 1; - *((unsigned int *)t14) = 1; - -LAB26: t23 = (t31 + 4); - t29 = (t13 + 4); - t24 = *((unsigned int *)t13); - t25 = (~(t24)); - *((unsigned int *)t31) = t25; - *((unsigned int *)t23) = 0; - if (*((unsigned int *)t29) != 0) - goto LAB28; - -LAB27: t33 = *((unsigned int *)t31); - *((unsigned int *)t31) = (t33 & 1U); - t34 = *((unsigned int *)t23); - *((unsigned int *)t23) = (t34 & 1U); - memset(t35, 0, 8); - t30 = (t31 + 4); - t36 = *((unsigned int *)t30); - t37 = (~(t36)); - t38 = *((unsigned int *)t31); - t39 = (t38 & t37); - t40 = (t39 & 1U); - if (t40 != 0) - goto LAB29; - -LAB30: if (*((unsigned int *)t30) != 0) - goto LAB31; - -LAB32: t43 = *((unsigned int *)t4); - t44 = *((unsigned int *)t35); - t45 = (t43 & t44); - *((unsigned int *)t42) = t45; - t46 = (t4 + 4); - t47 = (t35 + 4); - t48 = (t42 + 4); - t49 = *((unsigned int *)t46); - t50 = *((unsigned int *)t47); - t51 = (t49 | t50); - *((unsigned int *)t48) = t51; - t52 = *((unsigned int *)t48); - t53 = (t52 != 0); - if (t53 == 1) - goto LAB33; - -LAB34: -LAB35: goto LAB22; - -LAB23: *((unsigned int *)t31) = 1; - goto LAB26; - -LAB28: t26 = *((unsigned int *)t31); - t27 = *((unsigned int *)t29); - *((unsigned int *)t31) = (t26 | t27); - t28 = *((unsigned int *)t23); - t32 = *((unsigned int *)t29); - *((unsigned int *)t23) = (t28 | t32); - goto LAB27; - -LAB29: *((unsigned int *)t35) = 1; - goto LAB32; - -LAB31: t41 = (t35 + 4); - *((unsigned int *)t35) = 1; - *((unsigned int *)t41) = 1; - goto LAB32; - -LAB33: t54 = *((unsigned int *)t42); - t55 = *((unsigned int *)t48); - *((unsigned int *)t42) = (t54 | t55); - t56 = (t4 + 4); - t57 = (t35 + 4); - t58 = *((unsigned int *)t4); - t59 = (~(t58)); - t60 = *((unsigned int *)t56); - t61 = (~(t60)); - t62 = *((unsigned int *)t35); - t63 = (~(t62)); - t64 = *((unsigned int *)t57); - t65 = (~(t64)); - t66 = (t59 & t61); - t67 = (t63 & t65); - t68 = (~(t66)); - t69 = (~(t67)); - t70 = *((unsigned int *)t48); - *((unsigned int *)t48) = (t70 & t68); - t71 = *((unsigned int *)t48); - *((unsigned int *)t48) = (t71 & t69); - t72 = *((unsigned int *)t42); - *((unsigned int *)t42) = (t72 & t68); - t73 = *((unsigned int *)t42); - *((unsigned int *)t42) = (t73 & t69); - goto LAB35; - -LAB36: xsi_set_current_line(49, ng0); - -LAB39: xsi_set_current_line(50, ng0); - t80 = (t0 + 2648U); - t81 = *((char **)t80); - t80 = (t0 + 3368); - xsi_vlogvar_wait_assign_value(t80, t81, 0, 0, 1, 0LL); - xsi_set_current_line(51, ng0); - t2 = (t0 + 2648U); - t3 = *((char **)t2); - t2 = (t0 + 4488); - xsi_vlogvar_wait_assign_value(t2, t3, 0, 0, 1, 0LL); - goto LAB38; - -} - - -extern void work_m_00000000002613258380_2132107520_init() -{ - static char *pe[] = {(void *)Always_15_0,(void *)Cont_16_1,(void *)NetDecl_21_2,(void *)NetDecl_24_3,(void *)Cont_25_4,(void *)Always_26_5,(void *)Cont_44_6,(void *)Always_45_7}; - xsi_register_didat("work_m_00000000002613258380_2132107520", "isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.didat b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.didat deleted file mode 100644 index b6b412a..0000000 Binary files a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.didat and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.nt64.obj b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.nt64.obj deleted file mode 100644 index d53c4b4..0000000 Binary files a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.nt64.obj and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c deleted file mode 100644 index e1ce6c3..0000000 --- a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c +++ /dev/null @@ -1,337 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Xilinx/14.7/ISE_DS/ISE/verilog/src/glbl.v"; -static unsigned int ng1[] = {1U, 0U}; -static unsigned int ng2[] = {0U, 0U}; - - - -static void NetDecl_16_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - unsigned int t8; - unsigned int t9; - char *t10; - unsigned int t11; - unsigned int t12; - char *t13; - unsigned int t14; - unsigned int t15; - char *t16; - -LAB0: t1 = (t0 + 6960U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(16, ng0); - t2 = (t0 + 1960U); - t3 = *((char **)t2); - t2 = (t0 + 8648); - t4 = (t2 + 56U); - t5 = *((char **)t4); - t6 = (t5 + 56U); - t7 = *((char **)t6); - memset(t7, 0, 8); - t8 = 1U; - t9 = t8; - t10 = (t3 + 4); - t11 = *((unsigned int *)t3); - t8 = (t8 & t11); - t12 = *((unsigned int *)t10); - t9 = (t9 & t12); - t13 = (t7 + 4); - t14 = *((unsigned int *)t7); - *((unsigned int *)t7) = (t14 | t8); - t15 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t15 | t9); - xsi_driver_vfirst_trans(t2, 0, 0U); - t16 = (t0 + 8520); - *((int *)t16) = 1; - -LAB1: return; -} - -static void Cont_48_1(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - char *t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned int t16; - unsigned int t17; - char *t18; - -LAB0: t1 = (t0 + 7208U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(48, ng0); - t2 = (t0 + 3640); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t0 + 8712); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memset(t9, 0, 8); - t10 = 1U; - t11 = t10; - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t10 = (t10 & t13); - t14 = *((unsigned int *)t12); - t11 = (t11 & t14); - t15 = (t9 + 4); - t16 = *((unsigned int *)t9); - *((unsigned int *)t9) = (t16 | t10); - t17 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t17 | t11); - xsi_driver_vfirst_trans(t5, 0, 0); - t18 = (t0 + 8536); - *((int *)t18) = 1; - -LAB1: return; -} - -static void Cont_49_2(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - char *t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned int t16; - unsigned int t17; - char *t18; - -LAB0: t1 = (t0 + 7456U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(49, ng0); - t2 = (t0 + 3800); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t0 + 8776); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memset(t9, 0, 8); - t10 = 1U; - t11 = t10; - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t10 = (t10 & t13); - t14 = *((unsigned int *)t12); - t11 = (t11 & t14); - t15 = (t9 + 4); - t16 = *((unsigned int *)t9); - *((unsigned int *)t9) = (t16 | t10); - t17 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t17 | t11); - xsi_driver_vfirst_trans(t5, 0, 0); - t18 = (t0 + 8552); - *((int *)t18) = 1; - -LAB1: return; -} - -static void Cont_50_3(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - char *t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned int t16; - unsigned int t17; - char *t18; - -LAB0: t1 = (t0 + 7704U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(50, ng0); - t2 = (t0 + 3960); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t0 + 8840); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memset(t9, 0, 8); - t10 = 1U; - t11 = t10; - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t10 = (t10 & t13); - t14 = *((unsigned int *)t12); - t11 = (t11 & t14); - t15 = (t9 + 4); - t16 = *((unsigned int *)t9); - *((unsigned int *)t9) = (t16 | t10); - t17 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t17 | t11); - xsi_driver_vfirst_trans(t5, 0, 0); - t18 = (t0 + 8568); - *((int *)t18) = 1; - -LAB1: return; -} - -static void Initial_52_4(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - -LAB0: t1 = (t0 + 7952U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(52, ng0); - -LAB4: xsi_set_current_line(53, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3640); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(54, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3960); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(55, ng0); - t2 = (t0 + 7760); - xsi_process_wait(t2, 100000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(56, ng0); - t3 = ((char*)((ng2))); - t4 = (t0 + 3640); - xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); - xsi_set_current_line(57, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3960); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - goto LAB1; - -} - -static void Initial_60_5(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - -LAB0: t1 = (t0 + 8200U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(60, ng0); - -LAB4: xsi_set_current_line(61, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3800); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(62, ng0); - t2 = (t0 + 8008); - xsi_process_wait(t2, 0LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(63, ng0); - t3 = ((char*)((ng2))); - t4 = (t0 + 3800); - xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); - goto LAB1; - -} - - -extern void work_m_00000000004134447467_2073120511_init() -{ - static char *pe[] = {(void *)NetDecl_16_0,(void *)Cont_48_1,(void *)Cont_49_2,(void *)Cont_50_3,(void *)Initial_52_4,(void *)Initial_60_5}; - xsi_register_didat("work_m_00000000004134447467_2073120511", "isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat deleted file mode 100644 index 296a166..0000000 Binary files a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj deleted file mode 100644 index a35271f..0000000 Binary files a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.c b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.c deleted file mode 100644 index 183ac1c..0000000 --- a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.c +++ /dev/null @@ -1,36 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -#include "xsi.h" - -struct XSI_INFO xsi_info; - - - -int main(int argc, char **argv) -{ - xsi_init_design(argc, argv); - xsi_register_info(&xsi_info); - - xsi_register_min_prec_unit(-12); - work_m_00000000002613258380_2132107520_init(); - work_m_00000000001435061139_3156757336_init(); - work_m_00000000004134447467_2073120511_init(); - - - xsi_register_tops("work_m_00000000001435061139_3156757336"); - xsi_register_tops("work_m_00000000004134447467_2073120511"); - - - return xsi_run_simulation(argc, argv); - -} diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.nt64.obj b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.nt64.obj deleted file mode 100644 index 4bf9e43..0000000 Binary files a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.nt64.obj and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/ISimEngine-DesignHierarchy1.dbg b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/ISimEngine-DesignHierarchy1.dbg deleted file mode 100644 index b85bd13..0000000 Binary files a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/ISimEngine-DesignHierarchy1.dbg and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/isimcrash.log b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/isimcrash.log deleted file mode 100644 index e69de29..0000000 diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/isimkernel.log b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/isimkernel.log deleted file mode 100644 index d16f7d2..0000000 --- a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/isimkernel.log +++ /dev/null @@ -1,28 +0,0 @@ -Command line: - t_iobm_isim_beh.exe - -simmode gui - -simrunnum 1 - -socket 65108 - -Sat Dec 11 08:29:15 2021 - - - Elaboration Time: 0.046875 sec - - Current Memory Usage: 5140.5 Meg - - Total Signals : 39 - Total Nets : 38 - Total Signal Drivers : 30 - Total Blocks : 3 - Total Primitive Blocks : 2 - Total Processes : 48 - Total Traceable Variables : 52 - Total Scalar Nets and Variables : 158 - - Total Simulation Time: 0.109375 sec - - Current Memory Usage: 4668.64 Meg - -Sun Dec 12 07:05:26 2021 - diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/libPortability.dll b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/libPortability.dll deleted file mode 100644 index 3df1061..0000000 Binary files a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/libPortability.dll and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/netId1.dat b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/netId1.dat deleted file mode 100644 index 7ee657f..0000000 Binary files a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/netId1.dat and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/t_iobm_isim_beh.exe b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/t_iobm_isim_beh.exe deleted file mode 100644 index 2b8ca23..0000000 Binary files a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/t_iobm_isim_beh.exe and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/tmp_save/_1 b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/tmp_save/_1 deleted file mode 100644 index dc83098..0000000 Binary files a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/tmp_save/_1 and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.c b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.c deleted file mode 100644 index 0814fcf..0000000 --- a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.c +++ /dev/null @@ -1,8216 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/IOBM.v"; -static int ng1[] = {1, 0}; -static int ng2[] = {0, 0}; -static int ng3[] = {19, 0}; -static int ng4[] = {16, 0}; -static int ng5[] = {7, 0}; -static int ng6[] = {2, 0}; -static int ng7[] = {3, 0}; -static int ng8[] = {4, 0}; -static int ng9[] = {5, 0}; -static int ng10[] = {6, 0}; - - - -static void Always_13_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - -LAB0: t1 = (t0 + 8288U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(13, ng0); - t2 = (t0 + 12576); - *((int *)t2) = 1; - t3 = (t0 + 8320); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(13, ng0); - -LAB5: xsi_set_current_line(13, ng0); - t4 = (t0 + 2328U); - t5 = *((char **)t4); - t4 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t4, t5, 0, 0, 1, 0LL); - goto LAB2; - -} - -static void Always_17_1(char *t0) -{ - char t4[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - -LAB0: t1 = (t0 + 8536U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(17, ng0); - t2 = (t0 + 12592); - *((int *)t2) = 1; - t3 = (t0 + 8568); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(17, ng0); - -LAB5: xsi_set_current_line(18, ng0); - t5 = (t0 + 1528U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t5 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = (~(t7)); - t9 = *((unsigned int *)t6); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t5) == 0) - goto LAB6; - -LAB8: t12 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t12) = 1; - -LAB9: t13 = (t4 + 4); - t14 = (t6 + 4); - t15 = *((unsigned int *)t6); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB11; - -LAB10: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t23, t4, 0, 0, 1, 0LL); - xsi_set_current_line(19, ng0); - t2 = (t0 + 1688U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB15; - -LAB13: if (*((unsigned int *)t2) == 0) - goto LAB12; - -LAB14: t5 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t5) = 1; - -LAB15: t6 = (t4 + 4); - t12 = (t3 + 4); - t15 = *((unsigned int *)t3); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t6) = 0; - if (*((unsigned int *)t12) != 0) - goto LAB17; - -LAB16: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t6); - *((unsigned int *)t6) = (t22 & 1U); - t13 = (t0 + 5768); - xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); - xsi_set_current_line(20, ng0); - t2 = (t0 + 1848U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB21; - -LAB19: if (*((unsigned int *)t2) == 0) - goto LAB18; - -LAB20: t5 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t5) = 1; - -LAB21: t6 = (t4 + 4); - t12 = (t3 + 4); - t15 = *((unsigned int *)t3); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t6) = 0; - if (*((unsigned int *)t12) != 0) - goto LAB23; - -LAB22: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t6); - *((unsigned int *)t6) = (t22 & 1U); - t13 = (t0 + 6088); - xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); - xsi_set_current_line(21, ng0); - t2 = (t0 + 2008U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB27; - -LAB25: if (*((unsigned int *)t2) == 0) - goto LAB24; - -LAB26: t5 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t5) = 1; - -LAB27: t6 = (t4 + 4); - t12 = (t3 + 4); - t15 = *((unsigned int *)t3); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t6) = 0; - if (*((unsigned int *)t12) != 0) - goto LAB29; - -LAB28: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t6); - *((unsigned int *)t6) = (t22 & 1U); - t13 = (t0 + 6408); - xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); - goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t14); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB10; - -LAB12: *((unsigned int *)t4) = 1; - goto LAB15; - -LAB17: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t12); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t6); - t20 = *((unsigned int *)t12); - *((unsigned int *)t6) = (t19 | t20); - goto LAB16; - -LAB18: *((unsigned int *)t4) = 1; - goto LAB21; - -LAB23: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t12); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t6); - t20 = *((unsigned int *)t12); - *((unsigned int *)t6) = (t19 | t20); - goto LAB22; - -LAB24: *((unsigned int *)t4) = 1; - goto LAB27; - -LAB29: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t12); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t6); - t20 = *((unsigned int *)t12); - *((unsigned int *)t6) = (t19 | t20); - goto LAB28; - -} - -static void Always_23_2(char *t0) -{ - char t4[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - -LAB0: t1 = (t0 + 8784U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(23, ng0); - t2 = (t0 + 12608); - *((int *)t2) = 1; - t3 = (t0 + 8816); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(23, ng0); - -LAB5: xsi_set_current_line(24, ng0); - t5 = (t0 + 1528U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t5 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = (~(t7)); - t9 = *((unsigned int *)t6); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t5) == 0) - goto LAB6; - -LAB8: t12 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t12) = 1; - -LAB9: t13 = (t4 + 4); - t14 = (t6 + 4); - t15 = *((unsigned int *)t6); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB11; - -LAB10: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t23, t4, 0, 0, 1, 0LL); - xsi_set_current_line(25, ng0); - t2 = (t0 + 1688U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB15; - -LAB13: if (*((unsigned int *)t2) == 0) - goto LAB12; - -LAB14: t5 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t5) = 1; - -LAB15: t6 = (t4 + 4); - t12 = (t3 + 4); - t15 = *((unsigned int *)t3); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t6) = 0; - if (*((unsigned int *)t12) != 0) - goto LAB17; - -LAB16: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t6); - *((unsigned int *)t6) = (t22 & 1U); - t13 = (t0 + 5928); - xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); - xsi_set_current_line(26, ng0); - t2 = (t0 + 1848U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB21; - -LAB19: if (*((unsigned int *)t2) == 0) - goto LAB18; - -LAB20: t5 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t5) = 1; - -LAB21: t6 = (t4 + 4); - t12 = (t3 + 4); - t15 = *((unsigned int *)t3); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t6) = 0; - if (*((unsigned int *)t12) != 0) - goto LAB23; - -LAB22: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t6); - *((unsigned int *)t6) = (t22 & 1U); - t13 = (t0 + 6248); - xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); - xsi_set_current_line(27, ng0); - t2 = (t0 + 2008U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB27; - -LAB25: if (*((unsigned int *)t2) == 0) - goto LAB24; - -LAB26: t5 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t5) = 1; - -LAB27: t6 = (t4 + 4); - t12 = (t3 + 4); - t15 = *((unsigned int *)t3); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t6) = 0; - if (*((unsigned int *)t12) != 0) - goto LAB29; - -LAB28: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t6); - *((unsigned int *)t6) = (t22 & 1U); - t13 = (t0 + 6568); - xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); - goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t14); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB10; - -LAB12: *((unsigned int *)t4) = 1; - goto LAB15; - -LAB17: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t12); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t6); - t20 = *((unsigned int *)t12); - *((unsigned int *)t6) = (t19 | t20); - goto LAB16; - -LAB18: *((unsigned int *)t4) = 1; - goto LAB21; - -LAB23: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t12); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t6); - t20 = *((unsigned int *)t12); - *((unsigned int *)t6) = (t19 | t20); - goto LAB22; - -LAB24: *((unsigned int *)t4) = 1; - goto LAB27; - -LAB29: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t12); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t6); - t20 = *((unsigned int *)t12); - *((unsigned int *)t6) = (t19 | t20); - goto LAB28; - -} - -static void NetDecl_29_3(char *t0) -{ - char t5[8]; - char t20[8]; - char t28[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - char *t17; - char *t18; - char *t19; - char *t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - char *t27; - unsigned int t29; - unsigned int t30; - unsigned int t31; - char *t32; - char *t33; - char *t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - char *t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - int t52; - int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - char *t60; - char *t61; - char *t62; - char *t63; - char *t64; - unsigned int t65; - unsigned int t66; - char *t67; - unsigned int t68; - unsigned int t69; - char *t70; - unsigned int t71; - unsigned int t72; - char *t73; - -LAB0: t1 = (t0 + 9032U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(29, ng0); - t2 = (t0 + 5448); - t3 = (t2 + 56U); - t4 = *((char **)t3); - memset(t5, 0, 8); - t6 = (t4 + 4); - t7 = *((unsigned int *)t6); - t8 = (~(t7)); - t9 = *((unsigned int *)t4); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t6) != 0) - goto LAB6; - -LAB7: t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = *((unsigned int *)t13); - t16 = (t14 || t15); - if (t16 > 0) - goto LAB8; - -LAB9: memcpy(t28, t5, 8); - -LAB10: t60 = (t0 + 12896); - t61 = (t60 + 56U); - t62 = *((char **)t61); - t63 = (t62 + 56U); - t64 = *((char **)t63); - memset(t64, 0, 8); - t65 = 1U; - t66 = t65; - t67 = (t28 + 4); - t68 = *((unsigned int *)t28); - t65 = (t65 & t68); - t69 = *((unsigned int *)t67); - t66 = (t66 & t69); - t70 = (t64 + 4); - t71 = *((unsigned int *)t64); - *((unsigned int *)t64) = (t71 | t65); - t72 = *((unsigned int *)t70); - *((unsigned int *)t70) = (t72 | t66); - xsi_driver_vfirst_trans(t60, 0, 0U); - t73 = (t0 + 12624); - *((int *)t73) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t5) = 1; - goto LAB7; - -LAB6: t12 = (t5 + 4); - *((unsigned int *)t5) = 1; - *((unsigned int *)t12) = 1; - goto LAB7; - -LAB8: t17 = (t0 + 5608); - t18 = (t17 + 56U); - t19 = *((char **)t18); - memset(t20, 0, 8); - t21 = (t19 + 4); - t22 = *((unsigned int *)t21); - t23 = (~(t22)); - t24 = *((unsigned int *)t19); - t25 = (t24 & t23); - t26 = (t25 & 1U); - if (t26 != 0) - goto LAB11; - -LAB12: if (*((unsigned int *)t21) != 0) - goto LAB13; - -LAB14: t29 = *((unsigned int *)t5); - t30 = *((unsigned int *)t20); - t31 = (t29 & t30); - *((unsigned int *)t28) = t31; - t32 = (t5 + 4); - t33 = (t20 + 4); - t34 = (t28 + 4); - t35 = *((unsigned int *)t32); - t36 = *((unsigned int *)t33); - t37 = (t35 | t36); - *((unsigned int *)t34) = t37; - t38 = *((unsigned int *)t34); - t39 = (t38 != 0); - if (t39 == 1) - goto LAB15; - -LAB16: -LAB17: goto LAB10; - -LAB11: *((unsigned int *)t20) = 1; - goto LAB14; - -LAB13: t27 = (t20 + 4); - *((unsigned int *)t20) = 1; - *((unsigned int *)t27) = 1; - goto LAB14; - -LAB15: t40 = *((unsigned int *)t28); - t41 = *((unsigned int *)t34); - *((unsigned int *)t28) = (t40 | t41); - t42 = (t5 + 4); - t43 = (t20 + 4); - t44 = *((unsigned int *)t5); - t45 = (~(t44)); - t46 = *((unsigned int *)t42); - t47 = (~(t46)); - t48 = *((unsigned int *)t20); - t49 = (~(t48)); - t50 = *((unsigned int *)t43); - t51 = (~(t50)); - t52 = (t45 & t47); - t53 = (t49 & t51); - t54 = (~(t52)); - t55 = (~(t53)); - t56 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t56 & t54); - t57 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t57 & t55); - t58 = *((unsigned int *)t28); - *((unsigned int *)t28) = (t58 & t54); - t59 = *((unsigned int *)t28); - *((unsigned int *)t28) = (t59 & t55); - goto LAB17; - -} - -static void NetDecl_30_4(char *t0) -{ - char t5[8]; - char t20[8]; - char t28[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - char *t17; - char *t18; - char *t19; - char *t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - char *t27; - unsigned int t29; - unsigned int t30; - unsigned int t31; - char *t32; - char *t33; - char *t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - char *t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - int t52; - int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - char *t60; - char *t61; - char *t62; - char *t63; - char *t64; - unsigned int t65; - unsigned int t66; - char *t67; - unsigned int t68; - unsigned int t69; - char *t70; - unsigned int t71; - unsigned int t72; - char *t73; - -LAB0: t1 = (t0 + 9280U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(30, ng0); - t2 = (t0 + 6088); - t3 = (t2 + 56U); - t4 = *((char **)t3); - memset(t5, 0, 8); - t6 = (t4 + 4); - t7 = *((unsigned int *)t6); - t8 = (~(t7)); - t9 = *((unsigned int *)t4); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t6) != 0) - goto LAB6; - -LAB7: t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = *((unsigned int *)t13); - t16 = (t14 || t15); - if (t16 > 0) - goto LAB8; - -LAB9: memcpy(t28, t5, 8); - -LAB10: t60 = (t0 + 12960); - t61 = (t60 + 56U); - t62 = *((char **)t61); - t63 = (t62 + 56U); - t64 = *((char **)t63); - memset(t64, 0, 8); - t65 = 1U; - t66 = t65; - t67 = (t28 + 4); - t68 = *((unsigned int *)t28); - t65 = (t65 & t68); - t69 = *((unsigned int *)t67); - t66 = (t66 & t69); - t70 = (t64 + 4); - t71 = *((unsigned int *)t64); - *((unsigned int *)t64) = (t71 | t65); - t72 = *((unsigned int *)t70); - *((unsigned int *)t70) = (t72 | t66); - xsi_driver_vfirst_trans(t60, 0, 0U); - t73 = (t0 + 12640); - *((int *)t73) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t5) = 1; - goto LAB7; - -LAB6: t12 = (t5 + 4); - *((unsigned int *)t5) = 1; - *((unsigned int *)t12) = 1; - goto LAB7; - -LAB8: t17 = (t0 + 6248); - t18 = (t17 + 56U); - t19 = *((char **)t18); - memset(t20, 0, 8); - t21 = (t19 + 4); - t22 = *((unsigned int *)t21); - t23 = (~(t22)); - t24 = *((unsigned int *)t19); - t25 = (t24 & t23); - t26 = (t25 & 1U); - if (t26 != 0) - goto LAB11; - -LAB12: if (*((unsigned int *)t21) != 0) - goto LAB13; - -LAB14: t29 = *((unsigned int *)t5); - t30 = *((unsigned int *)t20); - t31 = (t29 & t30); - *((unsigned int *)t28) = t31; - t32 = (t5 + 4); - t33 = (t20 + 4); - t34 = (t28 + 4); - t35 = *((unsigned int *)t32); - t36 = *((unsigned int *)t33); - t37 = (t35 | t36); - *((unsigned int *)t34) = t37; - t38 = *((unsigned int *)t34); - t39 = (t38 != 0); - if (t39 == 1) - goto LAB15; - -LAB16: -LAB17: goto LAB10; - -LAB11: *((unsigned int *)t20) = 1; - goto LAB14; - -LAB13: t27 = (t20 + 4); - *((unsigned int *)t20) = 1; - *((unsigned int *)t27) = 1; - goto LAB14; - -LAB15: t40 = *((unsigned int *)t28); - t41 = *((unsigned int *)t34); - *((unsigned int *)t28) = (t40 | t41); - t42 = (t5 + 4); - t43 = (t20 + 4); - t44 = *((unsigned int *)t5); - t45 = (~(t44)); - t46 = *((unsigned int *)t42); - t47 = (~(t46)); - t48 = *((unsigned int *)t20); - t49 = (~(t48)); - t50 = *((unsigned int *)t43); - t51 = (~(t50)); - t52 = (t45 & t47); - t53 = (t49 & t51); - t54 = (~(t52)); - t55 = (~(t53)); - t56 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t56 & t54); - t57 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t57 & t55); - t58 = *((unsigned int *)t28); - *((unsigned int *)t28) = (t58 & t54); - t59 = *((unsigned int *)t28); - *((unsigned int *)t28) = (t59 & t55); - goto LAB17; - -} - -static void NetDecl_31_5(char *t0) -{ - char t5[8]; - char t20[8]; - char t28[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - char *t17; - char *t18; - char *t19; - char *t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - char *t27; - unsigned int t29; - unsigned int t30; - unsigned int t31; - char *t32; - char *t33; - char *t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - char *t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - int t52; - int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - char *t60; - char *t61; - char *t62; - char *t63; - char *t64; - unsigned int t65; - unsigned int t66; - char *t67; - unsigned int t68; - unsigned int t69; - char *t70; - unsigned int t71; - unsigned int t72; - char *t73; - -LAB0: t1 = (t0 + 9528U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(31, ng0); - t2 = (t0 + 5768); - t3 = (t2 + 56U); - t4 = *((char **)t3); - memset(t5, 0, 8); - t6 = (t4 + 4); - t7 = *((unsigned int *)t6); - t8 = (~(t7)); - t9 = *((unsigned int *)t4); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t6) != 0) - goto LAB6; - -LAB7: t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = *((unsigned int *)t13); - t16 = (t14 || t15); - if (t16 > 0) - goto LAB8; - -LAB9: memcpy(t28, t5, 8); - -LAB10: t60 = (t0 + 13024); - t61 = (t60 + 56U); - t62 = *((char **)t61); - t63 = (t62 + 56U); - t64 = *((char **)t63); - memset(t64, 0, 8); - t65 = 1U; - t66 = t65; - t67 = (t28 + 4); - t68 = *((unsigned int *)t28); - t65 = (t65 & t68); - t69 = *((unsigned int *)t67); - t66 = (t66 & t69); - t70 = (t64 + 4); - t71 = *((unsigned int *)t64); - *((unsigned int *)t64) = (t71 | t65); - t72 = *((unsigned int *)t70); - *((unsigned int *)t70) = (t72 | t66); - xsi_driver_vfirst_trans(t60, 0, 0U); - t73 = (t0 + 12656); - *((int *)t73) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t5) = 1; - goto LAB7; - -LAB6: t12 = (t5 + 4); - *((unsigned int *)t5) = 1; - *((unsigned int *)t12) = 1; - goto LAB7; - -LAB8: t17 = (t0 + 5928); - t18 = (t17 + 56U); - t19 = *((char **)t18); - memset(t20, 0, 8); - t21 = (t19 + 4); - t22 = *((unsigned int *)t21); - t23 = (~(t22)); - t24 = *((unsigned int *)t19); - t25 = (t24 & t23); - t26 = (t25 & 1U); - if (t26 != 0) - goto LAB11; - -LAB12: if (*((unsigned int *)t21) != 0) - goto LAB13; - -LAB14: t29 = *((unsigned int *)t5); - t30 = *((unsigned int *)t20); - t31 = (t29 & t30); - *((unsigned int *)t28) = t31; - t32 = (t5 + 4); - t33 = (t20 + 4); - t34 = (t28 + 4); - t35 = *((unsigned int *)t32); - t36 = *((unsigned int *)t33); - t37 = (t35 | t36); - *((unsigned int *)t34) = t37; - t38 = *((unsigned int *)t34); - t39 = (t38 != 0); - if (t39 == 1) - goto LAB15; - -LAB16: -LAB17: goto LAB10; - -LAB11: *((unsigned int *)t20) = 1; - goto LAB14; - -LAB13: t27 = (t20 + 4); - *((unsigned int *)t20) = 1; - *((unsigned int *)t27) = 1; - goto LAB14; - -LAB15: t40 = *((unsigned int *)t28); - t41 = *((unsigned int *)t34); - *((unsigned int *)t28) = (t40 | t41); - t42 = (t5 + 4); - t43 = (t20 + 4); - t44 = *((unsigned int *)t5); - t45 = (~(t44)); - t46 = *((unsigned int *)t42); - t47 = (~(t46)); - t48 = *((unsigned int *)t20); - t49 = (~(t48)); - t50 = *((unsigned int *)t43); - t51 = (~(t50)); - t52 = (t45 & t47); - t53 = (t49 & t51); - t54 = (~(t52)); - t55 = (~(t53)); - t56 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t56 & t54); - t57 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t57 & t55); - t58 = *((unsigned int *)t28); - *((unsigned int *)t28) = (t58 & t54); - t59 = *((unsigned int *)t28); - *((unsigned int *)t28) = (t59 & t55); - goto LAB17; - -} - -static void NetDecl_32_6(char *t0) -{ - char t5[8]; - char t20[8]; - char t28[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - char *t17; - char *t18; - char *t19; - char *t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - char *t27; - unsigned int t29; - unsigned int t30; - unsigned int t31; - char *t32; - char *t33; - char *t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - char *t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - int t52; - int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - char *t60; - char *t61; - char *t62; - char *t63; - char *t64; - unsigned int t65; - unsigned int t66; - char *t67; - unsigned int t68; - unsigned int t69; - char *t70; - unsigned int t71; - unsigned int t72; - char *t73; - -LAB0: t1 = (t0 + 9776U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(32, ng0); - t2 = (t0 + 6408); - t3 = (t2 + 56U); - t4 = *((char **)t3); - memset(t5, 0, 8); - t6 = (t4 + 4); - t7 = *((unsigned int *)t6); - t8 = (~(t7)); - t9 = *((unsigned int *)t4); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t6) != 0) - goto LAB6; - -LAB7: t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = *((unsigned int *)t13); - t16 = (t14 || t15); - if (t16 > 0) - goto LAB8; - -LAB9: memcpy(t28, t5, 8); - -LAB10: t60 = (t0 + 13088); - t61 = (t60 + 56U); - t62 = *((char **)t61); - t63 = (t62 + 56U); - t64 = *((char **)t63); - memset(t64, 0, 8); - t65 = 1U; - t66 = t65; - t67 = (t28 + 4); - t68 = *((unsigned int *)t28); - t65 = (t65 & t68); - t69 = *((unsigned int *)t67); - t66 = (t66 & t69); - t70 = (t64 + 4); - t71 = *((unsigned int *)t64); - *((unsigned int *)t64) = (t71 | t65); - t72 = *((unsigned int *)t70); - *((unsigned int *)t70) = (t72 | t66); - xsi_driver_vfirst_trans(t60, 0, 0U); - t73 = (t0 + 12672); - *((int *)t73) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t5) = 1; - goto LAB7; - -LAB6: t12 = (t5 + 4); - *((unsigned int *)t5) = 1; - *((unsigned int *)t12) = 1; - goto LAB7; - -LAB8: t17 = (t0 + 6568); - t18 = (t17 + 56U); - t19 = *((char **)t18); - memset(t20, 0, 8); - t21 = (t19 + 4); - t22 = *((unsigned int *)t21); - t23 = (~(t22)); - t24 = *((unsigned int *)t19); - t25 = (t24 & t23); - t26 = (t25 & 1U); - if (t26 != 0) - goto LAB11; - -LAB12: if (*((unsigned int *)t21) != 0) - goto LAB13; - -LAB14: t29 = *((unsigned int *)t5); - t30 = *((unsigned int *)t20); - t31 = (t29 & t30); - *((unsigned int *)t28) = t31; - t32 = (t5 + 4); - t33 = (t20 + 4); - t34 = (t28 + 4); - t35 = *((unsigned int *)t32); - t36 = *((unsigned int *)t33); - t37 = (t35 | t36); - *((unsigned int *)t34) = t37; - t38 = *((unsigned int *)t34); - t39 = (t38 != 0); - if (t39 == 1) - goto LAB15; - -LAB16: -LAB17: goto LAB10; - -LAB11: *((unsigned int *)t20) = 1; - goto LAB14; - -LAB13: t27 = (t20 + 4); - *((unsigned int *)t20) = 1; - *((unsigned int *)t27) = 1; - goto LAB14; - -LAB15: t40 = *((unsigned int *)t28); - t41 = *((unsigned int *)t34); - *((unsigned int *)t28) = (t40 | t41); - t42 = (t5 + 4); - t43 = (t20 + 4); - t44 = *((unsigned int *)t5); - t45 = (~(t44)); - t46 = *((unsigned int *)t42); - t47 = (~(t46)); - t48 = *((unsigned int *)t20); - t49 = (~(t48)); - t50 = *((unsigned int *)t43); - t51 = (~(t50)); - t52 = (t45 & t47); - t53 = (t49 & t51); - t54 = (~(t52)); - t55 = (~(t53)); - t56 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t56 & t54); - t57 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t57 & t55); - t58 = *((unsigned int *)t28); - *((unsigned int *)t28) = (t58 & t54); - t59 = *((unsigned int *)t28); - *((unsigned int *)t28) = (t59 & t55); - goto LAB17; - -} - -static void Always_38_7(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - -LAB0: t1 = (t0 + 10024U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(38, ng0); - t2 = (t0 + 12688); - *((int *)t2) = 1; - t3 = (t0 + 10056); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(38, ng0); - -LAB5: xsi_set_current_line(38, ng0); - t4 = (t0 + 1368U); - t5 = *((char **)t4); - t4 = (t0 + 6888); - xsi_vlogvar_wait_assign_value(t4, t5, 0, 0, 1, 0LL); - goto LAB2; - -} - -static void Always_39_8(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - -LAB0: t1 = (t0 + 10272U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(39, ng0); - t2 = (t0 + 12704); - *((int *)t2) = 1; - t3 = (t0 + 10304); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(39, ng0); - -LAB5: xsi_set_current_line(39, ng0); - t4 = (t0 + 6888); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t0 + 7048); - xsi_vlogvar_wait_assign_value(t7, t6, 0, 0, 1, 0LL); - goto LAB2; - -} - -static void Always_40_9(char *t0) -{ - char t7[8]; - char t19[8]; - char t40[8]; - char t48[8]; - char t88[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - char *t14; - char *t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - char *t20; - char *t21; - char *t22; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - char *t31; - unsigned int t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - char *t41; - unsigned int t42; - unsigned int t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - char *t47; - unsigned int t49; - unsigned int t50; - unsigned int t51; - char *t52; - char *t53; - char *t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - char *t62; - char *t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - unsigned int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - int t72; - int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - char *t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - unsigned int t85; - char *t86; - char *t87; - unsigned int t89; - unsigned int t90; - unsigned int t91; - unsigned int t92; - unsigned int t93; - unsigned int t94; - unsigned int t95; - unsigned int t96; - char *t97; - char *t98; - -LAB0: t1 = (t0 + 10520U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(40, ng0); - t2 = (t0 + 12720); - *((int *)t2) = 1; - t3 = (t0 + 10552); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(40, ng0); - -LAB5: xsi_set_current_line(41, ng0); - t4 = (t0 + 7048); - t5 = (t4 + 56U); - t6 = *((char **)t5); - memset(t7, 0, 8); - t8 = (t6 + 4); - t9 = *((unsigned int *)t8); - t10 = (~(t9)); - t11 = *((unsigned int *)t6); - t12 = (t11 & t10); - t13 = (t12 & 1U); - if (t13 != 0) - goto LAB6; - -LAB7: if (*((unsigned int *)t8) != 0) - goto LAB8; - -LAB9: t15 = (t7 + 4); - t16 = *((unsigned int *)t7); - t17 = *((unsigned int *)t15); - t18 = (t16 || t17); - if (t18 > 0) - goto LAB10; - -LAB11: memcpy(t48, t7, 8); - -LAB12: t80 = (t48 + 4); - t81 = *((unsigned int *)t80); - t82 = (~(t81)); - t83 = *((unsigned int *)t48); - t84 = (t83 & t82); - t85 = (t84 != 0); - if (t85 > 0) - goto LAB26; - -LAB27: xsi_set_current_line(42, ng0); - t2 = (t0 + 6728); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng2))); - memset(t7, 0, 8); - t6 = (t4 + 4); - t8 = (t5 + 4); - t9 = *((unsigned int *)t4); - t10 = *((unsigned int *)t5); - t11 = (t9 ^ t10); - t12 = *((unsigned int *)t6); - t13 = *((unsigned int *)t8); - t16 = (t12 ^ t13); - t17 = (t11 | t16); - t18 = *((unsigned int *)t6); - t24 = *((unsigned int *)t8); - t25 = (t18 | t24); - t26 = (~(t25)); - t27 = (t17 & t26); - if (t27 != 0) - goto LAB32; - -LAB29: if (t25 != 0) - goto LAB31; - -LAB30: *((unsigned int *)t7) = 1; - -LAB32: memset(t19, 0, 8); - t15 = (t7 + 4); - t28 = *((unsigned int *)t15); - t32 = (~(t28)); - t33 = *((unsigned int *)t7); - t34 = (t33 & t32); - t35 = (t34 & 1U); - if (t35 != 0) - goto LAB33; - -LAB34: if (*((unsigned int *)t15) != 0) - goto LAB35; - -LAB36: t21 = (t19 + 4); - t36 = *((unsigned int *)t19); - t37 = (!(t36)); - t38 = *((unsigned int *)t21); - t39 = (t37 || t38); - if (t39 > 0) - goto LAB37; - -LAB38: memcpy(t88, t19, 8); - -LAB39: t87 = (t88 + 4); - t92 = *((unsigned int *)t87); - t93 = (~(t92)); - t94 = *((unsigned int *)t88); - t95 = (t94 & t93); - t96 = (t95 != 0); - if (t96 > 0) - goto LAB51; - -LAB52: xsi_set_current_line(43, ng0); - t2 = (t0 + 6728); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng1))); - memset(t7, 0, 8); - xsi_vlog_unsigned_add(t7, 32, t4, 5, t5, 32); - t6 = (t0 + 6728); - xsi_vlogvar_wait_assign_value(t6, t7, 0, 0, 5, 0LL); - -LAB53: -LAB28: goto LAB2; - -LAB6: *((unsigned int *)t7) = 1; - goto LAB9; - -LAB8: t14 = (t7 + 4); - *((unsigned int *)t7) = 1; - *((unsigned int *)t14) = 1; - goto LAB9; - -LAB10: t20 = (t0 + 6888); - t21 = (t20 + 56U); - t22 = *((char **)t21); - memset(t19, 0, 8); - t23 = (t22 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t22); - t27 = (t26 & t25); - t28 = (t27 & 1U); - if (t28 != 0) - goto LAB16; - -LAB14: if (*((unsigned int *)t23) == 0) - goto LAB13; - -LAB15: t29 = (t19 + 4); - *((unsigned int *)t19) = 1; - *((unsigned int *)t29) = 1; - -LAB16: t30 = (t19 + 4); - t31 = (t22 + 4); - t32 = *((unsigned int *)t22); - t33 = (~(t32)); - *((unsigned int *)t19) = t33; - *((unsigned int *)t30) = 0; - if (*((unsigned int *)t31) != 0) - goto LAB18; - -LAB17: t38 = *((unsigned int *)t19); - *((unsigned int *)t19) = (t38 & 1U); - t39 = *((unsigned int *)t30); - *((unsigned int *)t30) = (t39 & 1U); - memset(t40, 0, 8); - t41 = (t19 + 4); - t42 = *((unsigned int *)t41); - t43 = (~(t42)); - t44 = *((unsigned int *)t19); - t45 = (t44 & t43); - t46 = (t45 & 1U); - if (t46 != 0) - goto LAB19; - -LAB20: if (*((unsigned int *)t41) != 0) - goto LAB21; - -LAB22: t49 = *((unsigned int *)t7); - t50 = *((unsigned int *)t40); - t51 = (t49 & t50); - *((unsigned int *)t48) = t51; - t52 = (t7 + 4); - t53 = (t40 + 4); - t54 = (t48 + 4); - t55 = *((unsigned int *)t52); - t56 = *((unsigned int *)t53); - t57 = (t55 | t56); - *((unsigned int *)t54) = t57; - t58 = *((unsigned int *)t54); - t59 = (t58 != 0); - if (t59 == 1) - goto LAB23; - -LAB24: -LAB25: goto LAB12; - -LAB13: *((unsigned int *)t19) = 1; - goto LAB16; - -LAB18: t34 = *((unsigned int *)t19); - t35 = *((unsigned int *)t31); - *((unsigned int *)t19) = (t34 | t35); - t36 = *((unsigned int *)t30); - t37 = *((unsigned int *)t31); - *((unsigned int *)t30) = (t36 | t37); - goto LAB17; - -LAB19: *((unsigned int *)t40) = 1; - goto LAB22; - -LAB21: t47 = (t40 + 4); - *((unsigned int *)t40) = 1; - *((unsigned int *)t47) = 1; - goto LAB22; - -LAB23: t60 = *((unsigned int *)t48); - t61 = *((unsigned int *)t54); - *((unsigned int *)t48) = (t60 | t61); - t62 = (t7 + 4); - t63 = (t40 + 4); - t64 = *((unsigned int *)t7); - t65 = (~(t64)); - t66 = *((unsigned int *)t62); - t67 = (~(t66)); - t68 = *((unsigned int *)t40); - t69 = (~(t68)); - t70 = *((unsigned int *)t63); - t71 = (~(t70)); - t72 = (t65 & t67); - t73 = (t69 & t71); - t74 = (~(t72)); - t75 = (~(t73)); - t76 = *((unsigned int *)t54); - *((unsigned int *)t54) = (t76 & t74); - t77 = *((unsigned int *)t54); - *((unsigned int *)t54) = (t77 & t75); - t78 = *((unsigned int *)t48); - *((unsigned int *)t48) = (t78 & t74); - t79 = *((unsigned int *)t48); - *((unsigned int *)t48) = (t79 & t75); - goto LAB25; - -LAB26: xsi_set_current_line(41, ng0); - t86 = ((char*)((ng1))); - t87 = (t0 + 6728); - xsi_vlogvar_wait_assign_value(t87, t86, 0, 0, 5, 0LL); - goto LAB28; - -LAB31: t14 = (t7 + 4); - *((unsigned int *)t7) = 1; - *((unsigned int *)t14) = 1; - goto LAB32; - -LAB33: *((unsigned int *)t19) = 1; - goto LAB36; - -LAB35: t20 = (t19 + 4); - *((unsigned int *)t19) = 1; - *((unsigned int *)t20) = 1; - goto LAB36; - -LAB37: t22 = (t0 + 6728); - t23 = (t22 + 56U); - t29 = *((char **)t23); - t30 = ((char*)((ng3))); - memset(t40, 0, 8); - t31 = (t29 + 4); - t41 = (t30 + 4); - t42 = *((unsigned int *)t29); - t43 = *((unsigned int *)t30); - t44 = (t42 ^ t43); - t45 = *((unsigned int *)t31); - t46 = *((unsigned int *)t41); - t49 = (t45 ^ t46); - t50 = (t44 | t49); - t51 = *((unsigned int *)t31); - t55 = *((unsigned int *)t41); - t56 = (t51 | t55); - t57 = (~(t56)); - t58 = (t50 & t57); - if (t58 != 0) - goto LAB43; - -LAB40: if (t56 != 0) - goto LAB42; - -LAB41: *((unsigned int *)t40) = 1; - -LAB43: memset(t48, 0, 8); - t52 = (t40 + 4); - t59 = *((unsigned int *)t52); - t60 = (~(t59)); - t61 = *((unsigned int *)t40); - t64 = (t61 & t60); - t65 = (t64 & 1U); - if (t65 != 0) - goto LAB44; - -LAB45: if (*((unsigned int *)t52) != 0) - goto LAB46; - -LAB47: t66 = *((unsigned int *)t19); - t67 = *((unsigned int *)t48); - t68 = (t66 | t67); - *((unsigned int *)t88) = t68; - t54 = (t19 + 4); - t62 = (t48 + 4); - t63 = (t88 + 4); - t69 = *((unsigned int *)t54); - t70 = *((unsigned int *)t62); - t71 = (t69 | t70); - *((unsigned int *)t63) = t71; - t74 = *((unsigned int *)t63); - t75 = (t74 != 0); - if (t75 == 1) - goto LAB48; - -LAB49: -LAB50: goto LAB39; - -LAB42: t47 = (t40 + 4); - *((unsigned int *)t40) = 1; - *((unsigned int *)t47) = 1; - goto LAB43; - -LAB44: *((unsigned int *)t48) = 1; - goto LAB47; - -LAB46: t53 = (t48 + 4); - *((unsigned int *)t48) = 1; - *((unsigned int *)t53) = 1; - goto LAB47; - -LAB48: t76 = *((unsigned int *)t88); - t77 = *((unsigned int *)t63); - *((unsigned int *)t88) = (t76 | t77); - t80 = (t19 + 4); - t86 = (t48 + 4); - t78 = *((unsigned int *)t80); - t79 = (~(t78)); - t81 = *((unsigned int *)t19); - t72 = (t81 & t79); - t82 = *((unsigned int *)t86); - t83 = (~(t82)); - t84 = *((unsigned int *)t48); - t73 = (t84 & t83); - t85 = (~(t72)); - t89 = (~(t73)); - t90 = *((unsigned int *)t63); - *((unsigned int *)t63) = (t90 & t85); - t91 = *((unsigned int *)t63); - *((unsigned int *)t63) = (t91 & t89); - goto LAB50; - -LAB51: xsi_set_current_line(42, ng0); - t97 = ((char*)((ng2))); - t98 = (t0 + 6728); - xsi_vlogvar_wait_assign_value(t98, t97, 0, 0, 5, 0LL); - goto LAB53; - -} - -static void Always_48_10(char *t0) -{ - char t8[8]; - char t24[8]; - char t36[8]; - char t57[8]; - char t65[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t9; - char *t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - char *t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - unsigned int t29; - unsigned int t30; - char *t31; - char *t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - char *t37; - char *t38; - char *t39; - char *t40; - unsigned int t41; - unsigned int t42; - unsigned int t43; - unsigned int t44; - unsigned int t45; - char *t46; - char *t47; - char *t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - char *t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - char *t64; - unsigned int t66; - unsigned int t67; - unsigned int t68; - char *t69; - char *t70; - char *t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - char *t79; - char *t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - int t89; - int t90; - unsigned int t91; - unsigned int t92; - unsigned int t93; - unsigned int t94; - unsigned int t95; - unsigned int t96; - char *t97; - -LAB0: t1 = (t0 + 10768U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(48, ng0); - t2 = (t0 + 12736); - *((int *)t2) = 1; - t3 = (t0 + 10800); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(48, ng0); - -LAB5: xsi_set_current_line(48, ng0); - t4 = (t0 + 6728); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = ((char*)((ng4))); - memset(t8, 0, 8); - t9 = (t6 + 4); - t10 = (t7 + 4); - t11 = *((unsigned int *)t6); - t12 = *((unsigned int *)t7); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t9); - t15 = *((unsigned int *)t10); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t9); - t19 = *((unsigned int *)t10); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB9; - -LAB6: if (t20 != 0) - goto LAB8; - -LAB7: *((unsigned int *)t8) = 1; - -LAB9: memset(t24, 0, 8); - t25 = (t8 + 4); - t26 = *((unsigned int *)t25); - t27 = (~(t26)); - t28 = *((unsigned int *)t8); - t29 = (t28 & t27); - t30 = (t29 & 1U); - if (t30 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t25) != 0) - goto LAB12; - -LAB13: t32 = (t24 + 4); - t33 = *((unsigned int *)t24); - t34 = *((unsigned int *)t32); - t35 = (t33 || t34); - if (t35 > 0) - goto LAB14; - -LAB15: memcpy(t65, t24, 8); - -LAB16: t97 = (t0 + 7208); - xsi_vlogvar_wait_assign_value(t97, t65, 0, 0, 1, 0LL); - goto LAB2; - -LAB8: t23 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t23) = 1; - goto LAB9; - -LAB10: *((unsigned int *)t24) = 1; - goto LAB13; - -LAB12: t31 = (t24 + 4); - *((unsigned int *)t24) = 1; - *((unsigned int *)t31) = 1; - goto LAB13; - -LAB14: t37 = (t0 + 4328); - t38 = (t37 + 56U); - t39 = *((char **)t38); - memset(t36, 0, 8); - t40 = (t39 + 4); - t41 = *((unsigned int *)t40); - t42 = (~(t41)); - t43 = *((unsigned int *)t39); - t44 = (t43 & t42); - t45 = (t44 & 1U); - if (t45 != 0) - goto LAB20; - -LAB18: if (*((unsigned int *)t40) == 0) - goto LAB17; - -LAB19: t46 = (t36 + 4); - *((unsigned int *)t36) = 1; - *((unsigned int *)t46) = 1; - -LAB20: t47 = (t36 + 4); - t48 = (t39 + 4); - t49 = *((unsigned int *)t39); - t50 = (~(t49)); - *((unsigned int *)t36) = t50; - *((unsigned int *)t47) = 0; - if (*((unsigned int *)t48) != 0) - goto LAB22; - -LAB21: t55 = *((unsigned int *)t36); - *((unsigned int *)t36) = (t55 & 1U); - t56 = *((unsigned int *)t47); - *((unsigned int *)t47) = (t56 & 1U); - memset(t57, 0, 8); - t58 = (t36 + 4); - t59 = *((unsigned int *)t58); - t60 = (~(t59)); - t61 = *((unsigned int *)t36); - t62 = (t61 & t60); - t63 = (t62 & 1U); - if (t63 != 0) - goto LAB23; - -LAB24: if (*((unsigned int *)t58) != 0) - goto LAB25; - -LAB26: t66 = *((unsigned int *)t24); - t67 = *((unsigned int *)t57); - t68 = (t66 & t67); - *((unsigned int *)t65) = t68; - t69 = (t24 + 4); - t70 = (t57 + 4); - t71 = (t65 + 4); - t72 = *((unsigned int *)t69); - t73 = *((unsigned int *)t70); - t74 = (t72 | t73); - *((unsigned int *)t71) = t74; - t75 = *((unsigned int *)t71); - t76 = (t75 != 0); - if (t76 == 1) - goto LAB27; - -LAB28: -LAB29: goto LAB16; - -LAB17: *((unsigned int *)t36) = 1; - goto LAB20; - -LAB22: t51 = *((unsigned int *)t36); - t52 = *((unsigned int *)t48); - *((unsigned int *)t36) = (t51 | t52); - t53 = *((unsigned int *)t47); - t54 = *((unsigned int *)t48); - *((unsigned int *)t47) = (t53 | t54); - goto LAB21; - -LAB23: *((unsigned int *)t57) = 1; - goto LAB26; - -LAB25: t64 = (t57 + 4); - *((unsigned int *)t57) = 1; - *((unsigned int *)t64) = 1; - goto LAB26; - -LAB27: t77 = *((unsigned int *)t65); - t78 = *((unsigned int *)t71); - *((unsigned int *)t65) = (t77 | t78); - t79 = (t24 + 4); - t80 = (t57 + 4); - t81 = *((unsigned int *)t24); - t82 = (~(t81)); - t83 = *((unsigned int *)t79); - t84 = (~(t83)); - t85 = *((unsigned int *)t57); - t86 = (~(t85)); - t87 = *((unsigned int *)t80); - t88 = (~(t87)); - t89 = (t82 & t84); - t90 = (t86 & t88); - t91 = (~(t89)); - t92 = (~(t90)); - t93 = *((unsigned int *)t71); - *((unsigned int *)t71) = (t93 & t91); - t94 = *((unsigned int *)t71); - *((unsigned int *)t71) = (t94 & t92); - t95 = *((unsigned int *)t65); - *((unsigned int *)t65) = (t95 & t91); - t96 = *((unsigned int *)t65); - *((unsigned int *)t65) = (t96 & t92); - goto LAB29; - -} - -static void Always_49_11(char *t0) -{ - char t8[8]; - char t24[8]; - char t39[8]; - char t47[8]; - char t79[8]; - char t93[8]; - char t100[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t9; - char *t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - char *t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - unsigned int t29; - unsigned int t30; - char *t31; - char *t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - char *t36; - char *t37; - char *t38; - char *t40; - unsigned int t41; - unsigned int t42; - unsigned int t43; - unsigned int t44; - unsigned int t45; - char *t46; - unsigned int t48; - unsigned int t49; - unsigned int t50; - char *t51; - char *t52; - char *t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - char *t61; - char *t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - unsigned int t68; - unsigned int t69; - unsigned int t70; - int t71; - int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - char *t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - unsigned int t85; - char *t86; - char *t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - char *t91; - char *t92; - unsigned int t94; - unsigned int t95; - unsigned int t96; - unsigned int t97; - unsigned int t98; - char *t99; - unsigned int t101; - unsigned int t102; - unsigned int t103; - char *t104; - char *t105; - char *t106; - unsigned int t107; - unsigned int t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - unsigned int t112; - unsigned int t113; - char *t114; - char *t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - unsigned int t120; - unsigned int t121; - unsigned int t122; - unsigned int t123; - int t124; - int t125; - unsigned int t126; - unsigned int t127; - unsigned int t128; - unsigned int t129; - unsigned int t130; - unsigned int t131; - char *t132; - unsigned int t133; - unsigned int t134; - unsigned int t135; - unsigned int t136; - unsigned int t137; - char *t138; - char *t139; - -LAB0: t1 = (t0 + 11016U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(49, ng0); - t2 = (t0 + 12752); - *((int *)t2) = 1; - t3 = (t0 + 11048); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(49, ng0); - -LAB5: xsi_set_current_line(50, ng0); - t4 = (t0 + 6728); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = ((char*)((ng5))); - memset(t8, 0, 8); - t9 = (t6 + 4); - t10 = (t7 + 4); - t11 = *((unsigned int *)t6); - t12 = *((unsigned int *)t7); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t9); - t15 = *((unsigned int *)t10); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t9); - t19 = *((unsigned int *)t10); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB9; - -LAB6: if (t20 != 0) - goto LAB8; - -LAB7: *((unsigned int *)t8) = 1; - -LAB9: memset(t24, 0, 8); - t25 = (t8 + 4); - t26 = *((unsigned int *)t25); - t27 = (~(t26)); - t28 = *((unsigned int *)t8); - t29 = (t28 & t27); - t30 = (t29 & 1U); - if (t30 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t25) != 0) - goto LAB12; - -LAB13: t32 = (t24 + 4); - t33 = *((unsigned int *)t24); - t34 = *((unsigned int *)t32); - t35 = (t33 || t34); - if (t35 > 0) - goto LAB14; - -LAB15: memcpy(t47, t24, 8); - -LAB16: memset(t79, 0, 8); - t80 = (t47 + 4); - t81 = *((unsigned int *)t80); - t82 = (~(t81)); - t83 = *((unsigned int *)t47); - t84 = (t83 & t82); - t85 = (t84 & 1U); - if (t85 != 0) - goto LAB24; - -LAB25: if (*((unsigned int *)t80) != 0) - goto LAB26; - -LAB27: t87 = (t79 + 4); - t88 = *((unsigned int *)t79); - t89 = *((unsigned int *)t87); - t90 = (t88 || t89); - if (t90 > 0) - goto LAB28; - -LAB29: memcpy(t100, t79, 8); - -LAB30: t132 = (t100 + 4); - t133 = *((unsigned int *)t132); - t134 = (~(t133)); - t135 = *((unsigned int *)t100); - t136 = (t135 & t134); - t137 = (t136 != 0); - if (t137 > 0) - goto LAB38; - -LAB39: xsi_set_current_line(51, ng0); - t2 = (t0 + 6728); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng2))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB44; - -LAB41: if (t20 != 0) - goto LAB43; - -LAB42: *((unsigned int *)t8) = 1; - -LAB44: t10 = (t8 + 4); - t26 = *((unsigned int *)t10); - t27 = (~(t26)); - t28 = *((unsigned int *)t8); - t29 = (t28 & t27); - t30 = (t29 != 0); - if (t30 > 0) - goto LAB45; - -LAB46: -LAB47: -LAB40: goto LAB2; - -LAB8: t23 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t23) = 1; - goto LAB9; - -LAB10: *((unsigned int *)t24) = 1; - goto LAB13; - -LAB12: t31 = (t24 + 4); - *((unsigned int *)t24) = 1; - *((unsigned int *)t31) = 1; - goto LAB13; - -LAB14: t36 = (t0 + 4968); - t37 = (t36 + 56U); - t38 = *((char **)t37); - memset(t39, 0, 8); - t40 = (t38 + 4); - t41 = *((unsigned int *)t40); - t42 = (~(t41)); - t43 = *((unsigned int *)t38); - t44 = (t43 & t42); - t45 = (t44 & 1U); - if (t45 != 0) - goto LAB17; - -LAB18: if (*((unsigned int *)t40) != 0) - goto LAB19; - -LAB20: t48 = *((unsigned int *)t24); - t49 = *((unsigned int *)t39); - t50 = (t48 & t49); - *((unsigned int *)t47) = t50; - t51 = (t24 + 4); - t52 = (t39 + 4); - t53 = (t47 + 4); - t54 = *((unsigned int *)t51); - t55 = *((unsigned int *)t52); - t56 = (t54 | t55); - *((unsigned int *)t53) = t56; - t57 = *((unsigned int *)t53); - t58 = (t57 != 0); - if (t58 == 1) - goto LAB21; - -LAB22: -LAB23: goto LAB16; - -LAB17: *((unsigned int *)t39) = 1; - goto LAB20; - -LAB19: t46 = (t39 + 4); - *((unsigned int *)t39) = 1; - *((unsigned int *)t46) = 1; - goto LAB20; - -LAB21: t59 = *((unsigned int *)t47); - t60 = *((unsigned int *)t53); - *((unsigned int *)t47) = (t59 | t60); - t61 = (t24 + 4); - t62 = (t39 + 4); - t63 = *((unsigned int *)t24); - t64 = (~(t63)); - t65 = *((unsigned int *)t61); - t66 = (~(t65)); - t67 = *((unsigned int *)t39); - t68 = (~(t67)); - t69 = *((unsigned int *)t62); - t70 = (~(t69)); - t71 = (t64 & t66); - t72 = (t68 & t70); - t73 = (~(t71)); - t74 = (~(t72)); - t75 = *((unsigned int *)t53); - *((unsigned int *)t53) = (t75 & t73); - t76 = *((unsigned int *)t53); - *((unsigned int *)t53) = (t76 & t74); - t77 = *((unsigned int *)t47); - *((unsigned int *)t47) = (t77 & t73); - t78 = *((unsigned int *)t47); - *((unsigned int *)t47) = (t78 & t74); - goto LAB23; - -LAB24: *((unsigned int *)t79) = 1; - goto LAB27; - -LAB26: t86 = (t79 + 4); - *((unsigned int *)t79) = 1; - *((unsigned int *)t86) = 1; - goto LAB27; - -LAB28: t91 = (t0 + 3288U); - t92 = *((char **)t91); - memset(t93, 0, 8); - t91 = (t92 + 4); - t94 = *((unsigned int *)t91); - t95 = (~(t94)); - t96 = *((unsigned int *)t92); - t97 = (t96 & t95); - t98 = (t97 & 1U); - if (t98 != 0) - goto LAB31; - -LAB32: if (*((unsigned int *)t91) != 0) - goto LAB33; - -LAB34: t101 = *((unsigned int *)t79); - t102 = *((unsigned int *)t93); - t103 = (t101 & t102); - *((unsigned int *)t100) = t103; - t104 = (t79 + 4); - t105 = (t93 + 4); - t106 = (t100 + 4); - t107 = *((unsigned int *)t104); - t108 = *((unsigned int *)t105); - t109 = (t107 | t108); - *((unsigned int *)t106) = t109; - t110 = *((unsigned int *)t106); - t111 = (t110 != 0); - if (t111 == 1) - goto LAB35; - -LAB36: -LAB37: goto LAB30; - -LAB31: *((unsigned int *)t93) = 1; - goto LAB34; - -LAB33: t99 = (t93 + 4); - *((unsigned int *)t93) = 1; - *((unsigned int *)t99) = 1; - goto LAB34; - -LAB35: t112 = *((unsigned int *)t100); - t113 = *((unsigned int *)t106); - *((unsigned int *)t100) = (t112 | t113); - t114 = (t79 + 4); - t115 = (t93 + 4); - t116 = *((unsigned int *)t79); - t117 = (~(t116)); - t118 = *((unsigned int *)t114); - t119 = (~(t118)); - t120 = *((unsigned int *)t93); - t121 = (~(t120)); - t122 = *((unsigned int *)t115); - t123 = (~(t122)); - t124 = (t117 & t119); - t125 = (t121 & t123); - t126 = (~(t124)); - t127 = (~(t125)); - t128 = *((unsigned int *)t106); - *((unsigned int *)t106) = (t128 & t126); - t129 = *((unsigned int *)t106); - *((unsigned int *)t106) = (t129 & t127); - t130 = *((unsigned int *)t100); - *((unsigned int *)t100) = (t130 & t126); - t131 = *((unsigned int *)t100); - *((unsigned int *)t100) = (t131 & t127); - goto LAB37; - -LAB38: xsi_set_current_line(50, ng0); - t138 = ((char*)((ng2))); - t139 = (t0 + 4328); - xsi_vlogvar_wait_assign_value(t139, t138, 0, 0, 1, 0LL); - goto LAB40; - -LAB43: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB44; - -LAB45: xsi_set_current_line(51, ng0); - t23 = ((char*)((ng1))); - t25 = (t0 + 4328); - xsi_vlogvar_wait_assign_value(t25, t23, 0, 0, 1, 0LL); - goto LAB47; - -} - -static void Always_56_12(char *t0) -{ - char t8[8]; - char t39[8]; - char t66[8]; - char t67[8]; - char t68[8]; - char t94[8]; - char t109[8]; - char t116[8]; - char t144[8]; - char t159[8]; - char t166[8]; - char t194[8]; - char t202[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t9; - char *t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - char *t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - unsigned int t29; - char *t30; - char *t31; - char *t32; - char *t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - char *t40; - char *t41; - unsigned int t42; - unsigned int t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - char *t47; - char *t48; - char *t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - char *t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - char *t64; - char *t65; - unsigned int t69; - unsigned int t70; - char *t71; - char *t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - char *t80; - char *t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - int t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - int t89; - unsigned int t90; - unsigned int t91; - unsigned int t92; - unsigned int t93; - char *t95; - unsigned int t96; - unsigned int t97; - unsigned int t98; - unsigned int t99; - unsigned int t100; - char *t101; - char *t102; - unsigned int t103; - unsigned int t104; - unsigned int t105; - unsigned int t106; - char *t107; - char *t108; - unsigned int t110; - unsigned int t111; - unsigned int t112; - unsigned int t113; - unsigned int t114; - char *t115; - unsigned int t117; - unsigned int t118; - unsigned int t119; - char *t120; - char *t121; - char *t122; - unsigned int t123; - unsigned int t124; - unsigned int t125; - unsigned int t126; - unsigned int t127; - unsigned int t128; - unsigned int t129; - char *t130; - char *t131; - unsigned int t132; - unsigned int t133; - unsigned int t134; - int t135; - unsigned int t136; - unsigned int t137; - unsigned int t138; - int t139; - unsigned int t140; - unsigned int t141; - unsigned int t142; - unsigned int t143; - char *t145; - unsigned int t146; - unsigned int t147; - unsigned int t148; - unsigned int t149; - unsigned int t150; - char *t151; - char *t152; - unsigned int t153; - unsigned int t154; - unsigned int t155; - unsigned int t156; - char *t157; - char *t158; - unsigned int t160; - unsigned int t161; - unsigned int t162; - unsigned int t163; - unsigned int t164; - char *t165; - unsigned int t167; - unsigned int t168; - unsigned int t169; - char *t170; - char *t171; - char *t172; - unsigned int t173; - unsigned int t174; - unsigned int t175; - unsigned int t176; - unsigned int t177; - unsigned int t178; - unsigned int t179; - char *t180; - char *t181; - unsigned int t182; - unsigned int t183; - unsigned int t184; - int t185; - unsigned int t186; - unsigned int t187; - unsigned int t188; - int t189; - unsigned int t190; - unsigned int t191; - unsigned int t192; - unsigned int t193; - char *t195; - unsigned int t196; - unsigned int t197; - unsigned int t198; - unsigned int t199; - unsigned int t200; - char *t201; - unsigned int t203; - unsigned int t204; - unsigned int t205; - char *t206; - char *t207; - char *t208; - unsigned int t209; - unsigned int t210; - unsigned int t211; - unsigned int t212; - unsigned int t213; - unsigned int t214; - unsigned int t215; - char *t216; - char *t217; - unsigned int t218; - unsigned int t219; - unsigned int t220; - unsigned int t221; - unsigned int t222; - unsigned int t223; - unsigned int t224; - unsigned int t225; - int t226; - int t227; - unsigned int t228; - unsigned int t229; - unsigned int t230; - unsigned int t231; - unsigned int t232; - unsigned int t233; - char *t234; - unsigned int t235; - unsigned int t236; - unsigned int t237; - unsigned int t238; - unsigned int t239; - char *t240; - char *t241; - -LAB0: t1 = (t0 + 11264U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(56, ng0); - t2 = (t0 + 12768); - *((int *)t2) = 1; - t3 = (t0 + 11296); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(56, ng0); - -LAB5: xsi_set_current_line(57, ng0); - t4 = (t0 + 7368); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = ((char*)((ng2))); - memset(t8, 0, 8); - t9 = (t6 + 4); - t10 = (t7 + 4); - t11 = *((unsigned int *)t6); - t12 = *((unsigned int *)t7); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t9); - t15 = *((unsigned int *)t10); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t9); - t19 = *((unsigned int *)t10); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB9; - -LAB6: if (t20 != 0) - goto LAB8; - -LAB7: *((unsigned int *)t8) = 1; - -LAB9: t24 = (t8 + 4); - t25 = *((unsigned int *)t24); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB10; - -LAB11: xsi_set_current_line(71, ng0); - t2 = (t0 + 7368); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng1))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB33; - -LAB30: if (t20 != 0) - goto LAB32; - -LAB31: *((unsigned int *)t8) = 1; - -LAB33: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB34; - -LAB35: xsi_set_current_line(76, ng0); - t2 = (t0 + 7368); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng6))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB41; - -LAB38: if (t20 != 0) - goto LAB40; - -LAB39: *((unsigned int *)t8) = 1; - -LAB41: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB42; - -LAB43: xsi_set_current_line(80, ng0); - t2 = (t0 + 7368); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng7))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB49; - -LAB46: if (t20 != 0) - goto LAB48; - -LAB47: *((unsigned int *)t8) = 1; - -LAB49: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB50; - -LAB51: xsi_set_current_line(84, ng0); - t2 = (t0 + 7368); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng8))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB57; - -LAB54: if (t20 != 0) - goto LAB56; - -LAB55: *((unsigned int *)t8) = 1; - -LAB57: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB58; - -LAB59: xsi_set_current_line(88, ng0); - t2 = (t0 + 7368); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng9))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB65; - -LAB62: if (t20 != 0) - goto LAB64; - -LAB63: *((unsigned int *)t8) = 1; - -LAB65: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB66; - -LAB67: xsi_set_current_line(98, ng0); - t2 = (t0 + 7368); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng10))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB140; - -LAB137: if (t20 != 0) - goto LAB139; - -LAB138: *((unsigned int *)t8) = 1; - -LAB140: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB141; - -LAB142: xsi_set_current_line(102, ng0); - t2 = (t0 + 7368); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng5))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB148; - -LAB145: if (t20 != 0) - goto LAB147; - -LAB146: *((unsigned int *)t8) = 1; - -LAB148: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB149; - -LAB150: -LAB151: -LAB143: -LAB68: -LAB60: -LAB52: -LAB44: -LAB36: -LAB12: goto LAB2; - -LAB8: t23 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t23) = 1; - goto LAB9; - -LAB10: xsi_set_current_line(57, ng0); - -LAB13: xsi_set_current_line(58, ng0); - t30 = (t0 + 5288); - t31 = (t30 + 56U); - t32 = *((char **)t31); - t33 = (t32 + 4); - t34 = *((unsigned int *)t33); - t35 = (~(t34)); - t36 = *((unsigned int *)t32); - t37 = (t36 & t35); - t38 = (t37 != 0); - if (t38 > 0) - goto LAB14; - -LAB15: xsi_set_current_line(66, ng0); - -LAB29: xsi_set_current_line(67, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 3, 0LL); - xsi_set_current_line(68, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(69, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4648); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - -LAB16: goto LAB12; - -LAB14: xsi_set_current_line(58, ng0); - -LAB17: xsi_set_current_line(59, ng0); - t40 = (t0 + 1208U); - t41 = *((char **)t40); - memset(t39, 0, 8); - t40 = (t41 + 4); - t42 = *((unsigned int *)t40); - t43 = (~(t42)); - t44 = *((unsigned int *)t41); - t45 = (t44 & t43); - t46 = (t45 & 1U); - if (t46 != 0) - goto LAB21; - -LAB19: if (*((unsigned int *)t40) == 0) - goto LAB18; - -LAB20: t47 = (t39 + 4); - *((unsigned int *)t39) = 1; - *((unsigned int *)t47) = 1; - -LAB21: t48 = (t39 + 4); - t49 = (t41 + 4); - t50 = *((unsigned int *)t41); - t51 = (~(t50)); - *((unsigned int *)t39) = t51; - *((unsigned int *)t48) = 0; - if (*((unsigned int *)t49) != 0) - goto LAB23; - -LAB22: t56 = *((unsigned int *)t39); - *((unsigned int *)t39) = (t56 & 1U); - t57 = *((unsigned int *)t48); - *((unsigned int *)t48) = (t57 & 1U); - t58 = (t39 + 4); - t59 = *((unsigned int *)t58); - t60 = (~(t59)); - t61 = *((unsigned int *)t39); - t62 = (t61 & t60); - t63 = (t62 != 0); - if (t63 > 0) - goto LAB24; - -LAB25: xsi_set_current_line(61, ng0); - -LAB28: xsi_set_current_line(62, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 3, 0LL); - -LAB26: xsi_set_current_line(64, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(65, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4648); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB16; - -LAB18: *((unsigned int *)t39) = 1; - goto LAB21; - -LAB23: t52 = *((unsigned int *)t39); - t53 = *((unsigned int *)t49); - *((unsigned int *)t39) = (t52 | t53); - t54 = *((unsigned int *)t48); - t55 = *((unsigned int *)t49); - *((unsigned int *)t48) = (t54 | t55); - goto LAB22; - -LAB24: xsi_set_current_line(59, ng0); - -LAB27: xsi_set_current_line(60, ng0); - t64 = ((char*)((ng1))); - t65 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t65, t64, 0, 0, 3, 0LL); - goto LAB26; - -LAB32: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB33; - -LAB34: xsi_set_current_line(71, ng0); - -LAB37: xsi_set_current_line(72, ng0); - t23 = ((char*)((ng6))); - t24 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(73, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(74, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4648); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(75, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5128); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB36; - -LAB40: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB41; - -LAB42: xsi_set_current_line(76, ng0); - -LAB45: xsi_set_current_line(77, ng0); - t23 = ((char*)((ng7))); - t24 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(78, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(79, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4648); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB44; - -LAB48: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB49; - -LAB50: xsi_set_current_line(80, ng0); - -LAB53: xsi_set_current_line(81, ng0); - t23 = ((char*)((ng8))); - t24 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(82, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(83, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4648); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB52; - -LAB56: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB57; - -LAB58: xsi_set_current_line(84, ng0); - -LAB61: xsi_set_current_line(85, ng0); - t23 = ((char*)((ng9))); - t24 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(86, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(87, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4648); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB60; - -LAB64: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB65; - -LAB66: xsi_set_current_line(88, ng0); - -LAB69: xsi_set_current_line(89, ng0); - t23 = (t0 + 1208U); - t24 = *((char **)t23); - memset(t39, 0, 8); - t23 = (t24 + 4); - t34 = *((unsigned int *)t23); - t35 = (~(t34)); - t36 = *((unsigned int *)t24); - t37 = (t36 & t35); - t38 = (t37 & 1U); - if (t38 != 0) - goto LAB70; - -LAB71: if (*((unsigned int *)t23) != 0) - goto LAB72; - -LAB73: t31 = (t39 + 4); - t42 = *((unsigned int *)t39); - t43 = *((unsigned int *)t31); - t44 = (t42 || t43); - if (t44 > 0) - goto LAB74; - -LAB75: memcpy(t202, t39, 8); - -LAB76: t234 = (t202 + 4); - t235 = *((unsigned int *)t234); - t236 = (~(t235)); - t237 = *((unsigned int *)t202); - t238 = (t237 & t236); - t239 = (t238 != 0); - if (t239 > 0) - goto LAB126; - -LAB127: xsi_set_current_line(93, ng0); - -LAB136: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng9))); - t3 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 3, 0LL); - xsi_set_current_line(95, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - -LAB128: xsi_set_current_line(97, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4648); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB68; - -LAB70: *((unsigned int *)t39) = 1; - goto LAB73; - -LAB72: t30 = (t39 + 4); - *((unsigned int *)t39) = 1; - *((unsigned int *)t30) = 1; - goto LAB73; - -LAB74: t32 = (t0 + 2968U); - t33 = *((char **)t32); - memset(t66, 0, 8); - t32 = (t33 + 4); - t45 = *((unsigned int *)t32); - t46 = (~(t45)); - t50 = *((unsigned int *)t33); - t51 = (t50 & t46); - t52 = (t51 & 1U); - if (t52 != 0) - goto LAB77; - -LAB78: if (*((unsigned int *)t32) != 0) - goto LAB79; - -LAB80: t41 = (t66 + 4); - t53 = *((unsigned int *)t66); - t54 = (!(t53)); - t55 = *((unsigned int *)t41); - t56 = (t54 || t55); - if (t56 > 0) - goto LAB81; - -LAB82: memcpy(t68, t66, 8); - -LAB83: memset(t94, 0, 8); - t95 = (t68 + 4); - t96 = *((unsigned int *)t95); - t97 = (~(t96)); - t98 = *((unsigned int *)t68); - t99 = (t98 & t97); - t100 = (t99 & 1U); - if (t100 != 0) - goto LAB91; - -LAB92: if (*((unsigned int *)t95) != 0) - goto LAB93; - -LAB94: t102 = (t94 + 4); - t103 = *((unsigned int *)t94); - t104 = (!(t103)); - t105 = *((unsigned int *)t102); - t106 = (t104 || t105); - if (t106 > 0) - goto LAB95; - -LAB96: memcpy(t116, t94, 8); - -LAB97: memset(t144, 0, 8); - t145 = (t116 + 4); - t146 = *((unsigned int *)t145); - t147 = (~(t146)); - t148 = *((unsigned int *)t116); - t149 = (t148 & t147); - t150 = (t149 & 1U); - if (t150 != 0) - goto LAB105; - -LAB106: if (*((unsigned int *)t145) != 0) - goto LAB107; - -LAB108: t152 = (t144 + 4); - t153 = *((unsigned int *)t144); - t154 = (!(t153)); - t155 = *((unsigned int *)t152); - t156 = (t154 || t155); - if (t156 > 0) - goto LAB109; - -LAB110: memcpy(t166, t144, 8); - -LAB111: memset(t194, 0, 8); - t195 = (t166 + 4); - t196 = *((unsigned int *)t195); - t197 = (~(t196)); - t198 = *((unsigned int *)t166); - t199 = (t198 & t197); - t200 = (t199 & 1U); - if (t200 != 0) - goto LAB119; - -LAB120: if (*((unsigned int *)t195) != 0) - goto LAB121; - -LAB122: t203 = *((unsigned int *)t39); - t204 = *((unsigned int *)t194); - t205 = (t203 & t204); - *((unsigned int *)t202) = t205; - t206 = (t39 + 4); - t207 = (t194 + 4); - t208 = (t202 + 4); - t209 = *((unsigned int *)t206); - t210 = *((unsigned int *)t207); - t211 = (t209 | t210); - *((unsigned int *)t208) = t211; - t212 = *((unsigned int *)t208); - t213 = (t212 != 0); - if (t213 == 1) - goto LAB123; - -LAB124: -LAB125: goto LAB76; - -LAB77: *((unsigned int *)t66) = 1; - goto LAB80; - -LAB79: t40 = (t66 + 4); - *((unsigned int *)t66) = 1; - *((unsigned int *)t40) = 1; - goto LAB80; - -LAB81: t47 = (t0 + 7208); - t48 = (t47 + 56U); - t49 = *((char **)t48); - memset(t67, 0, 8); - t58 = (t49 + 4); - t57 = *((unsigned int *)t58); - t59 = (~(t57)); - t60 = *((unsigned int *)t49); - t61 = (t60 & t59); - t62 = (t61 & 1U); - if (t62 != 0) - goto LAB84; - -LAB85: if (*((unsigned int *)t58) != 0) - goto LAB86; - -LAB87: t63 = *((unsigned int *)t66); - t69 = *((unsigned int *)t67); - t70 = (t63 | t69); - *((unsigned int *)t68) = t70; - t65 = (t66 + 4); - t71 = (t67 + 4); - t72 = (t68 + 4); - t73 = *((unsigned int *)t65); - t74 = *((unsigned int *)t71); - t75 = (t73 | t74); - *((unsigned int *)t72) = t75; - t76 = *((unsigned int *)t72); - t77 = (t76 != 0); - if (t77 == 1) - goto LAB88; - -LAB89: -LAB90: goto LAB83; - -LAB84: *((unsigned int *)t67) = 1; - goto LAB87; - -LAB86: t64 = (t67 + 4); - *((unsigned int *)t67) = 1; - *((unsigned int *)t64) = 1; - goto LAB87; - -LAB88: t78 = *((unsigned int *)t68); - t79 = *((unsigned int *)t72); - *((unsigned int *)t68) = (t78 | t79); - t80 = (t66 + 4); - t81 = (t67 + 4); - t82 = *((unsigned int *)t80); - t83 = (~(t82)); - t84 = *((unsigned int *)t66); - t85 = (t84 & t83); - t86 = *((unsigned int *)t81); - t87 = (~(t86)); - t88 = *((unsigned int *)t67); - t89 = (t88 & t87); - t90 = (~(t85)); - t91 = (~(t89)); - t92 = *((unsigned int *)t72); - *((unsigned int *)t72) = (t92 & t90); - t93 = *((unsigned int *)t72); - *((unsigned int *)t72) = (t93 & t91); - goto LAB90; - -LAB91: *((unsigned int *)t94) = 1; - goto LAB94; - -LAB93: t101 = (t94 + 4); - *((unsigned int *)t94) = 1; - *((unsigned int *)t101) = 1; - goto LAB94; - -LAB95: t107 = (t0 + 3128U); - t108 = *((char **)t107); - memset(t109, 0, 8); - t107 = (t108 + 4); - t110 = *((unsigned int *)t107); - t111 = (~(t110)); - t112 = *((unsigned int *)t108); - t113 = (t112 & t111); - t114 = (t113 & 1U); - if (t114 != 0) - goto LAB98; - -LAB99: if (*((unsigned int *)t107) != 0) - goto LAB100; - -LAB101: t117 = *((unsigned int *)t94); - t118 = *((unsigned int *)t109); - t119 = (t117 | t118); - *((unsigned int *)t116) = t119; - t120 = (t94 + 4); - t121 = (t109 + 4); - t122 = (t116 + 4); - t123 = *((unsigned int *)t120); - t124 = *((unsigned int *)t121); - t125 = (t123 | t124); - *((unsigned int *)t122) = t125; - t126 = *((unsigned int *)t122); - t127 = (t126 != 0); - if (t127 == 1) - goto LAB102; - -LAB103: -LAB104: goto LAB97; - -LAB98: *((unsigned int *)t109) = 1; - goto LAB101; - -LAB100: t115 = (t109 + 4); - *((unsigned int *)t109) = 1; - *((unsigned int *)t115) = 1; - goto LAB101; - -LAB102: t128 = *((unsigned int *)t116); - t129 = *((unsigned int *)t122); - *((unsigned int *)t116) = (t128 | t129); - t130 = (t94 + 4); - t131 = (t109 + 4); - t132 = *((unsigned int *)t130); - t133 = (~(t132)); - t134 = *((unsigned int *)t94); - t135 = (t134 & t133); - t136 = *((unsigned int *)t131); - t137 = (~(t136)); - t138 = *((unsigned int *)t109); - t139 = (t138 & t137); - t140 = (~(t135)); - t141 = (~(t139)); - t142 = *((unsigned int *)t122); - *((unsigned int *)t122) = (t142 & t140); - t143 = *((unsigned int *)t122); - *((unsigned int *)t122) = (t143 & t141); - goto LAB104; - -LAB105: *((unsigned int *)t144) = 1; - goto LAB108; - -LAB107: t151 = (t144 + 4); - *((unsigned int *)t144) = 1; - *((unsigned int *)t151) = 1; - goto LAB108; - -LAB109: t157 = (t0 + 3448U); - t158 = *((char **)t157); - memset(t159, 0, 8); - t157 = (t158 + 4); - t160 = *((unsigned int *)t157); - t161 = (~(t160)); - t162 = *((unsigned int *)t158); - t163 = (t162 & t161); - t164 = (t163 & 1U); - if (t164 != 0) - goto LAB112; - -LAB113: if (*((unsigned int *)t157) != 0) - goto LAB114; - -LAB115: t167 = *((unsigned int *)t144); - t168 = *((unsigned int *)t159); - t169 = (t167 | t168); - *((unsigned int *)t166) = t169; - t170 = (t144 + 4); - t171 = (t159 + 4); - t172 = (t166 + 4); - t173 = *((unsigned int *)t170); - t174 = *((unsigned int *)t171); - t175 = (t173 | t174); - *((unsigned int *)t172) = t175; - t176 = *((unsigned int *)t172); - t177 = (t176 != 0); - if (t177 == 1) - goto LAB116; - -LAB117: -LAB118: goto LAB111; - -LAB112: *((unsigned int *)t159) = 1; - goto LAB115; - -LAB114: t165 = (t159 + 4); - *((unsigned int *)t159) = 1; - *((unsigned int *)t165) = 1; - goto LAB115; - -LAB116: t178 = *((unsigned int *)t166); - t179 = *((unsigned int *)t172); - *((unsigned int *)t166) = (t178 | t179); - t180 = (t144 + 4); - t181 = (t159 + 4); - t182 = *((unsigned int *)t180); - t183 = (~(t182)); - t184 = *((unsigned int *)t144); - t185 = (t184 & t183); - t186 = *((unsigned int *)t181); - t187 = (~(t186)); - t188 = *((unsigned int *)t159); - t189 = (t188 & t187); - t190 = (~(t185)); - t191 = (~(t189)); - t192 = *((unsigned int *)t172); - *((unsigned int *)t172) = (t192 & t190); - t193 = *((unsigned int *)t172); - *((unsigned int *)t172) = (t193 & t191); - goto LAB118; - -LAB119: *((unsigned int *)t194) = 1; - goto LAB122; - -LAB121: t201 = (t194 + 4); - *((unsigned int *)t194) = 1; - *((unsigned int *)t201) = 1; - goto LAB122; - -LAB123: t214 = *((unsigned int *)t202); - t215 = *((unsigned int *)t208); - *((unsigned int *)t202) = (t214 | t215); - t216 = (t39 + 4); - t217 = (t194 + 4); - t218 = *((unsigned int *)t39); - t219 = (~(t218)); - t220 = *((unsigned int *)t216); - t221 = (~(t220)); - t222 = *((unsigned int *)t194); - t223 = (~(t222)); - t224 = *((unsigned int *)t217); - t225 = (~(t224)); - t226 = (t219 & t221); - t227 = (t223 & t225); - t228 = (~(t226)); - t229 = (~(t227)); - t230 = *((unsigned int *)t208); - *((unsigned int *)t208) = (t230 & t228); - t231 = *((unsigned int *)t208); - *((unsigned int *)t208) = (t231 & t229); - t232 = *((unsigned int *)t202); - *((unsigned int *)t202) = (t232 & t228); - t233 = *((unsigned int *)t202); - *((unsigned int *)t202) = (t233 & t229); - goto LAB125; - -LAB126: xsi_set_current_line(89, ng0); - -LAB129: xsi_set_current_line(90, ng0); - t240 = ((char*)((ng10))); - t241 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t241, t240, 0, 0, 3, 0LL); - xsi_set_current_line(91, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(92, ng0); - t2 = (t0 + 1848U); - t3 = *((char **)t2); - memset(t8, 0, 8); - t2 = (t3 + 4); - t11 = *((unsigned int *)t2); - t12 = (~(t11)); - t13 = *((unsigned int *)t3); - t14 = (t13 & t12); - t15 = (t14 & 1U); - if (t15 != 0) - goto LAB133; - -LAB131: if (*((unsigned int *)t2) == 0) - goto LAB130; - -LAB132: t4 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t4) = 1; - -LAB133: t5 = (t8 + 4); - t6 = (t3 + 4); - t16 = *((unsigned int *)t3); - t17 = (~(t16)); - *((unsigned int *)t8) = t17; - *((unsigned int *)t5) = 0; - if (*((unsigned int *)t6) != 0) - goto LAB135; - -LAB134: t22 = *((unsigned int *)t8); - *((unsigned int *)t8) = (t22 & 1U); - t25 = *((unsigned int *)t5); - *((unsigned int *)t5) = (t25 & 1U); - t7 = (t0 + 5128); - xsi_vlogvar_wait_assign_value(t7, t8, 0, 0, 1, 0LL); - goto LAB128; - -LAB130: *((unsigned int *)t8) = 1; - goto LAB133; - -LAB135: t18 = *((unsigned int *)t8); - t19 = *((unsigned int *)t6); - *((unsigned int *)t8) = (t18 | t19); - t20 = *((unsigned int *)t5); - t21 = *((unsigned int *)t6); - *((unsigned int *)t5) = (t20 | t21); - goto LAB134; - -LAB139: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB140; - -LAB141: xsi_set_current_line(98, ng0); - -LAB144: xsi_set_current_line(99, ng0); - t23 = ((char*)((ng5))); - t24 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(100, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(101, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4648); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB143; - -LAB147: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB148; - -LAB149: xsi_set_current_line(102, ng0); - -LAB152: xsi_set_current_line(103, ng0); - t23 = ((char*)((ng2))); - t24 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(104, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(105, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4648); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB151; - -} - -static void Cont_110_13(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - unsigned int t8; - unsigned int t9; - char *t10; - unsigned int t11; - unsigned int t12; - char *t13; - unsigned int t14; - unsigned int t15; - -LAB0: t1 = (t0 + 11512U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(110, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 13152); - t4 = (t3 + 56U); - t5 = *((char **)t4); - t6 = (t5 + 56U); - t7 = *((char **)t6); - memset(t7, 0, 8); - t8 = 1U; - t9 = t8; - t10 = (t2 + 4); - t11 = *((unsigned int *)t2); - t8 = (t8 & t11); - t12 = *((unsigned int *)t10); - t9 = (t9 & t12); - t13 = (t7 + 4); - t14 = *((unsigned int *)t7); - *((unsigned int *)t7) = (t14 | t8); - t15 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t15 | t9); - xsi_driver_vfirst_trans(t3, 0, 0); - -LAB1: return; -} - -static void Always_111_14(char *t0) -{ - char t8[8]; - char t24[8]; - char t41[8]; - char t57[8]; - char t65[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t9; - char *t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - char *t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - unsigned int t29; - unsigned int t30; - char *t31; - char *t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - char *t37; - char *t38; - char *t39; - char *t40; - char *t42; - char *t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - char *t56; - char *t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - char *t64; - unsigned int t66; - unsigned int t67; - unsigned int t68; - char *t69; - char *t70; - char *t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - char *t79; - char *t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - int t84; - unsigned int t85; - unsigned int t86; - unsigned int t87; - int t88; - unsigned int t89; - unsigned int t90; - unsigned int t91; - unsigned int t92; - char *t93; - -LAB0: t1 = (t0 + 11760U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(111, ng0); - t2 = (t0 + 12784); - *((int *)t2) = 1; - t3 = (t0 + 11792); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(111, ng0); - -LAB5: xsi_set_current_line(111, ng0); - t4 = (t0 + 7368); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = ((char*)((ng8))); - memset(t8, 0, 8); - t9 = (t6 + 4); - t10 = (t7 + 4); - t11 = *((unsigned int *)t6); - t12 = *((unsigned int *)t7); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t9); - t15 = *((unsigned int *)t10); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t9); - t19 = *((unsigned int *)t10); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB9; - -LAB6: if (t20 != 0) - goto LAB8; - -LAB7: *((unsigned int *)t8) = 1; - -LAB9: memset(t24, 0, 8); - t25 = (t8 + 4); - t26 = *((unsigned int *)t25); - t27 = (~(t26)); - t28 = *((unsigned int *)t8); - t29 = (t28 & t27); - t30 = (t29 & 1U); - if (t30 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t25) != 0) - goto LAB12; - -LAB13: t32 = (t24 + 4); - t33 = *((unsigned int *)t24); - t34 = (!(t33)); - t35 = *((unsigned int *)t32); - t36 = (t34 || t35); - if (t36 > 0) - goto LAB14; - -LAB15: memcpy(t65, t24, 8); - -LAB16: t93 = (t0 + 4808); - xsi_vlogvar_wait_assign_value(t93, t65, 0, 0, 1, 0LL); - goto LAB2; - -LAB8: t23 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t23) = 1; - goto LAB9; - -LAB10: *((unsigned int *)t24) = 1; - goto LAB13; - -LAB12: t31 = (t24 + 4); - *((unsigned int *)t24) = 1; - *((unsigned int *)t31) = 1; - goto LAB13; - -LAB14: t37 = (t0 + 7368); - t38 = (t37 + 56U); - t39 = *((char **)t38); - t40 = ((char*)((ng9))); - memset(t41, 0, 8); - t42 = (t39 + 4); - t43 = (t40 + 4); - t44 = *((unsigned int *)t39); - t45 = *((unsigned int *)t40); - t46 = (t44 ^ t45); - t47 = *((unsigned int *)t42); - t48 = *((unsigned int *)t43); - t49 = (t47 ^ t48); - t50 = (t46 | t49); - t51 = *((unsigned int *)t42); - t52 = *((unsigned int *)t43); - t53 = (t51 | t52); - t54 = (~(t53)); - t55 = (t50 & t54); - if (t55 != 0) - goto LAB20; - -LAB17: if (t53 != 0) - goto LAB19; - -LAB18: *((unsigned int *)t41) = 1; - -LAB20: memset(t57, 0, 8); - t58 = (t41 + 4); - t59 = *((unsigned int *)t58); - t60 = (~(t59)); - t61 = *((unsigned int *)t41); - t62 = (t61 & t60); - t63 = (t62 & 1U); - if (t63 != 0) - goto LAB21; - -LAB22: if (*((unsigned int *)t58) != 0) - goto LAB23; - -LAB24: t66 = *((unsigned int *)t24); - t67 = *((unsigned int *)t57); - t68 = (t66 | t67); - *((unsigned int *)t65) = t68; - t69 = (t24 + 4); - t70 = (t57 + 4); - t71 = (t65 + 4); - t72 = *((unsigned int *)t69); - t73 = *((unsigned int *)t70); - t74 = (t72 | t73); - *((unsigned int *)t71) = t74; - t75 = *((unsigned int *)t71); - t76 = (t75 != 0); - if (t76 == 1) - goto LAB25; - -LAB26: -LAB27: goto LAB16; - -LAB19: t56 = (t41 + 4); - *((unsigned int *)t41) = 1; - *((unsigned int *)t56) = 1; - goto LAB20; - -LAB21: *((unsigned int *)t57) = 1; - goto LAB24; - -LAB23: t64 = (t57 + 4); - *((unsigned int *)t57) = 1; - *((unsigned int *)t64) = 1; - goto LAB24; - -LAB25: t77 = *((unsigned int *)t65); - t78 = *((unsigned int *)t71); - *((unsigned int *)t65) = (t77 | t78); - t79 = (t24 + 4); - t80 = (t57 + 4); - t81 = *((unsigned int *)t79); - t82 = (~(t81)); - t83 = *((unsigned int *)t24); - t84 = (t83 & t82); - t85 = *((unsigned int *)t80); - t86 = (~(t85)); - t87 = *((unsigned int *)t57); - t88 = (t87 & t86); - t89 = (~(t84)); - t90 = (~(t88)); - t91 = *((unsigned int *)t71); - *((unsigned int *)t71) = (t91 & t89); - t92 = *((unsigned int *)t71); - *((unsigned int *)t71) = (t92 & t90); - goto LAB27; - -} - -static void Always_112_15(char *t0) -{ - char t4[8]; - char t7[8]; - char t22[8]; - char t38[8]; - char t55[8]; - char t71[8]; - char t79[8]; - char t107[8]; - char t124[8]; - char t140[8]; - char t148[8]; - char t176[8]; - char t193[8]; - char t209[8]; - char t217[8]; - char t245[8]; - char t262[8]; - char t278[8]; - char t286[8]; - char t314[8]; - char t331[8]; - char t347[8]; - char t355[8]; - char t383[8]; - char t391[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - unsigned int t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - char *t18; - char *t19; - char *t20; - char *t21; - char *t23; - char *t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - unsigned int t29; - unsigned int t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - char *t37; - char *t39; - unsigned int t40; - unsigned int t41; - unsigned int t42; - unsigned int t43; - unsigned int t44; - char *t45; - char *t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - char *t51; - char *t52; - char *t53; - char *t54; - char *t56; - char *t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - unsigned int t68; - unsigned int t69; - char *t70; - char *t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - char *t78; - unsigned int t80; - unsigned int t81; - unsigned int t82; - char *t83; - char *t84; - char *t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - unsigned int t91; - unsigned int t92; - char *t93; - char *t94; - unsigned int t95; - unsigned int t96; - unsigned int t97; - int t98; - unsigned int t99; - unsigned int t100; - unsigned int t101; - int t102; - unsigned int t103; - unsigned int t104; - unsigned int t105; - unsigned int t106; - char *t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - unsigned int t112; - unsigned int t113; - char *t114; - char *t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - char *t120; - char *t121; - char *t122; - char *t123; - char *t125; - char *t126; - unsigned int t127; - unsigned int t128; - unsigned int t129; - unsigned int t130; - unsigned int t131; - unsigned int t132; - unsigned int t133; - unsigned int t134; - unsigned int t135; - unsigned int t136; - unsigned int t137; - unsigned int t138; - char *t139; - char *t141; - unsigned int t142; - unsigned int t143; - unsigned int t144; - unsigned int t145; - unsigned int t146; - char *t147; - unsigned int t149; - unsigned int t150; - unsigned int t151; - char *t152; - char *t153; - char *t154; - unsigned int t155; - unsigned int t156; - unsigned int t157; - unsigned int t158; - unsigned int t159; - unsigned int t160; - unsigned int t161; - char *t162; - char *t163; - unsigned int t164; - unsigned int t165; - unsigned int t166; - int t167; - unsigned int t168; - unsigned int t169; - unsigned int t170; - int t171; - unsigned int t172; - unsigned int t173; - unsigned int t174; - unsigned int t175; - char *t177; - unsigned int t178; - unsigned int t179; - unsigned int t180; - unsigned int t181; - unsigned int t182; - char *t183; - char *t184; - unsigned int t185; - unsigned int t186; - unsigned int t187; - unsigned int t188; - char *t189; - char *t190; - char *t191; - char *t192; - char *t194; - char *t195; - unsigned int t196; - unsigned int t197; - unsigned int t198; - unsigned int t199; - unsigned int t200; - unsigned int t201; - unsigned int t202; - unsigned int t203; - unsigned int t204; - unsigned int t205; - unsigned int t206; - unsigned int t207; - char *t208; - char *t210; - unsigned int t211; - unsigned int t212; - unsigned int t213; - unsigned int t214; - unsigned int t215; - char *t216; - unsigned int t218; - unsigned int t219; - unsigned int t220; - char *t221; - char *t222; - char *t223; - unsigned int t224; - unsigned int t225; - unsigned int t226; - unsigned int t227; - unsigned int t228; - unsigned int t229; - unsigned int t230; - char *t231; - char *t232; - unsigned int t233; - unsigned int t234; - unsigned int t235; - int t236; - unsigned int t237; - unsigned int t238; - unsigned int t239; - int t240; - unsigned int t241; - unsigned int t242; - unsigned int t243; - unsigned int t244; - char *t246; - unsigned int t247; - unsigned int t248; - unsigned int t249; - unsigned int t250; - unsigned int t251; - char *t252; - char *t253; - unsigned int t254; - unsigned int t255; - unsigned int t256; - unsigned int t257; - char *t258; - char *t259; - char *t260; - char *t261; - char *t263; - char *t264; - unsigned int t265; - unsigned int t266; - unsigned int t267; - unsigned int t268; - unsigned int t269; - unsigned int t270; - unsigned int t271; - unsigned int t272; - unsigned int t273; - unsigned int t274; - unsigned int t275; - unsigned int t276; - char *t277; - char *t279; - unsigned int t280; - unsigned int t281; - unsigned int t282; - unsigned int t283; - unsigned int t284; - char *t285; - unsigned int t287; - unsigned int t288; - unsigned int t289; - char *t290; - char *t291; - char *t292; - unsigned int t293; - unsigned int t294; - unsigned int t295; - unsigned int t296; - unsigned int t297; - unsigned int t298; - unsigned int t299; - char *t300; - char *t301; - unsigned int t302; - unsigned int t303; - unsigned int t304; - int t305; - unsigned int t306; - unsigned int t307; - unsigned int t308; - int t309; - unsigned int t310; - unsigned int t311; - unsigned int t312; - unsigned int t313; - char *t315; - unsigned int t316; - unsigned int t317; - unsigned int t318; - unsigned int t319; - unsigned int t320; - char *t321; - char *t322; - unsigned int t323; - unsigned int t324; - unsigned int t325; - unsigned int t326; - char *t327; - char *t328; - char *t329; - char *t330; - char *t332; - char *t333; - unsigned int t334; - unsigned int t335; - unsigned int t336; - unsigned int t337; - unsigned int t338; - unsigned int t339; - unsigned int t340; - unsigned int t341; - unsigned int t342; - unsigned int t343; - unsigned int t344; - unsigned int t345; - char *t346; - char *t348; - unsigned int t349; - unsigned int t350; - unsigned int t351; - unsigned int t352; - unsigned int t353; - char *t354; - unsigned int t356; - unsigned int t357; - unsigned int t358; - char *t359; - char *t360; - char *t361; - unsigned int t362; - unsigned int t363; - unsigned int t364; - unsigned int t365; - unsigned int t366; - unsigned int t367; - unsigned int t368; - char *t369; - char *t370; - unsigned int t371; - unsigned int t372; - unsigned int t373; - int t374; - unsigned int t375; - unsigned int t376; - unsigned int t377; - int t378; - unsigned int t379; - unsigned int t380; - unsigned int t381; - unsigned int t382; - char *t384; - unsigned int t385; - unsigned int t386; - unsigned int t387; - unsigned int t388; - unsigned int t389; - char *t390; - unsigned int t392; - unsigned int t393; - unsigned int t394; - char *t395; - char *t396; - char *t397; - unsigned int t398; - unsigned int t399; - unsigned int t400; - unsigned int t401; - unsigned int t402; - unsigned int t403; - unsigned int t404; - char *t405; - char *t406; - unsigned int t407; - unsigned int t408; - unsigned int t409; - unsigned int t410; - unsigned int t411; - unsigned int t412; - unsigned int t413; - unsigned int t414; - int t415; - int t416; - unsigned int t417; - unsigned int t418; - unsigned int t419; - unsigned int t420; - unsigned int t421; - unsigned int t422; - char *t423; - unsigned int t424; - unsigned int t425; - unsigned int t426; - unsigned int t427; - unsigned int t428; - char *t429; - char *t430; - char *t431; - unsigned int t432; - unsigned int t433; - unsigned int t434; - unsigned int t435; - unsigned int t436; - unsigned int t437; - unsigned int t438; - unsigned int t439; - char *t440; - -LAB0: t1 = (t0 + 12008U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(112, ng0); - t2 = (t0 + 12800); - *((int *)t2) = 1; - t3 = (t0 + 12040); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(112, ng0); - -LAB5: xsi_set_current_line(113, ng0); - t5 = (t0 + 2808U); - t6 = *((char **)t5); - memset(t7, 0, 8); - t5 = (t6 + 4); - t8 = *((unsigned int *)t5); - t9 = (~(t8)); - t10 = *((unsigned int *)t6); - t11 = (t10 & t9); - t12 = (t11 & 1U); - if (t12 != 0) - goto LAB6; - -LAB7: if (*((unsigned int *)t5) != 0) - goto LAB8; - -LAB9: t14 = (t7 + 4); - t15 = *((unsigned int *)t7); - t16 = *((unsigned int *)t14); - t17 = (t15 || t16); - if (t17 > 0) - goto LAB10; - -LAB11: memcpy(t391, t7, 8); - -LAB12: memset(t4, 0, 8); - t423 = (t391 + 4); - t424 = *((unsigned int *)t423); - t425 = (~(t424)); - t426 = *((unsigned int *)t391); - t427 = (t426 & t425); - t428 = (t427 & 1U); - if (t428 != 0) - goto LAB117; - -LAB115: if (*((unsigned int *)t423) == 0) - goto LAB114; - -LAB116: t429 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t429) = 1; - -LAB117: t430 = (t4 + 4); - t431 = (t391 + 4); - t432 = *((unsigned int *)t391); - t433 = (~(t432)); - *((unsigned int *)t4) = t433; - *((unsigned int *)t430) = 0; - if (*((unsigned int *)t431) != 0) - goto LAB119; - -LAB118: t438 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t438 & 1U); - t439 = *((unsigned int *)t430); - *((unsigned int *)t430) = (t439 & 1U); - t440 = (t0 + 4488); - xsi_vlogvar_wait_assign_value(t440, t4, 0, 0, 1, 0LL); - goto LAB2; - -LAB6: *((unsigned int *)t7) = 1; - goto LAB9; - -LAB8: t13 = (t7 + 4); - *((unsigned int *)t7) = 1; - *((unsigned int *)t13) = 1; - goto LAB9; - -LAB10: t18 = (t0 + 7368); - t19 = (t18 + 56U); - t20 = *((char **)t19); - t21 = ((char*)((ng1))); - memset(t22, 0, 8); - t23 = (t20 + 4); - t24 = (t21 + 4); - t25 = *((unsigned int *)t20); - t26 = *((unsigned int *)t21); - t27 = (t25 ^ t26); - t28 = *((unsigned int *)t23); - t29 = *((unsigned int *)t24); - t30 = (t28 ^ t29); - t31 = (t27 | t30); - t32 = *((unsigned int *)t23); - t33 = *((unsigned int *)t24); - t34 = (t32 | t33); - t35 = (~(t34)); - t36 = (t31 & t35); - if (t36 != 0) - goto LAB16; - -LAB13: if (t34 != 0) - goto LAB15; - -LAB14: *((unsigned int *)t22) = 1; - -LAB16: memset(t38, 0, 8); - t39 = (t22 + 4); - t40 = *((unsigned int *)t39); - t41 = (~(t40)); - t42 = *((unsigned int *)t22); - t43 = (t42 & t41); - t44 = (t43 & 1U); - if (t44 != 0) - goto LAB17; - -LAB18: if (*((unsigned int *)t39) != 0) - goto LAB19; - -LAB20: t46 = (t38 + 4); - t47 = *((unsigned int *)t38); - t48 = (!(t47)); - t49 = *((unsigned int *)t46); - t50 = (t48 || t49); - if (t50 > 0) - goto LAB21; - -LAB22: memcpy(t79, t38, 8); - -LAB23: memset(t107, 0, 8); - t108 = (t79 + 4); - t109 = *((unsigned int *)t108); - t110 = (~(t109)); - t111 = *((unsigned int *)t79); - t112 = (t111 & t110); - t113 = (t112 & 1U); - if (t113 != 0) - goto LAB35; - -LAB36: if (*((unsigned int *)t108) != 0) - goto LAB37; - -LAB38: t115 = (t107 + 4); - t116 = *((unsigned int *)t107); - t117 = (!(t116)); - t118 = *((unsigned int *)t115); - t119 = (t117 || t118); - if (t119 > 0) - goto LAB39; - -LAB40: memcpy(t148, t107, 8); - -LAB41: memset(t176, 0, 8); - t177 = (t148 + 4); - t178 = *((unsigned int *)t177); - t179 = (~(t178)); - t180 = *((unsigned int *)t148); - t181 = (t180 & t179); - t182 = (t181 & 1U); - if (t182 != 0) - goto LAB53; - -LAB54: if (*((unsigned int *)t177) != 0) - goto LAB55; - -LAB56: t184 = (t176 + 4); - t185 = *((unsigned int *)t176); - t186 = (!(t185)); - t187 = *((unsigned int *)t184); - t188 = (t186 || t187); - if (t188 > 0) - goto LAB57; - -LAB58: memcpy(t217, t176, 8); - -LAB59: memset(t245, 0, 8); - t246 = (t217 + 4); - t247 = *((unsigned int *)t246); - t248 = (~(t247)); - t249 = *((unsigned int *)t217); - t250 = (t249 & t248); - t251 = (t250 & 1U); - if (t251 != 0) - goto LAB71; - -LAB72: if (*((unsigned int *)t246) != 0) - goto LAB73; - -LAB74: t253 = (t245 + 4); - t254 = *((unsigned int *)t245); - t255 = (!(t254)); - t256 = *((unsigned int *)t253); - t257 = (t255 || t256); - if (t257 > 0) - goto LAB75; - -LAB76: memcpy(t286, t245, 8); - -LAB77: memset(t314, 0, 8); - t315 = (t286 + 4); - t316 = *((unsigned int *)t315); - t317 = (~(t316)); - t318 = *((unsigned int *)t286); - t319 = (t318 & t317); - t320 = (t319 & 1U); - if (t320 != 0) - goto LAB89; - -LAB90: if (*((unsigned int *)t315) != 0) - goto LAB91; - -LAB92: t322 = (t314 + 4); - t323 = *((unsigned int *)t314); - t324 = (!(t323)); - t325 = *((unsigned int *)t322); - t326 = (t324 || t325); - if (t326 > 0) - goto LAB93; - -LAB94: memcpy(t355, t314, 8); - -LAB95: memset(t383, 0, 8); - t384 = (t355 + 4); - t385 = *((unsigned int *)t384); - t386 = (~(t385)); - t387 = *((unsigned int *)t355); - t388 = (t387 & t386); - t389 = (t388 & 1U); - if (t389 != 0) - goto LAB107; - -LAB108: if (*((unsigned int *)t384) != 0) - goto LAB109; - -LAB110: t392 = *((unsigned int *)t7); - t393 = *((unsigned int *)t383); - t394 = (t392 & t393); - *((unsigned int *)t391) = t394; - t395 = (t7 + 4); - t396 = (t383 + 4); - t397 = (t391 + 4); - t398 = *((unsigned int *)t395); - t399 = *((unsigned int *)t396); - t400 = (t398 | t399); - *((unsigned int *)t397) = t400; - t401 = *((unsigned int *)t397); - t402 = (t401 != 0); - if (t402 == 1) - goto LAB111; - -LAB112: -LAB113: goto LAB12; - -LAB15: t37 = (t22 + 4); - *((unsigned int *)t22) = 1; - *((unsigned int *)t37) = 1; - goto LAB16; - -LAB17: *((unsigned int *)t38) = 1; - goto LAB20; - -LAB19: t45 = (t38 + 4); - *((unsigned int *)t38) = 1; - *((unsigned int *)t45) = 1; - goto LAB20; - -LAB21: t51 = (t0 + 7368); - t52 = (t51 + 56U); - t53 = *((char **)t52); - t54 = ((char*)((ng6))); - memset(t55, 0, 8); - t56 = (t53 + 4); - t57 = (t54 + 4); - t58 = *((unsigned int *)t53); - t59 = *((unsigned int *)t54); - t60 = (t58 ^ t59); - t61 = *((unsigned int *)t56); - t62 = *((unsigned int *)t57); - t63 = (t61 ^ t62); - t64 = (t60 | t63); - t65 = *((unsigned int *)t56); - t66 = *((unsigned int *)t57); - t67 = (t65 | t66); - t68 = (~(t67)); - t69 = (t64 & t68); - if (t69 != 0) - goto LAB27; - -LAB24: if (t67 != 0) - goto LAB26; - -LAB25: *((unsigned int *)t55) = 1; - -LAB27: memset(t71, 0, 8); - t72 = (t55 + 4); - t73 = *((unsigned int *)t72); - t74 = (~(t73)); - t75 = *((unsigned int *)t55); - t76 = (t75 & t74); - t77 = (t76 & 1U); - if (t77 != 0) - goto LAB28; - -LAB29: if (*((unsigned int *)t72) != 0) - goto LAB30; - -LAB31: t80 = *((unsigned int *)t38); - t81 = *((unsigned int *)t71); - t82 = (t80 | t81); - *((unsigned int *)t79) = t82; - t83 = (t38 + 4); - t84 = (t71 + 4); - t85 = (t79 + 4); - t86 = *((unsigned int *)t83); - t87 = *((unsigned int *)t84); - t88 = (t86 | t87); - *((unsigned int *)t85) = t88; - t89 = *((unsigned int *)t85); - t90 = (t89 != 0); - if (t90 == 1) - goto LAB32; - -LAB33: -LAB34: goto LAB23; - -LAB26: t70 = (t55 + 4); - *((unsigned int *)t55) = 1; - *((unsigned int *)t70) = 1; - goto LAB27; - -LAB28: *((unsigned int *)t71) = 1; - goto LAB31; - -LAB30: t78 = (t71 + 4); - *((unsigned int *)t71) = 1; - *((unsigned int *)t78) = 1; - goto LAB31; - -LAB32: t91 = *((unsigned int *)t79); - t92 = *((unsigned int *)t85); - *((unsigned int *)t79) = (t91 | t92); - t93 = (t38 + 4); - t94 = (t71 + 4); - t95 = *((unsigned int *)t93); - t96 = (~(t95)); - t97 = *((unsigned int *)t38); - t98 = (t97 & t96); - t99 = *((unsigned int *)t94); - t100 = (~(t99)); - t101 = *((unsigned int *)t71); - t102 = (t101 & t100); - t103 = (~(t98)); - t104 = (~(t102)); - t105 = *((unsigned int *)t85); - *((unsigned int *)t85) = (t105 & t103); - t106 = *((unsigned int *)t85); - *((unsigned int *)t85) = (t106 & t104); - goto LAB34; - -LAB35: *((unsigned int *)t107) = 1; - goto LAB38; - -LAB37: t114 = (t107 + 4); - *((unsigned int *)t107) = 1; - *((unsigned int *)t114) = 1; - goto LAB38; - -LAB39: t120 = (t0 + 7368); - t121 = (t120 + 56U); - t122 = *((char **)t121); - t123 = ((char*)((ng7))); - memset(t124, 0, 8); - t125 = (t122 + 4); - t126 = (t123 + 4); - t127 = *((unsigned int *)t122); - t128 = *((unsigned int *)t123); - t129 = (t127 ^ t128); - t130 = *((unsigned int *)t125); - t131 = *((unsigned int *)t126); - t132 = (t130 ^ t131); - t133 = (t129 | t132); - t134 = *((unsigned int *)t125); - t135 = *((unsigned int *)t126); - t136 = (t134 | t135); - t137 = (~(t136)); - t138 = (t133 & t137); - if (t138 != 0) - goto LAB45; - -LAB42: if (t136 != 0) - goto LAB44; - -LAB43: *((unsigned int *)t124) = 1; - -LAB45: memset(t140, 0, 8); - t141 = (t124 + 4); - t142 = *((unsigned int *)t141); - t143 = (~(t142)); - t144 = *((unsigned int *)t124); - t145 = (t144 & t143); - t146 = (t145 & 1U); - if (t146 != 0) - goto LAB46; - -LAB47: if (*((unsigned int *)t141) != 0) - goto LAB48; - -LAB49: t149 = *((unsigned int *)t107); - t150 = *((unsigned int *)t140); - t151 = (t149 | t150); - *((unsigned int *)t148) = t151; - t152 = (t107 + 4); - t153 = (t140 + 4); - t154 = (t148 + 4); - t155 = *((unsigned int *)t152); - t156 = *((unsigned int *)t153); - t157 = (t155 | t156); - *((unsigned int *)t154) = t157; - t158 = *((unsigned int *)t154); - t159 = (t158 != 0); - if (t159 == 1) - goto LAB50; - -LAB51: -LAB52: goto LAB41; - -LAB44: t139 = (t124 + 4); - *((unsigned int *)t124) = 1; - *((unsigned int *)t139) = 1; - goto LAB45; - -LAB46: *((unsigned int *)t140) = 1; - goto LAB49; - -LAB48: t147 = (t140 + 4); - *((unsigned int *)t140) = 1; - *((unsigned int *)t147) = 1; - goto LAB49; - -LAB50: t160 = *((unsigned int *)t148); - t161 = *((unsigned int *)t154); - *((unsigned int *)t148) = (t160 | t161); - t162 = (t107 + 4); - t163 = (t140 + 4); - t164 = *((unsigned int *)t162); - t165 = (~(t164)); - t166 = *((unsigned int *)t107); - t167 = (t166 & t165); - t168 = *((unsigned int *)t163); - t169 = (~(t168)); - t170 = *((unsigned int *)t140); - t171 = (t170 & t169); - t172 = (~(t167)); - t173 = (~(t171)); - t174 = *((unsigned int *)t154); - *((unsigned int *)t154) = (t174 & t172); - t175 = *((unsigned int *)t154); - *((unsigned int *)t154) = (t175 & t173); - goto LAB52; - -LAB53: *((unsigned int *)t176) = 1; - goto LAB56; - -LAB55: t183 = (t176 + 4); - *((unsigned int *)t176) = 1; - *((unsigned int *)t183) = 1; - goto LAB56; - -LAB57: t189 = (t0 + 7368); - t190 = (t189 + 56U); - t191 = *((char **)t190); - t192 = ((char*)((ng8))); - memset(t193, 0, 8); - t194 = (t191 + 4); - t195 = (t192 + 4); - t196 = *((unsigned int *)t191); - t197 = *((unsigned int *)t192); - t198 = (t196 ^ t197); - t199 = *((unsigned int *)t194); - t200 = *((unsigned int *)t195); - t201 = (t199 ^ t200); - t202 = (t198 | t201); - t203 = *((unsigned int *)t194); - t204 = *((unsigned int *)t195); - t205 = (t203 | t204); - t206 = (~(t205)); - t207 = (t202 & t206); - if (t207 != 0) - goto LAB63; - -LAB60: if (t205 != 0) - goto LAB62; - -LAB61: *((unsigned int *)t193) = 1; - -LAB63: memset(t209, 0, 8); - t210 = (t193 + 4); - t211 = *((unsigned int *)t210); - t212 = (~(t211)); - t213 = *((unsigned int *)t193); - t214 = (t213 & t212); - t215 = (t214 & 1U); - if (t215 != 0) - goto LAB64; - -LAB65: if (*((unsigned int *)t210) != 0) - goto LAB66; - -LAB67: t218 = *((unsigned int *)t176); - t219 = *((unsigned int *)t209); - t220 = (t218 | t219); - *((unsigned int *)t217) = t220; - t221 = (t176 + 4); - t222 = (t209 + 4); - t223 = (t217 + 4); - t224 = *((unsigned int *)t221); - t225 = *((unsigned int *)t222); - t226 = (t224 | t225); - *((unsigned int *)t223) = t226; - t227 = *((unsigned int *)t223); - t228 = (t227 != 0); - if (t228 == 1) - goto LAB68; - -LAB69: -LAB70: goto LAB59; - -LAB62: t208 = (t193 + 4); - *((unsigned int *)t193) = 1; - *((unsigned int *)t208) = 1; - goto LAB63; - -LAB64: *((unsigned int *)t209) = 1; - goto LAB67; - -LAB66: t216 = (t209 + 4); - *((unsigned int *)t209) = 1; - *((unsigned int *)t216) = 1; - goto LAB67; - -LAB68: t229 = *((unsigned int *)t217); - t230 = *((unsigned int *)t223); - *((unsigned int *)t217) = (t229 | t230); - t231 = (t176 + 4); - t232 = (t209 + 4); - t233 = *((unsigned int *)t231); - t234 = (~(t233)); - t235 = *((unsigned int *)t176); - t236 = (t235 & t234); - t237 = *((unsigned int *)t232); - t238 = (~(t237)); - t239 = *((unsigned int *)t209); - t240 = (t239 & t238); - t241 = (~(t236)); - t242 = (~(t240)); - t243 = *((unsigned int *)t223); - *((unsigned int *)t223) = (t243 & t241); - t244 = *((unsigned int *)t223); - *((unsigned int *)t223) = (t244 & t242); - goto LAB70; - -LAB71: *((unsigned int *)t245) = 1; - goto LAB74; - -LAB73: t252 = (t245 + 4); - *((unsigned int *)t245) = 1; - *((unsigned int *)t252) = 1; - goto LAB74; - -LAB75: t258 = (t0 + 7368); - t259 = (t258 + 56U); - t260 = *((char **)t259); - t261 = ((char*)((ng9))); - memset(t262, 0, 8); - t263 = (t260 + 4); - t264 = (t261 + 4); - t265 = *((unsigned int *)t260); - t266 = *((unsigned int *)t261); - t267 = (t265 ^ t266); - t268 = *((unsigned int *)t263); - t269 = *((unsigned int *)t264); - t270 = (t268 ^ t269); - t271 = (t267 | t270); - t272 = *((unsigned int *)t263); - t273 = *((unsigned int *)t264); - t274 = (t272 | t273); - t275 = (~(t274)); - t276 = (t271 & t275); - if (t276 != 0) - goto LAB81; - -LAB78: if (t274 != 0) - goto LAB80; - -LAB79: *((unsigned int *)t262) = 1; - -LAB81: memset(t278, 0, 8); - t279 = (t262 + 4); - t280 = *((unsigned int *)t279); - t281 = (~(t280)); - t282 = *((unsigned int *)t262); - t283 = (t282 & t281); - t284 = (t283 & 1U); - if (t284 != 0) - goto LAB82; - -LAB83: if (*((unsigned int *)t279) != 0) - goto LAB84; - -LAB85: t287 = *((unsigned int *)t245); - t288 = *((unsigned int *)t278); - t289 = (t287 | t288); - *((unsigned int *)t286) = t289; - t290 = (t245 + 4); - t291 = (t278 + 4); - t292 = (t286 + 4); - t293 = *((unsigned int *)t290); - t294 = *((unsigned int *)t291); - t295 = (t293 | t294); - *((unsigned int *)t292) = t295; - t296 = *((unsigned int *)t292); - t297 = (t296 != 0); - if (t297 == 1) - goto LAB86; - -LAB87: -LAB88: goto LAB77; - -LAB80: t277 = (t262 + 4); - *((unsigned int *)t262) = 1; - *((unsigned int *)t277) = 1; - goto LAB81; - -LAB82: *((unsigned int *)t278) = 1; - goto LAB85; - -LAB84: t285 = (t278 + 4); - *((unsigned int *)t278) = 1; - *((unsigned int *)t285) = 1; - goto LAB85; - -LAB86: t298 = *((unsigned int *)t286); - t299 = *((unsigned int *)t292); - *((unsigned int *)t286) = (t298 | t299); - t300 = (t245 + 4); - t301 = (t278 + 4); - t302 = *((unsigned int *)t300); - t303 = (~(t302)); - t304 = *((unsigned int *)t245); - t305 = (t304 & t303); - t306 = *((unsigned int *)t301); - t307 = (~(t306)); - t308 = *((unsigned int *)t278); - t309 = (t308 & t307); - t310 = (~(t305)); - t311 = (~(t309)); - t312 = *((unsigned int *)t292); - *((unsigned int *)t292) = (t312 & t310); - t313 = *((unsigned int *)t292); - *((unsigned int *)t292) = (t313 & t311); - goto LAB88; - -LAB89: *((unsigned int *)t314) = 1; - goto LAB92; - -LAB91: t321 = (t314 + 4); - *((unsigned int *)t314) = 1; - *((unsigned int *)t321) = 1; - goto LAB92; - -LAB93: t327 = (t0 + 7368); - t328 = (t327 + 56U); - t329 = *((char **)t328); - t330 = ((char*)((ng10))); - memset(t331, 0, 8); - t332 = (t329 + 4); - t333 = (t330 + 4); - t334 = *((unsigned int *)t329); - t335 = *((unsigned int *)t330); - t336 = (t334 ^ t335); - t337 = *((unsigned int *)t332); - t338 = *((unsigned int *)t333); - t339 = (t337 ^ t338); - t340 = (t336 | t339); - t341 = *((unsigned int *)t332); - t342 = *((unsigned int *)t333); - t343 = (t341 | t342); - t344 = (~(t343)); - t345 = (t340 & t344); - if (t345 != 0) - goto LAB99; - -LAB96: if (t343 != 0) - goto LAB98; - -LAB97: *((unsigned int *)t331) = 1; - -LAB99: memset(t347, 0, 8); - t348 = (t331 + 4); - t349 = *((unsigned int *)t348); - t350 = (~(t349)); - t351 = *((unsigned int *)t331); - t352 = (t351 & t350); - t353 = (t352 & 1U); - if (t353 != 0) - goto LAB100; - -LAB101: if (*((unsigned int *)t348) != 0) - goto LAB102; - -LAB103: t356 = *((unsigned int *)t314); - t357 = *((unsigned int *)t347); - t358 = (t356 | t357); - *((unsigned int *)t355) = t358; - t359 = (t314 + 4); - t360 = (t347 + 4); - t361 = (t355 + 4); - t362 = *((unsigned int *)t359); - t363 = *((unsigned int *)t360); - t364 = (t362 | t363); - *((unsigned int *)t361) = t364; - t365 = *((unsigned int *)t361); - t366 = (t365 != 0); - if (t366 == 1) - goto LAB104; - -LAB105: -LAB106: goto LAB95; - -LAB98: t346 = (t331 + 4); - *((unsigned int *)t331) = 1; - *((unsigned int *)t346) = 1; - goto LAB99; - -LAB100: *((unsigned int *)t347) = 1; - goto LAB103; - -LAB102: t354 = (t347 + 4); - *((unsigned int *)t347) = 1; - *((unsigned int *)t354) = 1; - goto LAB103; - -LAB104: t367 = *((unsigned int *)t355); - t368 = *((unsigned int *)t361); - *((unsigned int *)t355) = (t367 | t368); - t369 = (t314 + 4); - t370 = (t347 + 4); - t371 = *((unsigned int *)t369); - t372 = (~(t371)); - t373 = *((unsigned int *)t314); - t374 = (t373 & t372); - t375 = *((unsigned int *)t370); - t376 = (~(t375)); - t377 = *((unsigned int *)t347); - t378 = (t377 & t376); - t379 = (~(t374)); - t380 = (~(t378)); - t381 = *((unsigned int *)t361); - *((unsigned int *)t361) = (t381 & t379); - t382 = *((unsigned int *)t361); - *((unsigned int *)t361) = (t382 & t380); - goto LAB106; - -LAB107: *((unsigned int *)t383) = 1; - goto LAB110; - -LAB109: t390 = (t383 + 4); - *((unsigned int *)t383) = 1; - *((unsigned int *)t390) = 1; - goto LAB110; - -LAB111: t403 = *((unsigned int *)t391); - t404 = *((unsigned int *)t397); - *((unsigned int *)t391) = (t403 | t404); - t405 = (t7 + 4); - t406 = (t383 + 4); - t407 = *((unsigned int *)t7); - t408 = (~(t407)); - t409 = *((unsigned int *)t405); - t410 = (~(t409)); - t411 = *((unsigned int *)t383); - t412 = (~(t411)); - t413 = *((unsigned int *)t406); - t414 = (~(t413)); - t415 = (t408 & t410); - t416 = (t412 & t414); - t417 = (~(t415)); - t418 = (~(t416)); - t419 = *((unsigned int *)t397); - *((unsigned int *)t397) = (t419 & t417); - t420 = *((unsigned int *)t397); - *((unsigned int *)t397) = (t420 & t418); - t421 = *((unsigned int *)t391); - *((unsigned int *)t391) = (t421 & t417); - t422 = *((unsigned int *)t391); - *((unsigned int *)t391) = (t422 & t418); - goto LAB113; - -LAB114: *((unsigned int *)t4) = 1; - goto LAB117; - -LAB119: t434 = *((unsigned int *)t4); - t435 = *((unsigned int *)t431); - *((unsigned int *)t4) = (t434 | t435); - t436 = *((unsigned int *)t430); - t437 = *((unsigned int *)t431); - *((unsigned int *)t430) = (t436 | t437); - goto LAB118; - -} - -static void Always_118_16(char *t0) -{ - char t4[8]; - char t9[8]; - char t25[8]; - char t42[8]; - char t58[8]; - char t66[8]; - char t94[8]; - char t111[8]; - char t127[8]; - char t135[8]; - char t163[8]; - char t180[8]; - char t196[8]; - char t204[8]; - char t232[8]; - char t249[8]; - char t265[8]; - char t273[8]; - char t319[8]; - char t320[8]; - char t331[8]; - char t347[8]; - char t355[8]; - char t383[8]; - char t391[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - char *t7; - char *t8; - char *t10; - char *t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - char *t24; - char *t26; - unsigned int t27; - unsigned int t28; - unsigned int t29; - unsigned int t30; - unsigned int t31; - char *t32; - char *t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - char *t38; - char *t39; - char *t40; - char *t41; - char *t43; - char *t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - char *t57; - char *t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - char *t65; - unsigned int t67; - unsigned int t68; - unsigned int t69; - char *t70; - char *t71; - char *t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - char *t80; - char *t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - int t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - int t89; - unsigned int t90; - unsigned int t91; - unsigned int t92; - unsigned int t93; - char *t95; - unsigned int t96; - unsigned int t97; - unsigned int t98; - unsigned int t99; - unsigned int t100; - char *t101; - char *t102; - unsigned int t103; - unsigned int t104; - unsigned int t105; - unsigned int t106; - char *t107; - char *t108; - char *t109; - char *t110; - char *t112; - char *t113; - unsigned int t114; - unsigned int t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - unsigned int t120; - unsigned int t121; - unsigned int t122; - unsigned int t123; - unsigned int t124; - unsigned int t125; - char *t126; - char *t128; - unsigned int t129; - unsigned int t130; - unsigned int t131; - unsigned int t132; - unsigned int t133; - char *t134; - unsigned int t136; - unsigned int t137; - unsigned int t138; - char *t139; - char *t140; - char *t141; - unsigned int t142; - unsigned int t143; - unsigned int t144; - unsigned int t145; - unsigned int t146; - unsigned int t147; - unsigned int t148; - char *t149; - char *t150; - unsigned int t151; - unsigned int t152; - unsigned int t153; - int t154; - unsigned int t155; - unsigned int t156; - unsigned int t157; - int t158; - unsigned int t159; - unsigned int t160; - unsigned int t161; - unsigned int t162; - char *t164; - unsigned int t165; - unsigned int t166; - unsigned int t167; - unsigned int t168; - unsigned int t169; - char *t170; - char *t171; - unsigned int t172; - unsigned int t173; - unsigned int t174; - unsigned int t175; - char *t176; - char *t177; - char *t178; - char *t179; - char *t181; - char *t182; - unsigned int t183; - unsigned int t184; - unsigned int t185; - unsigned int t186; - unsigned int t187; - unsigned int t188; - unsigned int t189; - unsigned int t190; - unsigned int t191; - unsigned int t192; - unsigned int t193; - unsigned int t194; - char *t195; - char *t197; - unsigned int t198; - unsigned int t199; - unsigned int t200; - unsigned int t201; - unsigned int t202; - char *t203; - unsigned int t205; - unsigned int t206; - unsigned int t207; - char *t208; - char *t209; - char *t210; - unsigned int t211; - unsigned int t212; - unsigned int t213; - unsigned int t214; - unsigned int t215; - unsigned int t216; - unsigned int t217; - char *t218; - char *t219; - unsigned int t220; - unsigned int t221; - unsigned int t222; - int t223; - unsigned int t224; - unsigned int t225; - unsigned int t226; - int t227; - unsigned int t228; - unsigned int t229; - unsigned int t230; - unsigned int t231; - char *t233; - unsigned int t234; - unsigned int t235; - unsigned int t236; - unsigned int t237; - unsigned int t238; - char *t239; - char *t240; - unsigned int t241; - unsigned int t242; - unsigned int t243; - unsigned int t244; - char *t245; - char *t246; - char *t247; - char *t248; - char *t250; - char *t251; - unsigned int t252; - unsigned int t253; - unsigned int t254; - unsigned int t255; - unsigned int t256; - unsigned int t257; - unsigned int t258; - unsigned int t259; - unsigned int t260; - unsigned int t261; - unsigned int t262; - unsigned int t263; - char *t264; - char *t266; - unsigned int t267; - unsigned int t268; - unsigned int t269; - unsigned int t270; - unsigned int t271; - char *t272; - unsigned int t274; - unsigned int t275; - unsigned int t276; - char *t277; - char *t278; - char *t279; - unsigned int t280; - unsigned int t281; - unsigned int t282; - unsigned int t283; - unsigned int t284; - unsigned int t285; - unsigned int t286; - char *t287; - char *t288; - unsigned int t289; - unsigned int t290; - unsigned int t291; - int t292; - unsigned int t293; - unsigned int t294; - unsigned int t295; - int t296; - unsigned int t297; - unsigned int t298; - unsigned int t299; - unsigned int t300; - char *t301; - unsigned int t302; - unsigned int t303; - unsigned int t304; - unsigned int t305; - unsigned int t306; - char *t307; - char *t308; - char *t309; - unsigned int t310; - unsigned int t311; - unsigned int t312; - unsigned int t313; - unsigned int t314; - unsigned int t315; - unsigned int t316; - unsigned int t317; - char *t318; - unsigned int t321; - unsigned int t322; - unsigned int t323; - unsigned int t324; - unsigned int t325; - unsigned int t326; - unsigned int t327; - unsigned int t328; - char *t329; - char *t330; - char *t332; - char *t333; - unsigned int t334; - unsigned int t335; - unsigned int t336; - unsigned int t337; - unsigned int t338; - unsigned int t339; - unsigned int t340; - unsigned int t341; - unsigned int t342; - unsigned int t343; - unsigned int t344; - unsigned int t345; - char *t346; - char *t348; - unsigned int t349; - unsigned int t350; - unsigned int t351; - unsigned int t352; - unsigned int t353; - char *t354; - unsigned int t356; - unsigned int t357; - unsigned int t358; - char *t359; - char *t360; - char *t361; - unsigned int t362; - unsigned int t363; - unsigned int t364; - unsigned int t365; - unsigned int t366; - unsigned int t367; - unsigned int t368; - char *t369; - char *t370; - unsigned int t371; - unsigned int t372; - unsigned int t373; - int t374; - unsigned int t375; - unsigned int t376; - unsigned int t377; - int t378; - unsigned int t379; - unsigned int t380; - unsigned int t381; - unsigned int t382; - char *t384; - unsigned int t385; - unsigned int t386; - unsigned int t387; - unsigned int t388; - unsigned int t389; - char *t390; - unsigned int t392; - unsigned int t393; - unsigned int t394; - char *t395; - char *t396; - char *t397; - unsigned int t398; - unsigned int t399; - unsigned int t400; - unsigned int t401; - unsigned int t402; - unsigned int t403; - unsigned int t404; - char *t405; - char *t406; - unsigned int t407; - unsigned int t408; - unsigned int t409; - unsigned int t410; - unsigned int t411; - unsigned int t412; - unsigned int t413; - unsigned int t414; - int t415; - int t416; - unsigned int t417; - unsigned int t418; - unsigned int t419; - unsigned int t420; - unsigned int t421; - unsigned int t422; - char *t423; - unsigned int t424; - unsigned int t425; - unsigned int t426; - unsigned int t427; - unsigned int t428; - char *t429; - char *t430; - char *t431; - unsigned int t432; - unsigned int t433; - unsigned int t434; - unsigned int t435; - unsigned int t436; - unsigned int t437; - unsigned int t438; - unsigned int t439; - char *t440; - -LAB0: t1 = (t0 + 12256U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(118, ng0); - t2 = (t0 + 12816); - *((int *)t2) = 1; - t3 = (t0 + 12288); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(118, ng0); - -LAB5: xsi_set_current_line(119, ng0); - t5 = (t0 + 7368); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = ((char*)((ng1))); - memset(t9, 0, 8); - t10 = (t7 + 4); - t11 = (t8 + 4); - t12 = *((unsigned int *)t7); - t13 = *((unsigned int *)t8); - t14 = (t12 ^ t13); - t15 = *((unsigned int *)t10); - t16 = *((unsigned int *)t11); - t17 = (t15 ^ t16); - t18 = (t14 | t17); - t19 = *((unsigned int *)t10); - t20 = *((unsigned int *)t11); - t21 = (t19 | t20); - t22 = (~(t21)); - t23 = (t18 & t22); - if (t23 != 0) - goto LAB9; - -LAB6: if (t21 != 0) - goto LAB8; - -LAB7: *((unsigned int *)t9) = 1; - -LAB9: memset(t25, 0, 8); - t26 = (t9 + 4); - t27 = *((unsigned int *)t26); - t28 = (~(t27)); - t29 = *((unsigned int *)t9); - t30 = (t29 & t28); - t31 = (t30 & 1U); - if (t31 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t26) != 0) - goto LAB12; - -LAB13: t33 = (t25 + 4); - t34 = *((unsigned int *)t25); - t35 = (!(t34)); - t36 = *((unsigned int *)t33); - t37 = (t35 || t36); - if (t37 > 0) - goto LAB14; - -LAB15: memcpy(t66, t25, 8); - -LAB16: memset(t94, 0, 8); - t95 = (t66 + 4); - t96 = *((unsigned int *)t95); - t97 = (~(t96)); - t98 = *((unsigned int *)t66); - t99 = (t98 & t97); - t100 = (t99 & 1U); - if (t100 != 0) - goto LAB28; - -LAB29: if (*((unsigned int *)t95) != 0) - goto LAB30; - -LAB31: t102 = (t94 + 4); - t103 = *((unsigned int *)t94); - t104 = (!(t103)); - t105 = *((unsigned int *)t102); - t106 = (t104 || t105); - if (t106 > 0) - goto LAB32; - -LAB33: memcpy(t135, t94, 8); - -LAB34: memset(t163, 0, 8); - t164 = (t135 + 4); - t165 = *((unsigned int *)t164); - t166 = (~(t165)); - t167 = *((unsigned int *)t135); - t168 = (t167 & t166); - t169 = (t168 & 1U); - if (t169 != 0) - goto LAB46; - -LAB47: if (*((unsigned int *)t164) != 0) - goto LAB48; - -LAB49: t171 = (t163 + 4); - t172 = *((unsigned int *)t163); - t173 = (!(t172)); - t174 = *((unsigned int *)t171); - t175 = (t173 || t174); - if (t175 > 0) - goto LAB50; - -LAB51: memcpy(t204, t163, 8); - -LAB52: memset(t232, 0, 8); - t233 = (t204 + 4); - t234 = *((unsigned int *)t233); - t235 = (~(t234)); - t236 = *((unsigned int *)t204); - t237 = (t236 & t235); - t238 = (t237 & 1U); - if (t238 != 0) - goto LAB64; - -LAB65: if (*((unsigned int *)t233) != 0) - goto LAB66; - -LAB67: t240 = (t232 + 4); - t241 = *((unsigned int *)t232); - t242 = (!(t241)); - t243 = *((unsigned int *)t240); - t244 = (t242 || t243); - if (t244 > 0) - goto LAB68; - -LAB69: memcpy(t273, t232, 8); - -LAB70: memset(t4, 0, 8); - t301 = (t273 + 4); - t302 = *((unsigned int *)t301); - t303 = (~(t302)); - t304 = *((unsigned int *)t273); - t305 = (t304 & t303); - t306 = (t305 & 1U); - if (t306 != 0) - goto LAB85; - -LAB83: if (*((unsigned int *)t301) == 0) - goto LAB82; - -LAB84: t307 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t307) = 1; - -LAB85: t308 = (t4 + 4); - t309 = (t273 + 4); - t310 = *((unsigned int *)t273); - t311 = (~(t310)); - *((unsigned int *)t4) = t311; - *((unsigned int *)t308) = 0; - if (*((unsigned int *)t309) != 0) - goto LAB87; - -LAB86: t316 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t316 & 1U); - t317 = *((unsigned int *)t308); - *((unsigned int *)t308) = (t317 & 1U); - t318 = (t0 + 3848); - xsi_vlogvar_wait_assign_value(t318, t4, 0, 0, 1, 0LL); - xsi_set_current_line(120, ng0); - t2 = (t0 + 2488U); - t3 = *((char **)t2); - memset(t9, 0, 8); - t2 = (t3 + 4); - t12 = *((unsigned int *)t2); - t13 = (~(t12)); - t14 = *((unsigned int *)t3); - t15 = (t14 & t13); - t16 = (t15 & 1U); - if (t16 != 0) - goto LAB88; - -LAB89: if (*((unsigned int *)t2) != 0) - goto LAB90; - -LAB91: t6 = (t9 + 4); - t17 = *((unsigned int *)t9); - t18 = *((unsigned int *)t6); - t19 = (t17 || t18); - if (t19 > 0) - goto LAB92; - -LAB93: memcpy(t391, t9, 8); - -LAB94: memset(t4, 0, 8); - t423 = (t391 + 4); - t424 = *((unsigned int *)t423); - t425 = (~(t424)); - t426 = *((unsigned int *)t391); - t427 = (t426 & t425); - t428 = (t427 & 1U); - if (t428 != 0) - goto LAB201; - -LAB199: if (*((unsigned int *)t423) == 0) - goto LAB198; - -LAB200: t429 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t429) = 1; - -LAB201: t430 = (t4 + 4); - t431 = (t391 + 4); - t432 = *((unsigned int *)t391); - t433 = (~(t432)); - *((unsigned int *)t4) = t433; - *((unsigned int *)t430) = 0; - if (*((unsigned int *)t431) != 0) - goto LAB203; - -LAB202: t438 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t438 & 1U); - t439 = *((unsigned int *)t430); - *((unsigned int *)t430) = (t439 & 1U); - t440 = (t0 + 4008); - xsi_vlogvar_wait_assign_value(t440, t4, 0, 0, 1, 0LL); - xsi_set_current_line(121, ng0); - t2 = (t0 + 2648U); - t3 = *((char **)t2); - memset(t9, 0, 8); - t2 = (t3 + 4); - t12 = *((unsigned int *)t2); - t13 = (~(t12)); - t14 = *((unsigned int *)t3); - t15 = (t14 & t13); - t16 = (t15 & 1U); - if (t16 != 0) - goto LAB204; - -LAB205: if (*((unsigned int *)t2) != 0) - goto LAB206; - -LAB207: t6 = (t9 + 4); - t17 = *((unsigned int *)t9); - t18 = *((unsigned int *)t6); - t19 = (t17 || t18); - if (t19 > 0) - goto LAB208; - -LAB209: memcpy(t391, t9, 8); - -LAB210: memset(t4, 0, 8); - t423 = (t391 + 4); - t424 = *((unsigned int *)t423); - t425 = (~(t424)); - t426 = *((unsigned int *)t391); - t427 = (t426 & t425); - t428 = (t427 & 1U); - if (t428 != 0) - goto LAB317; - -LAB315: if (*((unsigned int *)t423) == 0) - goto LAB314; - -LAB316: t429 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t429) = 1; - -LAB317: t430 = (t4 + 4); - t431 = (t391 + 4); - t432 = *((unsigned int *)t391); - t433 = (~(t432)); - *((unsigned int *)t4) = t433; - *((unsigned int *)t430) = 0; - if (*((unsigned int *)t431) != 0) - goto LAB319; - -LAB318: t438 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t438 & 1U); - t439 = *((unsigned int *)t430); - *((unsigned int *)t430) = (t439 & 1U); - t440 = (t0 + 4168); - xsi_vlogvar_wait_assign_value(t440, t4, 0, 0, 1, 0LL); - goto LAB2; - -LAB8: t24 = (t9 + 4); - *((unsigned int *)t9) = 1; - *((unsigned int *)t24) = 1; - goto LAB9; - -LAB10: *((unsigned int *)t25) = 1; - goto LAB13; - -LAB12: t32 = (t25 + 4); - *((unsigned int *)t25) = 1; - *((unsigned int *)t32) = 1; - goto LAB13; - -LAB14: t38 = (t0 + 7368); - t39 = (t38 + 56U); - t40 = *((char **)t39); - t41 = ((char*)((ng6))); - memset(t42, 0, 8); - t43 = (t40 + 4); - t44 = (t41 + 4); - t45 = *((unsigned int *)t40); - t46 = *((unsigned int *)t41); - t47 = (t45 ^ t46); - t48 = *((unsigned int *)t43); - t49 = *((unsigned int *)t44); - t50 = (t48 ^ t49); - t51 = (t47 | t50); - t52 = *((unsigned int *)t43); - t53 = *((unsigned int *)t44); - t54 = (t52 | t53); - t55 = (~(t54)); - t56 = (t51 & t55); - if (t56 != 0) - goto LAB20; - -LAB17: if (t54 != 0) - goto LAB19; - -LAB18: *((unsigned int *)t42) = 1; - -LAB20: memset(t58, 0, 8); - t59 = (t42 + 4); - t60 = *((unsigned int *)t59); - t61 = (~(t60)); - t62 = *((unsigned int *)t42); - t63 = (t62 & t61); - t64 = (t63 & 1U); - if (t64 != 0) - goto LAB21; - -LAB22: if (*((unsigned int *)t59) != 0) - goto LAB23; - -LAB24: t67 = *((unsigned int *)t25); - t68 = *((unsigned int *)t58); - t69 = (t67 | t68); - *((unsigned int *)t66) = t69; - t70 = (t25 + 4); - t71 = (t58 + 4); - t72 = (t66 + 4); - t73 = *((unsigned int *)t70); - t74 = *((unsigned int *)t71); - t75 = (t73 | t74); - *((unsigned int *)t72) = t75; - t76 = *((unsigned int *)t72); - t77 = (t76 != 0); - if (t77 == 1) - goto LAB25; - -LAB26: -LAB27: goto LAB16; - -LAB19: t57 = (t42 + 4); - *((unsigned int *)t42) = 1; - *((unsigned int *)t57) = 1; - goto LAB20; - -LAB21: *((unsigned int *)t58) = 1; - goto LAB24; - -LAB23: t65 = (t58 + 4); - *((unsigned int *)t58) = 1; - *((unsigned int *)t65) = 1; - goto LAB24; - -LAB25: t78 = *((unsigned int *)t66); - t79 = *((unsigned int *)t72); - *((unsigned int *)t66) = (t78 | t79); - t80 = (t25 + 4); - t81 = (t58 + 4); - t82 = *((unsigned int *)t80); - t83 = (~(t82)); - t84 = *((unsigned int *)t25); - t85 = (t84 & t83); - t86 = *((unsigned int *)t81); - t87 = (~(t86)); - t88 = *((unsigned int *)t58); - t89 = (t88 & t87); - t90 = (~(t85)); - t91 = (~(t89)); - t92 = *((unsigned int *)t72); - *((unsigned int *)t72) = (t92 & t90); - t93 = *((unsigned int *)t72); - *((unsigned int *)t72) = (t93 & t91); - goto LAB27; - -LAB28: *((unsigned int *)t94) = 1; - goto LAB31; - -LAB30: t101 = (t94 + 4); - *((unsigned int *)t94) = 1; - *((unsigned int *)t101) = 1; - goto LAB31; - -LAB32: t107 = (t0 + 7368); - t108 = (t107 + 56U); - t109 = *((char **)t108); - t110 = ((char*)((ng7))); - memset(t111, 0, 8); - t112 = (t109 + 4); - t113 = (t110 + 4); - t114 = *((unsigned int *)t109); - t115 = *((unsigned int *)t110); - t116 = (t114 ^ t115); - t117 = *((unsigned int *)t112); - t118 = *((unsigned int *)t113); - t119 = (t117 ^ t118); - t120 = (t116 | t119); - t121 = *((unsigned int *)t112); - t122 = *((unsigned int *)t113); - t123 = (t121 | t122); - t124 = (~(t123)); - t125 = (t120 & t124); - if (t125 != 0) - goto LAB38; - -LAB35: if (t123 != 0) - goto LAB37; - -LAB36: *((unsigned int *)t111) = 1; - -LAB38: memset(t127, 0, 8); - t128 = (t111 + 4); - t129 = *((unsigned int *)t128); - t130 = (~(t129)); - t131 = *((unsigned int *)t111); - t132 = (t131 & t130); - t133 = (t132 & 1U); - if (t133 != 0) - goto LAB39; - -LAB40: if (*((unsigned int *)t128) != 0) - goto LAB41; - -LAB42: t136 = *((unsigned int *)t94); - t137 = *((unsigned int *)t127); - t138 = (t136 | t137); - *((unsigned int *)t135) = t138; - t139 = (t94 + 4); - t140 = (t127 + 4); - t141 = (t135 + 4); - t142 = *((unsigned int *)t139); - t143 = *((unsigned int *)t140); - t144 = (t142 | t143); - *((unsigned int *)t141) = t144; - t145 = *((unsigned int *)t141); - t146 = (t145 != 0); - if (t146 == 1) - goto LAB43; - -LAB44: -LAB45: goto LAB34; - -LAB37: t126 = (t111 + 4); - *((unsigned int *)t111) = 1; - *((unsigned int *)t126) = 1; - goto LAB38; - -LAB39: *((unsigned int *)t127) = 1; - goto LAB42; - -LAB41: t134 = (t127 + 4); - *((unsigned int *)t127) = 1; - *((unsigned int *)t134) = 1; - goto LAB42; - -LAB43: t147 = *((unsigned int *)t135); - t148 = *((unsigned int *)t141); - *((unsigned int *)t135) = (t147 | t148); - t149 = (t94 + 4); - t150 = (t127 + 4); - t151 = *((unsigned int *)t149); - t152 = (~(t151)); - t153 = *((unsigned int *)t94); - t154 = (t153 & t152); - t155 = *((unsigned int *)t150); - t156 = (~(t155)); - t157 = *((unsigned int *)t127); - t158 = (t157 & t156); - t159 = (~(t154)); - t160 = (~(t158)); - t161 = *((unsigned int *)t141); - *((unsigned int *)t141) = (t161 & t159); - t162 = *((unsigned int *)t141); - *((unsigned int *)t141) = (t162 & t160); - goto LAB45; - -LAB46: *((unsigned int *)t163) = 1; - goto LAB49; - -LAB48: t170 = (t163 + 4); - *((unsigned int *)t163) = 1; - *((unsigned int *)t170) = 1; - goto LAB49; - -LAB50: t176 = (t0 + 7368); - t177 = (t176 + 56U); - t178 = *((char **)t177); - t179 = ((char*)((ng8))); - memset(t180, 0, 8); - t181 = (t178 + 4); - t182 = (t179 + 4); - t183 = *((unsigned int *)t178); - t184 = *((unsigned int *)t179); - t185 = (t183 ^ t184); - t186 = *((unsigned int *)t181); - t187 = *((unsigned int *)t182); - t188 = (t186 ^ t187); - t189 = (t185 | t188); - t190 = *((unsigned int *)t181); - t191 = *((unsigned int *)t182); - t192 = (t190 | t191); - t193 = (~(t192)); - t194 = (t189 & t193); - if (t194 != 0) - goto LAB56; - -LAB53: if (t192 != 0) - goto LAB55; - -LAB54: *((unsigned int *)t180) = 1; - -LAB56: memset(t196, 0, 8); - t197 = (t180 + 4); - t198 = *((unsigned int *)t197); - t199 = (~(t198)); - t200 = *((unsigned int *)t180); - t201 = (t200 & t199); - t202 = (t201 & 1U); - if (t202 != 0) - goto LAB57; - -LAB58: if (*((unsigned int *)t197) != 0) - goto LAB59; - -LAB60: t205 = *((unsigned int *)t163); - t206 = *((unsigned int *)t196); - t207 = (t205 | t206); - *((unsigned int *)t204) = t207; - t208 = (t163 + 4); - t209 = (t196 + 4); - t210 = (t204 + 4); - t211 = *((unsigned int *)t208); - t212 = *((unsigned int *)t209); - t213 = (t211 | t212); - *((unsigned int *)t210) = t213; - t214 = *((unsigned int *)t210); - t215 = (t214 != 0); - if (t215 == 1) - goto LAB61; - -LAB62: -LAB63: goto LAB52; - -LAB55: t195 = (t180 + 4); - *((unsigned int *)t180) = 1; - *((unsigned int *)t195) = 1; - goto LAB56; - -LAB57: *((unsigned int *)t196) = 1; - goto LAB60; - -LAB59: t203 = (t196 + 4); - *((unsigned int *)t196) = 1; - *((unsigned int *)t203) = 1; - goto LAB60; - -LAB61: t216 = *((unsigned int *)t204); - t217 = *((unsigned int *)t210); - *((unsigned int *)t204) = (t216 | t217); - t218 = (t163 + 4); - t219 = (t196 + 4); - t220 = *((unsigned int *)t218); - t221 = (~(t220)); - t222 = *((unsigned int *)t163); - t223 = (t222 & t221); - t224 = *((unsigned int *)t219); - t225 = (~(t224)); - t226 = *((unsigned int *)t196); - t227 = (t226 & t225); - t228 = (~(t223)); - t229 = (~(t227)); - t230 = *((unsigned int *)t210); - *((unsigned int *)t210) = (t230 & t228); - t231 = *((unsigned int *)t210); - *((unsigned int *)t210) = (t231 & t229); - goto LAB63; - -LAB64: *((unsigned int *)t232) = 1; - goto LAB67; - -LAB66: t239 = (t232 + 4); - *((unsigned int *)t232) = 1; - *((unsigned int *)t239) = 1; - goto LAB67; - -LAB68: t245 = (t0 + 7368); - t246 = (t245 + 56U); - t247 = *((char **)t246); - t248 = ((char*)((ng9))); - memset(t249, 0, 8); - t250 = (t247 + 4); - t251 = (t248 + 4); - t252 = *((unsigned int *)t247); - t253 = *((unsigned int *)t248); - t254 = (t252 ^ t253); - t255 = *((unsigned int *)t250); - t256 = *((unsigned int *)t251); - t257 = (t255 ^ t256); - t258 = (t254 | t257); - t259 = *((unsigned int *)t250); - t260 = *((unsigned int *)t251); - t261 = (t259 | t260); - t262 = (~(t261)); - t263 = (t258 & t262); - if (t263 != 0) - goto LAB74; - -LAB71: if (t261 != 0) - goto LAB73; - -LAB72: *((unsigned int *)t249) = 1; - -LAB74: memset(t265, 0, 8); - t266 = (t249 + 4); - t267 = *((unsigned int *)t266); - t268 = (~(t267)); - t269 = *((unsigned int *)t249); - t270 = (t269 & t268); - t271 = (t270 & 1U); - if (t271 != 0) - goto LAB75; - -LAB76: if (*((unsigned int *)t266) != 0) - goto LAB77; - -LAB78: t274 = *((unsigned int *)t232); - t275 = *((unsigned int *)t265); - t276 = (t274 | t275); - *((unsigned int *)t273) = t276; - t277 = (t232 + 4); - t278 = (t265 + 4); - t279 = (t273 + 4); - t280 = *((unsigned int *)t277); - t281 = *((unsigned int *)t278); - t282 = (t280 | t281); - *((unsigned int *)t279) = t282; - t283 = *((unsigned int *)t279); - t284 = (t283 != 0); - if (t284 == 1) - goto LAB79; - -LAB80: -LAB81: goto LAB70; - -LAB73: t264 = (t249 + 4); - *((unsigned int *)t249) = 1; - *((unsigned int *)t264) = 1; - goto LAB74; - -LAB75: *((unsigned int *)t265) = 1; - goto LAB78; - -LAB77: t272 = (t265 + 4); - *((unsigned int *)t265) = 1; - *((unsigned int *)t272) = 1; - goto LAB78; - -LAB79: t285 = *((unsigned int *)t273); - t286 = *((unsigned int *)t279); - *((unsigned int *)t273) = (t285 | t286); - t287 = (t232 + 4); - t288 = (t265 + 4); - t289 = *((unsigned int *)t287); - t290 = (~(t289)); - t291 = *((unsigned int *)t232); - t292 = (t291 & t290); - t293 = *((unsigned int *)t288); - t294 = (~(t293)); - t295 = *((unsigned int *)t265); - t296 = (t295 & t294); - t297 = (~(t292)); - t298 = (~(t296)); - t299 = *((unsigned int *)t279); - *((unsigned int *)t279) = (t299 & t297); - t300 = *((unsigned int *)t279); - *((unsigned int *)t279) = (t300 & t298); - goto LAB81; - -LAB82: *((unsigned int *)t4) = 1; - goto LAB85; - -LAB87: t312 = *((unsigned int *)t4); - t313 = *((unsigned int *)t309); - *((unsigned int *)t4) = (t312 | t313); - t314 = *((unsigned int *)t308); - t315 = *((unsigned int *)t309); - *((unsigned int *)t308) = (t314 | t315); - goto LAB86; - -LAB88: *((unsigned int *)t9) = 1; - goto LAB91; - -LAB90: t5 = (t9 + 4); - *((unsigned int *)t9) = 1; - *((unsigned int *)t5) = 1; - goto LAB91; - -LAB92: t7 = (t0 + 7368); - t8 = (t7 + 56U); - t10 = *((char **)t8); - t11 = ((char*)((ng1))); - memset(t25, 0, 8); - t24 = (t10 + 4); - t26 = (t11 + 4); - t20 = *((unsigned int *)t10); - t21 = *((unsigned int *)t11); - t22 = (t20 ^ t21); - t23 = *((unsigned int *)t24); - t27 = *((unsigned int *)t26); - t28 = (t23 ^ t27); - t29 = (t22 | t28); - t30 = *((unsigned int *)t24); - t31 = *((unsigned int *)t26); - t34 = (t30 | t31); - t35 = (~(t34)); - t36 = (t29 & t35); - if (t36 != 0) - goto LAB98; - -LAB95: if (t34 != 0) - goto LAB97; - -LAB96: *((unsigned int *)t25) = 1; - -LAB98: memset(t42, 0, 8); - t33 = (t25 + 4); - t37 = *((unsigned int *)t33); - t45 = (~(t37)); - t46 = *((unsigned int *)t25); - t47 = (t46 & t45); - t48 = (t47 & 1U); - if (t48 != 0) - goto LAB99; - -LAB100: if (*((unsigned int *)t33) != 0) - goto LAB101; - -LAB102: t39 = (t42 + 4); - t49 = *((unsigned int *)t42); - t50 = (!(t49)); - t51 = *((unsigned int *)t39); - t52 = (t50 || t51); - if (t52 > 0) - goto LAB103; - -LAB104: memcpy(t94, t42, 8); - -LAB105: memset(t111, 0, 8); - t102 = (t94 + 4); - t106 = *((unsigned int *)t102); - t114 = (~(t106)); - t115 = *((unsigned int *)t94); - t116 = (t115 & t114); - t117 = (t116 & 1U); - if (t117 != 0) - goto LAB117; - -LAB118: if (*((unsigned int *)t102) != 0) - goto LAB119; - -LAB120: t108 = (t111 + 4); - t118 = *((unsigned int *)t111); - t119 = *((unsigned int *)t108); - t120 = (t118 || t119); - if (t120 > 0) - goto LAB121; - -LAB122: memcpy(t163, t111, 8); - -LAB123: memset(t180, 0, 8); - t164 = (t163 + 4); - t186 = *((unsigned int *)t164); - t187 = (~(t186)); - t188 = *((unsigned int *)t163); - t189 = (t188 & t187); - t190 = (t189 & 1U); - if (t190 != 0) - goto LAB137; - -LAB138: if (*((unsigned int *)t164) != 0) - goto LAB139; - -LAB140: t171 = (t180 + 4); - t191 = *((unsigned int *)t180); - t192 = (!(t191)); - t193 = *((unsigned int *)t171); - t194 = (t192 || t193); - if (t194 > 0) - goto LAB141; - -LAB142: memcpy(t232, t180, 8); - -LAB143: memset(t249, 0, 8); - t233 = (t232 + 4); - t255 = *((unsigned int *)t233); - t256 = (~(t255)); - t257 = *((unsigned int *)t232); - t258 = (t257 & t256); - t259 = (t258 & 1U); - if (t259 != 0) - goto LAB155; - -LAB156: if (*((unsigned int *)t233) != 0) - goto LAB157; - -LAB158: t240 = (t249 + 4); - t260 = *((unsigned int *)t249); - t261 = (!(t260)); - t262 = *((unsigned int *)t240); - t263 = (t261 || t262); - if (t263 > 0) - goto LAB159; - -LAB160: memcpy(t319, t249, 8); - -LAB161: memset(t320, 0, 8); - t301 = (t319 + 4); - t317 = *((unsigned int *)t301); - t321 = (~(t317)); - t322 = *((unsigned int *)t319); - t323 = (t322 & t321); - t324 = (t323 & 1U); - if (t324 != 0) - goto LAB173; - -LAB174: if (*((unsigned int *)t301) != 0) - goto LAB175; - -LAB176: t308 = (t320 + 4); - t325 = *((unsigned int *)t320); - t326 = (!(t325)); - t327 = *((unsigned int *)t308); - t328 = (t326 || t327); - if (t328 > 0) - goto LAB177; - -LAB178: memcpy(t355, t320, 8); - -LAB179: memset(t383, 0, 8); - t384 = (t355 + 4); - t385 = *((unsigned int *)t384); - t386 = (~(t385)); - t387 = *((unsigned int *)t355); - t388 = (t387 & t386); - t389 = (t388 & 1U); - if (t389 != 0) - goto LAB191; - -LAB192: if (*((unsigned int *)t384) != 0) - goto LAB193; - -LAB194: t392 = *((unsigned int *)t9); - t393 = *((unsigned int *)t383); - t394 = (t392 & t393); - *((unsigned int *)t391) = t394; - t395 = (t9 + 4); - t396 = (t383 + 4); - t397 = (t391 + 4); - t398 = *((unsigned int *)t395); - t399 = *((unsigned int *)t396); - t400 = (t398 | t399); - *((unsigned int *)t397) = t400; - t401 = *((unsigned int *)t397); - t402 = (t401 != 0); - if (t402 == 1) - goto LAB195; - -LAB196: -LAB197: goto LAB94; - -LAB97: t32 = (t25 + 4); - *((unsigned int *)t25) = 1; - *((unsigned int *)t32) = 1; - goto LAB98; - -LAB99: *((unsigned int *)t42) = 1; - goto LAB102; - -LAB101: t38 = (t42 + 4); - *((unsigned int *)t42) = 1; - *((unsigned int *)t38) = 1; - goto LAB102; - -LAB103: t40 = (t0 + 7368); - t41 = (t40 + 56U); - t43 = *((char **)t41); - t44 = ((char*)((ng6))); - memset(t58, 0, 8); - t57 = (t43 + 4); - t59 = (t44 + 4); - t53 = *((unsigned int *)t43); - t54 = *((unsigned int *)t44); - t55 = (t53 ^ t54); - t56 = *((unsigned int *)t57); - t60 = *((unsigned int *)t59); - t61 = (t56 ^ t60); - t62 = (t55 | t61); - t63 = *((unsigned int *)t57); - t64 = *((unsigned int *)t59); - t67 = (t63 | t64); - t68 = (~(t67)); - t69 = (t62 & t68); - if (t69 != 0) - goto LAB109; - -LAB106: if (t67 != 0) - goto LAB108; - -LAB107: *((unsigned int *)t58) = 1; - -LAB109: memset(t66, 0, 8); - t70 = (t58 + 4); - t73 = *((unsigned int *)t70); - t74 = (~(t73)); - t75 = *((unsigned int *)t58); - t76 = (t75 & t74); - t77 = (t76 & 1U); - if (t77 != 0) - goto LAB110; - -LAB111: if (*((unsigned int *)t70) != 0) - goto LAB112; - -LAB113: t78 = *((unsigned int *)t42); - t79 = *((unsigned int *)t66); - t82 = (t78 | t79); - *((unsigned int *)t94) = t82; - t72 = (t42 + 4); - t80 = (t66 + 4); - t81 = (t94 + 4); - t83 = *((unsigned int *)t72); - t84 = *((unsigned int *)t80); - t86 = (t83 | t84); - *((unsigned int *)t81) = t86; - t87 = *((unsigned int *)t81); - t88 = (t87 != 0); - if (t88 == 1) - goto LAB114; - -LAB115: -LAB116: goto LAB105; - -LAB108: t65 = (t58 + 4); - *((unsigned int *)t58) = 1; - *((unsigned int *)t65) = 1; - goto LAB109; - -LAB110: *((unsigned int *)t66) = 1; - goto LAB113; - -LAB112: t71 = (t66 + 4); - *((unsigned int *)t66) = 1; - *((unsigned int *)t71) = 1; - goto LAB113; - -LAB114: t90 = *((unsigned int *)t94); - t91 = *((unsigned int *)t81); - *((unsigned int *)t94) = (t90 | t91); - t95 = (t42 + 4); - t101 = (t66 + 4); - t92 = *((unsigned int *)t95); - t93 = (~(t92)); - t96 = *((unsigned int *)t42); - t85 = (t96 & t93); - t97 = *((unsigned int *)t101); - t98 = (~(t97)); - t99 = *((unsigned int *)t66); - t89 = (t99 & t98); - t100 = (~(t85)); - t103 = (~(t89)); - t104 = *((unsigned int *)t81); - *((unsigned int *)t81) = (t104 & t100); - t105 = *((unsigned int *)t81); - *((unsigned int *)t81) = (t105 & t103); - goto LAB116; - -LAB117: *((unsigned int *)t111) = 1; - goto LAB120; - -LAB119: t107 = (t111 + 4); - *((unsigned int *)t111) = 1; - *((unsigned int *)t107) = 1; - goto LAB120; - -LAB121: t109 = (t0 + 2808U); - t110 = *((char **)t109); - memset(t127, 0, 8); - t109 = (t110 + 4); - t121 = *((unsigned int *)t109); - t122 = (~(t121)); - t123 = *((unsigned int *)t110); - t124 = (t123 & t122); - t125 = (t124 & 1U); - if (t125 != 0) - goto LAB127; - -LAB125: if (*((unsigned int *)t109) == 0) - goto LAB124; - -LAB126: t112 = (t127 + 4); - *((unsigned int *)t127) = 1; - *((unsigned int *)t112) = 1; - -LAB127: t113 = (t127 + 4); - t126 = (t110 + 4); - t129 = *((unsigned int *)t110); - t130 = (~(t129)); - *((unsigned int *)t127) = t130; - *((unsigned int *)t113) = 0; - if (*((unsigned int *)t126) != 0) - goto LAB129; - -LAB128: t137 = *((unsigned int *)t127); - *((unsigned int *)t127) = (t137 & 1U); - t138 = *((unsigned int *)t113); - *((unsigned int *)t113) = (t138 & 1U); - memset(t135, 0, 8); - t128 = (t127 + 4); - t142 = *((unsigned int *)t128); - t143 = (~(t142)); - t144 = *((unsigned int *)t127); - t145 = (t144 & t143); - t146 = (t145 & 1U); - if (t146 != 0) - goto LAB130; - -LAB131: if (*((unsigned int *)t128) != 0) - goto LAB132; - -LAB133: t147 = *((unsigned int *)t111); - t148 = *((unsigned int *)t135); - t151 = (t147 & t148); - *((unsigned int *)t163) = t151; - t139 = (t111 + 4); - t140 = (t135 + 4); - t141 = (t163 + 4); - t152 = *((unsigned int *)t139); - t153 = *((unsigned int *)t140); - t155 = (t152 | t153); - *((unsigned int *)t141) = t155; - t156 = *((unsigned int *)t141); - t157 = (t156 != 0); - if (t157 == 1) - goto LAB134; - -LAB135: -LAB136: goto LAB123; - -LAB124: *((unsigned int *)t127) = 1; - goto LAB127; - -LAB129: t131 = *((unsigned int *)t127); - t132 = *((unsigned int *)t126); - *((unsigned int *)t127) = (t131 | t132); - t133 = *((unsigned int *)t113); - t136 = *((unsigned int *)t126); - *((unsigned int *)t113) = (t133 | t136); - goto LAB128; - -LAB130: *((unsigned int *)t135) = 1; - goto LAB133; - -LAB132: t134 = (t135 + 4); - *((unsigned int *)t135) = 1; - *((unsigned int *)t134) = 1; - goto LAB133; - -LAB134: t159 = *((unsigned int *)t163); - t160 = *((unsigned int *)t141); - *((unsigned int *)t163) = (t159 | t160); - t149 = (t111 + 4); - t150 = (t135 + 4); - t161 = *((unsigned int *)t111); - t162 = (~(t161)); - t165 = *((unsigned int *)t149); - t166 = (~(t165)); - t167 = *((unsigned int *)t135); - t168 = (~(t167)); - t169 = *((unsigned int *)t150); - t172 = (~(t169)); - t154 = (t162 & t166); - t158 = (t168 & t172); - t173 = (~(t154)); - t174 = (~(t158)); - t175 = *((unsigned int *)t141); - *((unsigned int *)t141) = (t175 & t173); - t183 = *((unsigned int *)t141); - *((unsigned int *)t141) = (t183 & t174); - t184 = *((unsigned int *)t163); - *((unsigned int *)t163) = (t184 & t173); - t185 = *((unsigned int *)t163); - *((unsigned int *)t163) = (t185 & t174); - goto LAB136; - -LAB137: *((unsigned int *)t180) = 1; - goto LAB140; - -LAB139: t170 = (t180 + 4); - *((unsigned int *)t180) = 1; - *((unsigned int *)t170) = 1; - goto LAB140; - -LAB141: t176 = (t0 + 7368); - t177 = (t176 + 56U); - t178 = *((char **)t177); - t179 = ((char*)((ng7))); - memset(t196, 0, 8); - t181 = (t178 + 4); - t182 = (t179 + 4); - t198 = *((unsigned int *)t178); - t199 = *((unsigned int *)t179); - t200 = (t198 ^ t199); - t201 = *((unsigned int *)t181); - t202 = *((unsigned int *)t182); - t205 = (t201 ^ t202); - t206 = (t200 | t205); - t207 = *((unsigned int *)t181); - t211 = *((unsigned int *)t182); - t212 = (t207 | t211); - t213 = (~(t212)); - t214 = (t206 & t213); - if (t214 != 0) - goto LAB147; - -LAB144: if (t212 != 0) - goto LAB146; - -LAB145: *((unsigned int *)t196) = 1; - -LAB147: memset(t204, 0, 8); - t197 = (t196 + 4); - t215 = *((unsigned int *)t197); - t216 = (~(t215)); - t217 = *((unsigned int *)t196); - t220 = (t217 & t216); - t221 = (t220 & 1U); - if (t221 != 0) - goto LAB148; - -LAB149: if (*((unsigned int *)t197) != 0) - goto LAB150; - -LAB151: t222 = *((unsigned int *)t180); - t224 = *((unsigned int *)t204); - t225 = (t222 | t224); - *((unsigned int *)t232) = t225; - t208 = (t180 + 4); - t209 = (t204 + 4); - t210 = (t232 + 4); - t226 = *((unsigned int *)t208); - t228 = *((unsigned int *)t209); - t229 = (t226 | t228); - *((unsigned int *)t210) = t229; - t230 = *((unsigned int *)t210); - t231 = (t230 != 0); - if (t231 == 1) - goto LAB152; - -LAB153: -LAB154: goto LAB143; - -LAB146: t195 = (t196 + 4); - *((unsigned int *)t196) = 1; - *((unsigned int *)t195) = 1; - goto LAB147; - -LAB148: *((unsigned int *)t204) = 1; - goto LAB151; - -LAB150: t203 = (t204 + 4); - *((unsigned int *)t204) = 1; - *((unsigned int *)t203) = 1; - goto LAB151; - -LAB152: t234 = *((unsigned int *)t232); - t235 = *((unsigned int *)t210); - *((unsigned int *)t232) = (t234 | t235); - t218 = (t180 + 4); - t219 = (t204 + 4); - t236 = *((unsigned int *)t218); - t237 = (~(t236)); - t238 = *((unsigned int *)t180); - t223 = (t238 & t237); - t241 = *((unsigned int *)t219); - t242 = (~(t241)); - t243 = *((unsigned int *)t204); - t227 = (t243 & t242); - t244 = (~(t223)); - t252 = (~(t227)); - t253 = *((unsigned int *)t210); - *((unsigned int *)t210) = (t253 & t244); - t254 = *((unsigned int *)t210); - *((unsigned int *)t210) = (t254 & t252); - goto LAB154; - -LAB155: *((unsigned int *)t249) = 1; - goto LAB158; - -LAB157: t239 = (t249 + 4); - *((unsigned int *)t249) = 1; - *((unsigned int *)t239) = 1; - goto LAB158; - -LAB159: t245 = (t0 + 7368); - t246 = (t245 + 56U); - t247 = *((char **)t246); - t248 = ((char*)((ng8))); - memset(t265, 0, 8); - t250 = (t247 + 4); - t251 = (t248 + 4); - t267 = *((unsigned int *)t247); - t268 = *((unsigned int *)t248); - t269 = (t267 ^ t268); - t270 = *((unsigned int *)t250); - t271 = *((unsigned int *)t251); - t274 = (t270 ^ t271); - t275 = (t269 | t274); - t276 = *((unsigned int *)t250); - t280 = *((unsigned int *)t251); - t281 = (t276 | t280); - t282 = (~(t281)); - t283 = (t275 & t282); - if (t283 != 0) - goto LAB165; - -LAB162: if (t281 != 0) - goto LAB164; - -LAB163: *((unsigned int *)t265) = 1; - -LAB165: memset(t273, 0, 8); - t266 = (t265 + 4); - t284 = *((unsigned int *)t266); - t285 = (~(t284)); - t286 = *((unsigned int *)t265); - t289 = (t286 & t285); - t290 = (t289 & 1U); - if (t290 != 0) - goto LAB166; - -LAB167: if (*((unsigned int *)t266) != 0) - goto LAB168; - -LAB169: t291 = *((unsigned int *)t249); - t293 = *((unsigned int *)t273); - t294 = (t291 | t293); - *((unsigned int *)t319) = t294; - t277 = (t249 + 4); - t278 = (t273 + 4); - t279 = (t319 + 4); - t295 = *((unsigned int *)t277); - t297 = *((unsigned int *)t278); - t298 = (t295 | t297); - *((unsigned int *)t279) = t298; - t299 = *((unsigned int *)t279); - t300 = (t299 != 0); - if (t300 == 1) - goto LAB170; - -LAB171: -LAB172: goto LAB161; - -LAB164: t264 = (t265 + 4); - *((unsigned int *)t265) = 1; - *((unsigned int *)t264) = 1; - goto LAB165; - -LAB166: *((unsigned int *)t273) = 1; - goto LAB169; - -LAB168: t272 = (t273 + 4); - *((unsigned int *)t273) = 1; - *((unsigned int *)t272) = 1; - goto LAB169; - -LAB170: t302 = *((unsigned int *)t319); - t303 = *((unsigned int *)t279); - *((unsigned int *)t319) = (t302 | t303); - t287 = (t249 + 4); - t288 = (t273 + 4); - t304 = *((unsigned int *)t287); - t305 = (~(t304)); - t306 = *((unsigned int *)t249); - t292 = (t306 & t305); - t310 = *((unsigned int *)t288); - t311 = (~(t310)); - t312 = *((unsigned int *)t273); - t296 = (t312 & t311); - t313 = (~(t292)); - t314 = (~(t296)); - t315 = *((unsigned int *)t279); - *((unsigned int *)t279) = (t315 & t313); - t316 = *((unsigned int *)t279); - *((unsigned int *)t279) = (t316 & t314); - goto LAB172; - -LAB173: *((unsigned int *)t320) = 1; - goto LAB176; - -LAB175: t307 = (t320 + 4); - *((unsigned int *)t320) = 1; - *((unsigned int *)t307) = 1; - goto LAB176; - -LAB177: t309 = (t0 + 7368); - t318 = (t309 + 56U); - t329 = *((char **)t318); - t330 = ((char*)((ng9))); - memset(t331, 0, 8); - t332 = (t329 + 4); - t333 = (t330 + 4); - t334 = *((unsigned int *)t329); - t335 = *((unsigned int *)t330); - t336 = (t334 ^ t335); - t337 = *((unsigned int *)t332); - t338 = *((unsigned int *)t333); - t339 = (t337 ^ t338); - t340 = (t336 | t339); - t341 = *((unsigned int *)t332); - t342 = *((unsigned int *)t333); - t343 = (t341 | t342); - t344 = (~(t343)); - t345 = (t340 & t344); - if (t345 != 0) - goto LAB183; - -LAB180: if (t343 != 0) - goto LAB182; - -LAB181: *((unsigned int *)t331) = 1; - -LAB183: memset(t347, 0, 8); - t348 = (t331 + 4); - t349 = *((unsigned int *)t348); - t350 = (~(t349)); - t351 = *((unsigned int *)t331); - t352 = (t351 & t350); - t353 = (t352 & 1U); - if (t353 != 0) - goto LAB184; - -LAB185: if (*((unsigned int *)t348) != 0) - goto LAB186; - -LAB187: t356 = *((unsigned int *)t320); - t357 = *((unsigned int *)t347); - t358 = (t356 | t357); - *((unsigned int *)t355) = t358; - t359 = (t320 + 4); - t360 = (t347 + 4); - t361 = (t355 + 4); - t362 = *((unsigned int *)t359); - t363 = *((unsigned int *)t360); - t364 = (t362 | t363); - *((unsigned int *)t361) = t364; - t365 = *((unsigned int *)t361); - t366 = (t365 != 0); - if (t366 == 1) - goto LAB188; - -LAB189: -LAB190: goto LAB179; - -LAB182: t346 = (t331 + 4); - *((unsigned int *)t331) = 1; - *((unsigned int *)t346) = 1; - goto LAB183; - -LAB184: *((unsigned int *)t347) = 1; - goto LAB187; - -LAB186: t354 = (t347 + 4); - *((unsigned int *)t347) = 1; - *((unsigned int *)t354) = 1; - goto LAB187; - -LAB188: t367 = *((unsigned int *)t355); - t368 = *((unsigned int *)t361); - *((unsigned int *)t355) = (t367 | t368); - t369 = (t320 + 4); - t370 = (t347 + 4); - t371 = *((unsigned int *)t369); - t372 = (~(t371)); - t373 = *((unsigned int *)t320); - t374 = (t373 & t372); - t375 = *((unsigned int *)t370); - t376 = (~(t375)); - t377 = *((unsigned int *)t347); - t378 = (t377 & t376); - t379 = (~(t374)); - t380 = (~(t378)); - t381 = *((unsigned int *)t361); - *((unsigned int *)t361) = (t381 & t379); - t382 = *((unsigned int *)t361); - *((unsigned int *)t361) = (t382 & t380); - goto LAB190; - -LAB191: *((unsigned int *)t383) = 1; - goto LAB194; - -LAB193: t390 = (t383 + 4); - *((unsigned int *)t383) = 1; - *((unsigned int *)t390) = 1; - goto LAB194; - -LAB195: t403 = *((unsigned int *)t391); - t404 = *((unsigned int *)t397); - *((unsigned int *)t391) = (t403 | t404); - t405 = (t9 + 4); - t406 = (t383 + 4); - t407 = *((unsigned int *)t9); - t408 = (~(t407)); - t409 = *((unsigned int *)t405); - t410 = (~(t409)); - t411 = *((unsigned int *)t383); - t412 = (~(t411)); - t413 = *((unsigned int *)t406); - t414 = (~(t413)); - t415 = (t408 & t410); - t416 = (t412 & t414); - t417 = (~(t415)); - t418 = (~(t416)); - t419 = *((unsigned int *)t397); - *((unsigned int *)t397) = (t419 & t417); - t420 = *((unsigned int *)t397); - *((unsigned int *)t397) = (t420 & t418); - t421 = *((unsigned int *)t391); - *((unsigned int *)t391) = (t421 & t417); - t422 = *((unsigned int *)t391); - *((unsigned int *)t391) = (t422 & t418); - goto LAB197; - -LAB198: *((unsigned int *)t4) = 1; - goto LAB201; - -LAB203: t434 = *((unsigned int *)t4); - t435 = *((unsigned int *)t431); - *((unsigned int *)t4) = (t434 | t435); - t436 = *((unsigned int *)t430); - t437 = *((unsigned int *)t431); - *((unsigned int *)t430) = (t436 | t437); - goto LAB202; - -LAB204: *((unsigned int *)t9) = 1; - goto LAB207; - -LAB206: t5 = (t9 + 4); - *((unsigned int *)t9) = 1; - *((unsigned int *)t5) = 1; - goto LAB207; - -LAB208: t7 = (t0 + 7368); - t8 = (t7 + 56U); - t10 = *((char **)t8); - t11 = ((char*)((ng1))); - memset(t25, 0, 8); - t24 = (t10 + 4); - t26 = (t11 + 4); - t20 = *((unsigned int *)t10); - t21 = *((unsigned int *)t11); - t22 = (t20 ^ t21); - t23 = *((unsigned int *)t24); - t27 = *((unsigned int *)t26); - t28 = (t23 ^ t27); - t29 = (t22 | t28); - t30 = *((unsigned int *)t24); - t31 = *((unsigned int *)t26); - t34 = (t30 | t31); - t35 = (~(t34)); - t36 = (t29 & t35); - if (t36 != 0) - goto LAB214; - -LAB211: if (t34 != 0) - goto LAB213; - -LAB212: *((unsigned int *)t25) = 1; - -LAB214: memset(t42, 0, 8); - t33 = (t25 + 4); - t37 = *((unsigned int *)t33); - t45 = (~(t37)); - t46 = *((unsigned int *)t25); - t47 = (t46 & t45); - t48 = (t47 & 1U); - if (t48 != 0) - goto LAB215; - -LAB216: if (*((unsigned int *)t33) != 0) - goto LAB217; - -LAB218: t39 = (t42 + 4); - t49 = *((unsigned int *)t42); - t50 = (!(t49)); - t51 = *((unsigned int *)t39); - t52 = (t50 || t51); - if (t52 > 0) - goto LAB219; - -LAB220: memcpy(t94, t42, 8); - -LAB221: memset(t111, 0, 8); - t102 = (t94 + 4); - t106 = *((unsigned int *)t102); - t114 = (~(t106)); - t115 = *((unsigned int *)t94); - t116 = (t115 & t114); - t117 = (t116 & 1U); - if (t117 != 0) - goto LAB233; - -LAB234: if (*((unsigned int *)t102) != 0) - goto LAB235; - -LAB236: t108 = (t111 + 4); - t118 = *((unsigned int *)t111); - t119 = *((unsigned int *)t108); - t120 = (t118 || t119); - if (t120 > 0) - goto LAB237; - -LAB238: memcpy(t163, t111, 8); - -LAB239: memset(t180, 0, 8); - t164 = (t163 + 4); - t186 = *((unsigned int *)t164); - t187 = (~(t186)); - t188 = *((unsigned int *)t163); - t189 = (t188 & t187); - t190 = (t189 & 1U); - if (t190 != 0) - goto LAB253; - -LAB254: if (*((unsigned int *)t164) != 0) - goto LAB255; - -LAB256: t171 = (t180 + 4); - t191 = *((unsigned int *)t180); - t192 = (!(t191)); - t193 = *((unsigned int *)t171); - t194 = (t192 || t193); - if (t194 > 0) - goto LAB257; - -LAB258: memcpy(t232, t180, 8); - -LAB259: memset(t249, 0, 8); - t233 = (t232 + 4); - t255 = *((unsigned int *)t233); - t256 = (~(t255)); - t257 = *((unsigned int *)t232); - t258 = (t257 & t256); - t259 = (t258 & 1U); - if (t259 != 0) - goto LAB271; - -LAB272: if (*((unsigned int *)t233) != 0) - goto LAB273; - -LAB274: t240 = (t249 + 4); - t260 = *((unsigned int *)t249); - t261 = (!(t260)); - t262 = *((unsigned int *)t240); - t263 = (t261 || t262); - if (t263 > 0) - goto LAB275; - -LAB276: memcpy(t319, t249, 8); - -LAB277: memset(t320, 0, 8); - t301 = (t319 + 4); - t317 = *((unsigned int *)t301); - t321 = (~(t317)); - t322 = *((unsigned int *)t319); - t323 = (t322 & t321); - t324 = (t323 & 1U); - if (t324 != 0) - goto LAB289; - -LAB290: if (*((unsigned int *)t301) != 0) - goto LAB291; - -LAB292: t308 = (t320 + 4); - t325 = *((unsigned int *)t320); - t326 = (!(t325)); - t327 = *((unsigned int *)t308); - t328 = (t326 || t327); - if (t328 > 0) - goto LAB293; - -LAB294: memcpy(t355, t320, 8); - -LAB295: memset(t383, 0, 8); - t384 = (t355 + 4); - t385 = *((unsigned int *)t384); - t386 = (~(t385)); - t387 = *((unsigned int *)t355); - t388 = (t387 & t386); - t389 = (t388 & 1U); - if (t389 != 0) - goto LAB307; - -LAB308: if (*((unsigned int *)t384) != 0) - goto LAB309; - -LAB310: t392 = *((unsigned int *)t9); - t393 = *((unsigned int *)t383); - t394 = (t392 & t393); - *((unsigned int *)t391) = t394; - t395 = (t9 + 4); - t396 = (t383 + 4); - t397 = (t391 + 4); - t398 = *((unsigned int *)t395); - t399 = *((unsigned int *)t396); - t400 = (t398 | t399); - *((unsigned int *)t397) = t400; - t401 = *((unsigned int *)t397); - t402 = (t401 != 0); - if (t402 == 1) - goto LAB311; - -LAB312: -LAB313: goto LAB210; - -LAB213: t32 = (t25 + 4); - *((unsigned int *)t25) = 1; - *((unsigned int *)t32) = 1; - goto LAB214; - -LAB215: *((unsigned int *)t42) = 1; - goto LAB218; - -LAB217: t38 = (t42 + 4); - *((unsigned int *)t42) = 1; - *((unsigned int *)t38) = 1; - goto LAB218; - -LAB219: t40 = (t0 + 7368); - t41 = (t40 + 56U); - t43 = *((char **)t41); - t44 = ((char*)((ng6))); - memset(t58, 0, 8); - t57 = (t43 + 4); - t59 = (t44 + 4); - t53 = *((unsigned int *)t43); - t54 = *((unsigned int *)t44); - t55 = (t53 ^ t54); - t56 = *((unsigned int *)t57); - t60 = *((unsigned int *)t59); - t61 = (t56 ^ t60); - t62 = (t55 | t61); - t63 = *((unsigned int *)t57); - t64 = *((unsigned int *)t59); - t67 = (t63 | t64); - t68 = (~(t67)); - t69 = (t62 & t68); - if (t69 != 0) - goto LAB225; - -LAB222: if (t67 != 0) - goto LAB224; - -LAB223: *((unsigned int *)t58) = 1; - -LAB225: memset(t66, 0, 8); - t70 = (t58 + 4); - t73 = *((unsigned int *)t70); - t74 = (~(t73)); - t75 = *((unsigned int *)t58); - t76 = (t75 & t74); - t77 = (t76 & 1U); - if (t77 != 0) - goto LAB226; - -LAB227: if (*((unsigned int *)t70) != 0) - goto LAB228; - -LAB229: t78 = *((unsigned int *)t42); - t79 = *((unsigned int *)t66); - t82 = (t78 | t79); - *((unsigned int *)t94) = t82; - t72 = (t42 + 4); - t80 = (t66 + 4); - t81 = (t94 + 4); - t83 = *((unsigned int *)t72); - t84 = *((unsigned int *)t80); - t86 = (t83 | t84); - *((unsigned int *)t81) = t86; - t87 = *((unsigned int *)t81); - t88 = (t87 != 0); - if (t88 == 1) - goto LAB230; - -LAB231: -LAB232: goto LAB221; - -LAB224: t65 = (t58 + 4); - *((unsigned int *)t58) = 1; - *((unsigned int *)t65) = 1; - goto LAB225; - -LAB226: *((unsigned int *)t66) = 1; - goto LAB229; - -LAB228: t71 = (t66 + 4); - *((unsigned int *)t66) = 1; - *((unsigned int *)t71) = 1; - goto LAB229; - -LAB230: t90 = *((unsigned int *)t94); - t91 = *((unsigned int *)t81); - *((unsigned int *)t94) = (t90 | t91); - t95 = (t42 + 4); - t101 = (t66 + 4); - t92 = *((unsigned int *)t95); - t93 = (~(t92)); - t96 = *((unsigned int *)t42); - t85 = (t96 & t93); - t97 = *((unsigned int *)t101); - t98 = (~(t97)); - t99 = *((unsigned int *)t66); - t89 = (t99 & t98); - t100 = (~(t85)); - t103 = (~(t89)); - t104 = *((unsigned int *)t81); - *((unsigned int *)t81) = (t104 & t100); - t105 = *((unsigned int *)t81); - *((unsigned int *)t81) = (t105 & t103); - goto LAB232; - -LAB233: *((unsigned int *)t111) = 1; - goto LAB236; - -LAB235: t107 = (t111 + 4); - *((unsigned int *)t111) = 1; - *((unsigned int *)t107) = 1; - goto LAB236; - -LAB237: t109 = (t0 + 2808U); - t110 = *((char **)t109); - memset(t127, 0, 8); - t109 = (t110 + 4); - t121 = *((unsigned int *)t109); - t122 = (~(t121)); - t123 = *((unsigned int *)t110); - t124 = (t123 & t122); - t125 = (t124 & 1U); - if (t125 != 0) - goto LAB243; - -LAB241: if (*((unsigned int *)t109) == 0) - goto LAB240; - -LAB242: t112 = (t127 + 4); - *((unsigned int *)t127) = 1; - *((unsigned int *)t112) = 1; - -LAB243: t113 = (t127 + 4); - t126 = (t110 + 4); - t129 = *((unsigned int *)t110); - t130 = (~(t129)); - *((unsigned int *)t127) = t130; - *((unsigned int *)t113) = 0; - if (*((unsigned int *)t126) != 0) - goto LAB245; - -LAB244: t137 = *((unsigned int *)t127); - *((unsigned int *)t127) = (t137 & 1U); - t138 = *((unsigned int *)t113); - *((unsigned int *)t113) = (t138 & 1U); - memset(t135, 0, 8); - t128 = (t127 + 4); - t142 = *((unsigned int *)t128); - t143 = (~(t142)); - t144 = *((unsigned int *)t127); - t145 = (t144 & t143); - t146 = (t145 & 1U); - if (t146 != 0) - goto LAB246; - -LAB247: if (*((unsigned int *)t128) != 0) - goto LAB248; - -LAB249: t147 = *((unsigned int *)t111); - t148 = *((unsigned int *)t135); - t151 = (t147 & t148); - *((unsigned int *)t163) = t151; - t139 = (t111 + 4); - t140 = (t135 + 4); - t141 = (t163 + 4); - t152 = *((unsigned int *)t139); - t153 = *((unsigned int *)t140); - t155 = (t152 | t153); - *((unsigned int *)t141) = t155; - t156 = *((unsigned int *)t141); - t157 = (t156 != 0); - if (t157 == 1) - goto LAB250; - -LAB251: -LAB252: goto LAB239; - -LAB240: *((unsigned int *)t127) = 1; - goto LAB243; - -LAB245: t131 = *((unsigned int *)t127); - t132 = *((unsigned int *)t126); - *((unsigned int *)t127) = (t131 | t132); - t133 = *((unsigned int *)t113); - t136 = *((unsigned int *)t126); - *((unsigned int *)t113) = (t133 | t136); - goto LAB244; - -LAB246: *((unsigned int *)t135) = 1; - goto LAB249; - -LAB248: t134 = (t135 + 4); - *((unsigned int *)t135) = 1; - *((unsigned int *)t134) = 1; - goto LAB249; - -LAB250: t159 = *((unsigned int *)t163); - t160 = *((unsigned int *)t141); - *((unsigned int *)t163) = (t159 | t160); - t149 = (t111 + 4); - t150 = (t135 + 4); - t161 = *((unsigned int *)t111); - t162 = (~(t161)); - t165 = *((unsigned int *)t149); - t166 = (~(t165)); - t167 = *((unsigned int *)t135); - t168 = (~(t167)); - t169 = *((unsigned int *)t150); - t172 = (~(t169)); - t154 = (t162 & t166); - t158 = (t168 & t172); - t173 = (~(t154)); - t174 = (~(t158)); - t175 = *((unsigned int *)t141); - *((unsigned int *)t141) = (t175 & t173); - t183 = *((unsigned int *)t141); - *((unsigned int *)t141) = (t183 & t174); - t184 = *((unsigned int *)t163); - *((unsigned int *)t163) = (t184 & t173); - t185 = *((unsigned int *)t163); - *((unsigned int *)t163) = (t185 & t174); - goto LAB252; - -LAB253: *((unsigned int *)t180) = 1; - goto LAB256; - -LAB255: t170 = (t180 + 4); - *((unsigned int *)t180) = 1; - *((unsigned int *)t170) = 1; - goto LAB256; - -LAB257: t176 = (t0 + 7368); - t177 = (t176 + 56U); - t178 = *((char **)t177); - t179 = ((char*)((ng7))); - memset(t196, 0, 8); - t181 = (t178 + 4); - t182 = (t179 + 4); - t198 = *((unsigned int *)t178); - t199 = *((unsigned int *)t179); - t200 = (t198 ^ t199); - t201 = *((unsigned int *)t181); - t202 = *((unsigned int *)t182); - t205 = (t201 ^ t202); - t206 = (t200 | t205); - t207 = *((unsigned int *)t181); - t211 = *((unsigned int *)t182); - t212 = (t207 | t211); - t213 = (~(t212)); - t214 = (t206 & t213); - if (t214 != 0) - goto LAB263; - -LAB260: if (t212 != 0) - goto LAB262; - -LAB261: *((unsigned int *)t196) = 1; - -LAB263: memset(t204, 0, 8); - t197 = (t196 + 4); - t215 = *((unsigned int *)t197); - t216 = (~(t215)); - t217 = *((unsigned int *)t196); - t220 = (t217 & t216); - t221 = (t220 & 1U); - if (t221 != 0) - goto LAB264; - -LAB265: if (*((unsigned int *)t197) != 0) - goto LAB266; - -LAB267: t222 = *((unsigned int *)t180); - t224 = *((unsigned int *)t204); - t225 = (t222 | t224); - *((unsigned int *)t232) = t225; - t208 = (t180 + 4); - t209 = (t204 + 4); - t210 = (t232 + 4); - t226 = *((unsigned int *)t208); - t228 = *((unsigned int *)t209); - t229 = (t226 | t228); - *((unsigned int *)t210) = t229; - t230 = *((unsigned int *)t210); - t231 = (t230 != 0); - if (t231 == 1) - goto LAB268; - -LAB269: -LAB270: goto LAB259; - -LAB262: t195 = (t196 + 4); - *((unsigned int *)t196) = 1; - *((unsigned int *)t195) = 1; - goto LAB263; - -LAB264: *((unsigned int *)t204) = 1; - goto LAB267; - -LAB266: t203 = (t204 + 4); - *((unsigned int *)t204) = 1; - *((unsigned int *)t203) = 1; - goto LAB267; - -LAB268: t234 = *((unsigned int *)t232); - t235 = *((unsigned int *)t210); - *((unsigned int *)t232) = (t234 | t235); - t218 = (t180 + 4); - t219 = (t204 + 4); - t236 = *((unsigned int *)t218); - t237 = (~(t236)); - t238 = *((unsigned int *)t180); - t223 = (t238 & t237); - t241 = *((unsigned int *)t219); - t242 = (~(t241)); - t243 = *((unsigned int *)t204); - t227 = (t243 & t242); - t244 = (~(t223)); - t252 = (~(t227)); - t253 = *((unsigned int *)t210); - *((unsigned int *)t210) = (t253 & t244); - t254 = *((unsigned int *)t210); - *((unsigned int *)t210) = (t254 & t252); - goto LAB270; - -LAB271: *((unsigned int *)t249) = 1; - goto LAB274; - -LAB273: t239 = (t249 + 4); - *((unsigned int *)t249) = 1; - *((unsigned int *)t239) = 1; - goto LAB274; - -LAB275: t245 = (t0 + 7368); - t246 = (t245 + 56U); - t247 = *((char **)t246); - t248 = ((char*)((ng8))); - memset(t265, 0, 8); - t250 = (t247 + 4); - t251 = (t248 + 4); - t267 = *((unsigned int *)t247); - t268 = *((unsigned int *)t248); - t269 = (t267 ^ t268); - t270 = *((unsigned int *)t250); - t271 = *((unsigned int *)t251); - t274 = (t270 ^ t271); - t275 = (t269 | t274); - t276 = *((unsigned int *)t250); - t280 = *((unsigned int *)t251); - t281 = (t276 | t280); - t282 = (~(t281)); - t283 = (t275 & t282); - if (t283 != 0) - goto LAB281; - -LAB278: if (t281 != 0) - goto LAB280; - -LAB279: *((unsigned int *)t265) = 1; - -LAB281: memset(t273, 0, 8); - t266 = (t265 + 4); - t284 = *((unsigned int *)t266); - t285 = (~(t284)); - t286 = *((unsigned int *)t265); - t289 = (t286 & t285); - t290 = (t289 & 1U); - if (t290 != 0) - goto LAB282; - -LAB283: if (*((unsigned int *)t266) != 0) - goto LAB284; - -LAB285: t291 = *((unsigned int *)t249); - t293 = *((unsigned int *)t273); - t294 = (t291 | t293); - *((unsigned int *)t319) = t294; - t277 = (t249 + 4); - t278 = (t273 + 4); - t279 = (t319 + 4); - t295 = *((unsigned int *)t277); - t297 = *((unsigned int *)t278); - t298 = (t295 | t297); - *((unsigned int *)t279) = t298; - t299 = *((unsigned int *)t279); - t300 = (t299 != 0); - if (t300 == 1) - goto LAB286; - -LAB287: -LAB288: goto LAB277; - -LAB280: t264 = (t265 + 4); - *((unsigned int *)t265) = 1; - *((unsigned int *)t264) = 1; - goto LAB281; - -LAB282: *((unsigned int *)t273) = 1; - goto LAB285; - -LAB284: t272 = (t273 + 4); - *((unsigned int *)t273) = 1; - *((unsigned int *)t272) = 1; - goto LAB285; - -LAB286: t302 = *((unsigned int *)t319); - t303 = *((unsigned int *)t279); - *((unsigned int *)t319) = (t302 | t303); - t287 = (t249 + 4); - t288 = (t273 + 4); - t304 = *((unsigned int *)t287); - t305 = (~(t304)); - t306 = *((unsigned int *)t249); - t292 = (t306 & t305); - t310 = *((unsigned int *)t288); - t311 = (~(t310)); - t312 = *((unsigned int *)t273); - t296 = (t312 & t311); - t313 = (~(t292)); - t314 = (~(t296)); - t315 = *((unsigned int *)t279); - *((unsigned int *)t279) = (t315 & t313); - t316 = *((unsigned int *)t279); - *((unsigned int *)t279) = (t316 & t314); - goto LAB288; - -LAB289: *((unsigned int *)t320) = 1; - goto LAB292; - -LAB291: t307 = (t320 + 4); - *((unsigned int *)t320) = 1; - *((unsigned int *)t307) = 1; - goto LAB292; - -LAB293: t309 = (t0 + 7368); - t318 = (t309 + 56U); - t329 = *((char **)t318); - t330 = ((char*)((ng9))); - memset(t331, 0, 8); - t332 = (t329 + 4); - t333 = (t330 + 4); - t334 = *((unsigned int *)t329); - t335 = *((unsigned int *)t330); - t336 = (t334 ^ t335); - t337 = *((unsigned int *)t332); - t338 = *((unsigned int *)t333); - t339 = (t337 ^ t338); - t340 = (t336 | t339); - t341 = *((unsigned int *)t332); - t342 = *((unsigned int *)t333); - t343 = (t341 | t342); - t344 = (~(t343)); - t345 = (t340 & t344); - if (t345 != 0) - goto LAB299; - -LAB296: if (t343 != 0) - goto LAB298; - -LAB297: *((unsigned int *)t331) = 1; - -LAB299: memset(t347, 0, 8); - t348 = (t331 + 4); - t349 = *((unsigned int *)t348); - t350 = (~(t349)); - t351 = *((unsigned int *)t331); - t352 = (t351 & t350); - t353 = (t352 & 1U); - if (t353 != 0) - goto LAB300; - -LAB301: if (*((unsigned int *)t348) != 0) - goto LAB302; - -LAB303: t356 = *((unsigned int *)t320); - t357 = *((unsigned int *)t347); - t358 = (t356 | t357); - *((unsigned int *)t355) = t358; - t359 = (t320 + 4); - t360 = (t347 + 4); - t361 = (t355 + 4); - t362 = *((unsigned int *)t359); - t363 = *((unsigned int *)t360); - t364 = (t362 | t363); - *((unsigned int *)t361) = t364; - t365 = *((unsigned int *)t361); - t366 = (t365 != 0); - if (t366 == 1) - goto LAB304; - -LAB305: -LAB306: goto LAB295; - -LAB298: t346 = (t331 + 4); - *((unsigned int *)t331) = 1; - *((unsigned int *)t346) = 1; - goto LAB299; - -LAB300: *((unsigned int *)t347) = 1; - goto LAB303; - -LAB302: t354 = (t347 + 4); - *((unsigned int *)t347) = 1; - *((unsigned int *)t354) = 1; - goto LAB303; - -LAB304: t367 = *((unsigned int *)t355); - t368 = *((unsigned int *)t361); - *((unsigned int *)t355) = (t367 | t368); - t369 = (t320 + 4); - t370 = (t347 + 4); - t371 = *((unsigned int *)t369); - t372 = (~(t371)); - t373 = *((unsigned int *)t320); - t374 = (t373 & t372); - t375 = *((unsigned int *)t370); - t376 = (~(t375)); - t377 = *((unsigned int *)t347); - t378 = (t377 & t376); - t379 = (~(t374)); - t380 = (~(t378)); - t381 = *((unsigned int *)t361); - *((unsigned int *)t361) = (t381 & t379); - t382 = *((unsigned int *)t361); - *((unsigned int *)t361) = (t382 & t380); - goto LAB306; - -LAB307: *((unsigned int *)t383) = 1; - goto LAB310; - -LAB309: t390 = (t383 + 4); - *((unsigned int *)t383) = 1; - *((unsigned int *)t390) = 1; - goto LAB310; - -LAB311: t403 = *((unsigned int *)t391); - t404 = *((unsigned int *)t397); - *((unsigned int *)t391) = (t403 | t404); - t405 = (t9 + 4); - t406 = (t383 + 4); - t407 = *((unsigned int *)t9); - t408 = (~(t407)); - t409 = *((unsigned int *)t405); - t410 = (~(t409)); - t411 = *((unsigned int *)t383); - t412 = (~(t411)); - t413 = *((unsigned int *)t406); - t414 = (~(t413)); - t415 = (t408 & t410); - t416 = (t412 & t414); - t417 = (~(t415)); - t418 = (~(t416)); - t419 = *((unsigned int *)t397); - *((unsigned int *)t397) = (t419 & t417); - t420 = *((unsigned int *)t397); - *((unsigned int *)t397) = (t420 & t418); - t421 = *((unsigned int *)t391); - *((unsigned int *)t391) = (t421 & t417); - t422 = *((unsigned int *)t391); - *((unsigned int *)t391) = (t422 & t418); - goto LAB313; - -LAB314: *((unsigned int *)t4) = 1; - goto LAB317; - -LAB319: t434 = *((unsigned int *)t4); - t435 = *((unsigned int *)t431); - *((unsigned int *)t4) = (t434 | t435); - t436 = *((unsigned int *)t430); - t437 = *((unsigned int *)t431); - *((unsigned int *)t430) = (t436 | t437); - goto LAB318; - -} - - -extern void work_m_00000000000808859884_3633994423_init() -{ - static char *pe[] = {(void *)Always_13_0,(void *)Always_17_1,(void *)Always_23_2,(void *)NetDecl_29_3,(void *)NetDecl_30_4,(void *)NetDecl_31_5,(void *)NetDecl_32_6,(void *)Always_38_7,(void *)Always_39_8,(void *)Always_40_9,(void *)Always_48_10,(void *)Always_49_11,(void *)Always_56_12,(void *)Cont_110_13,(void *)Always_111_14,(void *)Always_112_15,(void *)Always_118_16}; - xsi_register_didat("work_m_00000000000808859884_3633994423", "isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.didat b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.didat deleted file mode 100644 index 557c2e9..0000000 Binary files a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.didat and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.nt64.obj b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.nt64.obj deleted file mode 100644 index 864a0e2..0000000 Binary files a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.nt64.obj and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.c b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.c deleted file mode 100644 index 3ce1b39..0000000 --- a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.c +++ /dev/null @@ -1,3059 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_iobm.v"; -static int ng1[] = {0, 0}; -static int ng2[] = {1, 0}; - - - -static void Initial_77_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 5408U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(77, ng0); - -LAB4: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(79, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(79, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(79, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(79, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(80, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(80, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(80, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(80, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(80, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(80, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(80, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(80, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: xsi_set_current_line(81, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(81, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB17; - goto LAB1; - -LAB17: xsi_set_current_line(81, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(81, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB18; - goto LAB1; - -LAB18: xsi_set_current_line(81, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(81, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB19; - goto LAB1; - -LAB19: xsi_set_current_line(81, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(81, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB20; - goto LAB1; - -LAB20: xsi_set_current_line(82, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB21; - goto LAB1; - -LAB21: xsi_set_current_line(82, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB22; - goto LAB1; - -LAB22: xsi_set_current_line(82, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB23; - goto LAB1; - -LAB23: xsi_set_current_line(82, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB24; - goto LAB1; - -LAB24: xsi_set_current_line(83, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(83, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB25; - goto LAB1; - -LAB25: xsi_set_current_line(83, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(83, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB26; - goto LAB1; - -LAB26: xsi_set_current_line(83, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(83, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB27; - goto LAB1; - -LAB27: xsi_set_current_line(83, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(83, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB28; - goto LAB1; - -LAB28: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB29; - goto LAB1; - -LAB29: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB30; - goto LAB1; - -LAB30: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB31; - goto LAB1; - -LAB31: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB32; - goto LAB1; - -LAB32: xsi_set_current_line(85, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(85, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB33; - goto LAB1; - -LAB33: xsi_set_current_line(85, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(85, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB34; - goto LAB1; - -LAB34: xsi_set_current_line(85, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(85, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB35; - goto LAB1; - -LAB35: xsi_set_current_line(85, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(85, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB36; - goto LAB1; - -LAB36: xsi_set_current_line(86, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(86, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB37; - goto LAB1; - -LAB37: xsi_set_current_line(86, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(86, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB38; - goto LAB1; - -LAB38: xsi_set_current_line(86, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(86, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB39; - goto LAB1; - -LAB39: xsi_set_current_line(86, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(86, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB40; - goto LAB1; - -LAB40: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB41; - goto LAB1; - -LAB41: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB42; - goto LAB1; - -LAB42: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB43; - goto LAB1; - -LAB43: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB44; - goto LAB1; - -LAB44: xsi_set_current_line(88, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(88, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB45; - goto LAB1; - -LAB45: xsi_set_current_line(88, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(88, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB46; - goto LAB1; - -LAB46: xsi_set_current_line(88, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(88, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB47; - goto LAB1; - -LAB47: xsi_set_current_line(88, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(88, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB48; - goto LAB1; - -LAB48: xsi_set_current_line(89, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(89, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB49; - goto LAB1; - -LAB49: xsi_set_current_line(89, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(89, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB50; - goto LAB1; - -LAB50: xsi_set_current_line(89, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(89, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB51; - goto LAB1; - -LAB51: xsi_set_current_line(89, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(89, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB52; - goto LAB1; - -LAB52: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(90, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB53; - goto LAB1; - -LAB53: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(90, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB54; - goto LAB1; - -LAB54: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(90, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB55; - goto LAB1; - -LAB55: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(90, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB56; - goto LAB1; - -LAB56: xsi_set_current_line(91, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(91, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB57; - goto LAB1; - -LAB57: xsi_set_current_line(91, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(91, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB58; - goto LAB1; - -LAB58: xsi_set_current_line(91, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(91, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB59; - goto LAB1; - -LAB59: xsi_set_current_line(91, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(91, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB60; - goto LAB1; - -LAB60: xsi_set_current_line(92, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(92, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB61; - goto LAB1; - -LAB61: xsi_set_current_line(92, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(92, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB62; - goto LAB1; - -LAB62: xsi_set_current_line(92, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(92, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB63; - goto LAB1; - -LAB63: xsi_set_current_line(92, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(92, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB64; - goto LAB1; - -LAB64: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(93, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB65; - goto LAB1; - -LAB65: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(93, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB66; - goto LAB1; - -LAB66: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(93, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB67; - goto LAB1; - -LAB67: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(93, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB68; - goto LAB1; - -LAB68: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(94, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB69; - goto LAB1; - -LAB69: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(94, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB70; - goto LAB1; - -LAB70: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(94, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB71; - goto LAB1; - -LAB71: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(94, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB72; - goto LAB1; - -LAB72: xsi_set_current_line(95, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(95, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB73; - goto LAB1; - -LAB73: xsi_set_current_line(95, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(95, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB74; - goto LAB1; - -LAB74: xsi_set_current_line(95, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(95, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB75; - goto LAB1; - -LAB75: xsi_set_current_line(95, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(95, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB76; - goto LAB1; - -LAB76: xsi_set_current_line(96, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(96, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB77; - goto LAB1; - -LAB77: xsi_set_current_line(96, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(96, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB78; - goto LAB1; - -LAB78: xsi_set_current_line(96, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(96, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB79; - goto LAB1; - -LAB79: xsi_set_current_line(96, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(96, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB80; - goto LAB1; - -LAB80: xsi_set_current_line(97, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(97, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB81; - goto LAB1; - -LAB81: xsi_set_current_line(97, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(97, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB82; - goto LAB1; - -LAB82: xsi_set_current_line(97, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(97, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB83; - goto LAB1; - -LAB83: xsi_set_current_line(97, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(97, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB84; - goto LAB1; - -LAB84: xsi_set_current_line(98, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(98, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB85; - goto LAB1; - -LAB85: xsi_set_current_line(98, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(98, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB86; - goto LAB1; - -LAB86: xsi_set_current_line(98, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(98, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB87; - goto LAB1; - -LAB87: xsi_set_current_line(98, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(98, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB88; - goto LAB1; - -LAB88: xsi_set_current_line(99, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(99, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB89; - goto LAB1; - -LAB89: xsi_set_current_line(99, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(99, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB90; - goto LAB1; - -LAB90: xsi_set_current_line(99, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(99, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB91; - goto LAB1; - -LAB91: xsi_set_current_line(99, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(99, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB92; - goto LAB1; - -LAB92: xsi_set_current_line(100, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(100, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB93; - goto LAB1; - -LAB93: xsi_set_current_line(100, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(100, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB94; - goto LAB1; - -LAB94: xsi_set_current_line(100, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(100, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB95; - goto LAB1; - -LAB95: xsi_set_current_line(100, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(100, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB96; - goto LAB1; - -LAB96: xsi_set_current_line(101, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(101, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB97; - goto LAB1; - -LAB97: xsi_set_current_line(101, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(101, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB98; - goto LAB1; - -LAB98: xsi_set_current_line(101, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(101, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB99; - goto LAB1; - -LAB99: xsi_set_current_line(101, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(101, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB100; - goto LAB1; - -LAB100: xsi_set_current_line(102, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(102, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB101; - goto LAB1; - -LAB101: xsi_set_current_line(102, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(102, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB102; - goto LAB1; - -LAB102: xsi_set_current_line(102, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(102, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB103; - goto LAB1; - -LAB103: xsi_set_current_line(102, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(102, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB104; - goto LAB1; - -LAB104: xsi_set_current_line(103, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(103, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB105; - goto LAB1; - -LAB105: xsi_set_current_line(103, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(103, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB106; - goto LAB1; - -LAB106: xsi_set_current_line(103, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(103, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB107; - goto LAB1; - -LAB107: xsi_set_current_line(103, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(103, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB108; - goto LAB1; - -LAB108: xsi_set_current_line(104, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(104, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB109; - goto LAB1; - -LAB109: xsi_set_current_line(104, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(104, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB110; - goto LAB1; - -LAB110: xsi_set_current_line(104, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(104, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB111; - goto LAB1; - -LAB111: xsi_set_current_line(104, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(104, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB112; - goto LAB1; - -LAB112: xsi_set_current_line(105, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(105, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB113; - goto LAB1; - -LAB113: xsi_set_current_line(105, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(105, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB114; - goto LAB1; - -LAB114: xsi_set_current_line(105, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(105, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB115; - goto LAB1; - -LAB115: xsi_set_current_line(105, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(105, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB116; - goto LAB1; - -LAB116: xsi_set_current_line(106, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(106, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB117; - goto LAB1; - -LAB117: xsi_set_current_line(106, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(106, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB118; - goto LAB1; - -LAB118: xsi_set_current_line(106, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(106, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB119; - goto LAB1; - -LAB119: xsi_set_current_line(106, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(106, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB120; - goto LAB1; - -LAB120: xsi_set_current_line(107, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(107, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB121; - goto LAB1; - -LAB121: xsi_set_current_line(107, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(107, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB122; - goto LAB1; - -LAB122: xsi_set_current_line(107, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(107, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB123; - goto LAB1; - -LAB123: xsi_set_current_line(107, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(107, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB124; - goto LAB1; - -LAB124: xsi_set_current_line(108, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(108, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB125; - goto LAB1; - -LAB125: xsi_set_current_line(108, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(108, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB126; - goto LAB1; - -LAB126: xsi_set_current_line(108, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(108, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB127; - goto LAB1; - -LAB127: xsi_set_current_line(108, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(108, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB128; - goto LAB1; - -LAB128: xsi_set_current_line(109, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(109, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB129; - goto LAB1; - -LAB129: xsi_set_current_line(109, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(109, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB130; - goto LAB1; - -LAB130: xsi_set_current_line(109, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(109, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB131; - goto LAB1; - -LAB131: xsi_set_current_line(109, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(109, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB132; - goto LAB1; - -LAB132: xsi_set_current_line(110, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(110, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB133; - goto LAB1; - -LAB133: xsi_set_current_line(110, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(110, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB134; - goto LAB1; - -LAB134: xsi_set_current_line(110, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(110, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB135; - goto LAB1; - -LAB135: xsi_set_current_line(110, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(110, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB136; - goto LAB1; - -LAB136: xsi_set_current_line(111, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(111, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB137; - goto LAB1; - -LAB137: xsi_set_current_line(111, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(111, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB138; - goto LAB1; - -LAB138: xsi_set_current_line(111, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(111, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB139; - goto LAB1; - -LAB139: xsi_set_current_line(111, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(111, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB140; - goto LAB1; - -LAB140: xsi_set_current_line(112, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(112, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB141; - goto LAB1; - -LAB141: xsi_set_current_line(112, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(112, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB142; - goto LAB1; - -LAB142: xsi_set_current_line(112, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(112, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB143; - goto LAB1; - -LAB143: xsi_set_current_line(112, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(112, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB144; - goto LAB1; - -LAB144: xsi_set_current_line(113, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(113, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB145; - goto LAB1; - -LAB145: xsi_set_current_line(113, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(113, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB146; - goto LAB1; - -LAB146: xsi_set_current_line(113, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(113, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB147; - goto LAB1; - -LAB147: xsi_set_current_line(113, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(113, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB148; - goto LAB1; - -LAB148: xsi_set_current_line(114, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(114, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB149; - goto LAB1; - -LAB149: xsi_set_current_line(114, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(114, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB150; - goto LAB1; - -LAB150: xsi_set_current_line(114, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(114, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB151; - goto LAB1; - -LAB151: xsi_set_current_line(114, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(114, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB152; - goto LAB1; - -LAB152: xsi_set_current_line(115, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(115, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB153; - goto LAB1; - -LAB153: xsi_set_current_line(115, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(115, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB154; - goto LAB1; - -LAB154: xsi_set_current_line(115, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(115, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB155; - goto LAB1; - -LAB155: xsi_set_current_line(115, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(115, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB156; - goto LAB1; - -LAB156: xsi_set_current_line(116, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(116, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB157; - goto LAB1; - -LAB157: xsi_set_current_line(116, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(116, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB158; - goto LAB1; - -LAB158: xsi_set_current_line(116, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(116, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB159; - goto LAB1; - -LAB159: xsi_set_current_line(116, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(116, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB160; - goto LAB1; - -LAB160: xsi_set_current_line(117, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(117, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB161; - goto LAB1; - -LAB161: xsi_set_current_line(117, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(117, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB162; - goto LAB1; - -LAB162: xsi_set_current_line(117, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(117, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB163; - goto LAB1; - -LAB163: xsi_set_current_line(117, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(117, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB164; - goto LAB1; - -LAB164: xsi_set_current_line(118, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(118, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB165; - goto LAB1; - -LAB165: xsi_set_current_line(118, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(118, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB166; - goto LAB1; - -LAB166: xsi_set_current_line(118, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(118, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB167; - goto LAB1; - -LAB167: xsi_set_current_line(118, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(118, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB168; - goto LAB1; - -LAB168: xsi_set_current_line(119, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(119, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB169; - goto LAB1; - -LAB169: xsi_set_current_line(119, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(119, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB170; - goto LAB1; - -LAB170: xsi_set_current_line(119, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(119, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB171; - goto LAB1; - -LAB171: xsi_set_current_line(119, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(119, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB172; - goto LAB1; - -LAB172: xsi_set_current_line(120, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(120, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB173; - goto LAB1; - -LAB173: xsi_set_current_line(120, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(120, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB174; - goto LAB1; - -LAB174: xsi_set_current_line(120, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(120, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB175; - goto LAB1; - -LAB175: xsi_set_current_line(120, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(120, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB176; - goto LAB1; - -LAB176: xsi_set_current_line(121, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(121, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB177; - goto LAB1; - -LAB177: xsi_set_current_line(121, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(121, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB178; - goto LAB1; - -LAB178: xsi_set_current_line(121, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(121, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB179; - goto LAB1; - -LAB179: xsi_set_current_line(121, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(121, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB180; - goto LAB1; - -LAB180: xsi_set_current_line(122, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(122, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB181; - goto LAB1; - -LAB181: xsi_set_current_line(122, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(122, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB182; - goto LAB1; - -LAB182: xsi_set_current_line(122, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(122, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB183; - goto LAB1; - -LAB183: xsi_set_current_line(122, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(122, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB184; - goto LAB1; - -LAB184: xsi_set_current_line(123, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(123, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB185; - goto LAB1; - -LAB185: xsi_set_current_line(123, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(123, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB186; - goto LAB1; - -LAB186: xsi_set_current_line(123, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(123, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB187; - goto LAB1; - -LAB187: xsi_set_current_line(123, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(123, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB188; - goto LAB1; - -LAB188: goto LAB1; - -} - -static void Initial_126_1(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 5656U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(126, ng0); - -LAB4: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(127, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(127, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(127, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(127, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(128, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(128, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(128, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(128, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(128, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(128, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(128, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(128, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(129, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(129, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(129, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(129, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(129, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(129, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(129, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(129, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: xsi_set_current_line(130, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(130, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB17; - goto LAB1; - -LAB17: xsi_set_current_line(130, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(130, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB18; - goto LAB1; - -LAB18: xsi_set_current_line(130, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(130, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB19; - goto LAB1; - -LAB19: xsi_set_current_line(130, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(130, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB20; - goto LAB1; - -LAB20: xsi_set_current_line(131, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(131, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB21; - goto LAB1; - -LAB21: xsi_set_current_line(131, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(131, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB22; - goto LAB1; - -LAB22: xsi_set_current_line(131, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(131, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB23; - goto LAB1; - -LAB23: xsi_set_current_line(131, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(131, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB24; - goto LAB1; - -LAB24: xsi_set_current_line(132, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(132, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB25; - goto LAB1; - -LAB25: xsi_set_current_line(132, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(132, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB26; - goto LAB1; - -LAB26: xsi_set_current_line(132, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(132, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB27; - goto LAB1; - -LAB27: xsi_set_current_line(132, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(132, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB28; - goto LAB1; - -LAB28: xsi_set_current_line(133, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(133, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB29; - goto LAB1; - -LAB29: xsi_set_current_line(133, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(133, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB30; - goto LAB1; - -LAB30: xsi_set_current_line(133, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(133, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB31; - goto LAB1; - -LAB31: xsi_set_current_line(133, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(133, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB32; - goto LAB1; - -LAB32: xsi_set_current_line(134, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(134, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB33; - goto LAB1; - -LAB33: xsi_set_current_line(134, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(134, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB34; - goto LAB1; - -LAB34: xsi_set_current_line(134, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(134, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB35; - goto LAB1; - -LAB35: xsi_set_current_line(134, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(134, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB36; - goto LAB1; - -LAB36: xsi_set_current_line(135, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(135, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB37; - goto LAB1; - -LAB37: xsi_set_current_line(135, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(135, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB38; - goto LAB1; - -LAB38: xsi_set_current_line(135, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(135, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB39; - goto LAB1; - -LAB39: xsi_set_current_line(135, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(135, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB40; - goto LAB1; - -LAB40: xsi_set_current_line(136, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(136, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB41; - goto LAB1; - -LAB41: xsi_set_current_line(136, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(136, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB42; - goto LAB1; - -LAB42: xsi_set_current_line(136, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(136, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB43; - goto LAB1; - -LAB43: xsi_set_current_line(136, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(136, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB44; - goto LAB1; - -LAB44: xsi_set_current_line(137, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(137, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB45; - goto LAB1; - -LAB45: xsi_set_current_line(137, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(137, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB46; - goto LAB1; - -LAB46: xsi_set_current_line(137, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(137, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB47; - goto LAB1; - -LAB47: xsi_set_current_line(137, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(137, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB48; - goto LAB1; - -LAB48: xsi_set_current_line(138, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(138, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB49; - goto LAB1; - -LAB49: xsi_set_current_line(138, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(138, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB50; - goto LAB1; - -LAB50: xsi_set_current_line(138, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(138, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB51; - goto LAB1; - -LAB51: xsi_set_current_line(138, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(138, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB52; - goto LAB1; - -LAB52: xsi_set_current_line(139, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(139, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB53; - goto LAB1; - -LAB53: xsi_set_current_line(139, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(139, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB54; - goto LAB1; - -LAB54: xsi_set_current_line(139, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(139, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB55; - goto LAB1; - -LAB55: xsi_set_current_line(139, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(139, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB56; - goto LAB1; - -LAB56: xsi_set_current_line(140, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(140, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB57; - goto LAB1; - -LAB57: xsi_set_current_line(140, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(140, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB58; - goto LAB1; - -LAB58: xsi_set_current_line(140, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(140, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB59; - goto LAB1; - -LAB59: xsi_set_current_line(140, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(140, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB60; - goto LAB1; - -LAB60: xsi_set_current_line(141, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(141, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB61; - goto LAB1; - -LAB61: xsi_set_current_line(141, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(141, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB62; - goto LAB1; - -LAB62: xsi_set_current_line(141, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(141, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB63; - goto LAB1; - -LAB63: xsi_set_current_line(141, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(141, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB64; - goto LAB1; - -LAB64: goto LAB1; - -} - -static void Initial_144_2(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 5904U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(144, ng0); - -LAB4: xsi_set_current_line(145, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(145, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 130000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(145, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(145, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 480000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(145, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(145, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 720000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(145, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(145, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 480000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(146, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(146, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 720000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(146, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(146, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 480000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(146, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(146, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 720000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(146, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(146, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 480000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(147, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(147, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 720000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(147, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(147, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 480000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(147, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(147, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 720000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(147, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(147, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 480000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: xsi_set_current_line(148, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(148, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 720000LL); - *((char **)t1) = &&LAB17; - goto LAB1; - -LAB17: xsi_set_current_line(148, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(148, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 480000LL); - *((char **)t1) = &&LAB18; - goto LAB1; - -LAB18: xsi_set_current_line(148, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(148, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 720000LL); - *((char **)t1) = &&LAB19; - goto LAB1; - -LAB19: xsi_set_current_line(148, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(148, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 480000LL); - *((char **)t1) = &&LAB20; - goto LAB1; - -LAB20: goto LAB1; - -} - -static void Initial_151_3(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 6152U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(151, ng0); - -LAB4: xsi_set_current_line(152, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(153, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(154, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(155, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(157, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 320000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(158, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(159, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 300000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(160, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(162, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 180000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(163, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(164, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 300000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(165, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(167, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 180000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(168, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(169, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 300000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(170, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(172, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 180000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(173, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(174, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 1200000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(175, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(177, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 220000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(178, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(179, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 300000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(180, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - goto LAB1; - -} - -static void Initial_183_4(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 6400U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(183, ng0); - -LAB4: xsi_set_current_line(184, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(185, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(186, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4328); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(187, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4488); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(188, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 195000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(190, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(191, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4488); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(192, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(193, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(194, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4328); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(195, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 200000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(196, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(197, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 240000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(199, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(200, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4488); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(201, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(202, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(203, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4328); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(204, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 200000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(205, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(206, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 240000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(208, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(209, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4488); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(210, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(211, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(212, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4328); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(213, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 200000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(214, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(215, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 240000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(217, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(218, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4488); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(219, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(220, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(221, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4328); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(222, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 200000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: xsi_set_current_line(223, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(224, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 240000LL); - *((char **)t1) = &&LAB17; - goto LAB1; - -LAB17: xsi_set_current_line(227, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(228, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4488); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(229, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB18; - goto LAB1; - -LAB18: xsi_set_current_line(230, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(231, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4328); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(232, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 1300000LL); - *((char **)t1) = &&LAB19; - goto LAB1; - -LAB19: xsi_set_current_line(233, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(234, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 240000LL); - *((char **)t1) = &&LAB20; - goto LAB1; - -LAB20: goto LAB1; - -} - - -extern void work_m_00000000001759270804_2646922372_init() -{ - static char *pe[] = {(void *)Initial_77_0,(void *)Initial_126_1,(void *)Initial_144_2,(void *)Initial_151_3,(void *)Initial_183_4}; - xsi_register_didat("work_m_00000000001759270804_2646922372", "isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.didat b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.didat deleted file mode 100644 index ad3417b..0000000 Binary files a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.didat and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.nt64.obj b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.nt64.obj deleted file mode 100644 index 7b60d6d..0000000 Binary files a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.nt64.obj and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c deleted file mode 100644 index 1ffd132..0000000 --- a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c +++ /dev/null @@ -1,337 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Xilinx/14.7/ISE_DS/ISE/verilog/src/glbl.v"; -static unsigned int ng1[] = {1U, 0U}; -static unsigned int ng2[] = {0U, 0U}; - - - -static void NetDecl_16_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - unsigned int t8; - unsigned int t9; - char *t10; - unsigned int t11; - unsigned int t12; - char *t13; - unsigned int t14; - unsigned int t15; - char *t16; - -LAB0: t1 = (t0 + 6960U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(16, ng0); - t2 = (t0 + 1960U); - t3 = *((char **)t2); - t2 = (t0 + 8648); - t4 = (t2 + 56U); - t5 = *((char **)t4); - t6 = (t5 + 56U); - t7 = *((char **)t6); - memset(t7, 0, 8); - t8 = 1U; - t9 = t8; - t10 = (t3 + 4); - t11 = *((unsigned int *)t3); - t8 = (t8 & t11); - t12 = *((unsigned int *)t10); - t9 = (t9 & t12); - t13 = (t7 + 4); - t14 = *((unsigned int *)t7); - *((unsigned int *)t7) = (t14 | t8); - t15 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t15 | t9); - xsi_driver_vfirst_trans(t2, 0, 0U); - t16 = (t0 + 8520); - *((int *)t16) = 1; - -LAB1: return; -} - -static void Cont_48_1(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - char *t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned int t16; - unsigned int t17; - char *t18; - -LAB0: t1 = (t0 + 7208U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(48, ng0); - t2 = (t0 + 3640); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t0 + 8712); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memset(t9, 0, 8); - t10 = 1U; - t11 = t10; - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t10 = (t10 & t13); - t14 = *((unsigned int *)t12); - t11 = (t11 & t14); - t15 = (t9 + 4); - t16 = *((unsigned int *)t9); - *((unsigned int *)t9) = (t16 | t10); - t17 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t17 | t11); - xsi_driver_vfirst_trans(t5, 0, 0); - t18 = (t0 + 8536); - *((int *)t18) = 1; - -LAB1: return; -} - -static void Cont_49_2(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - char *t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned int t16; - unsigned int t17; - char *t18; - -LAB0: t1 = (t0 + 7456U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(49, ng0); - t2 = (t0 + 3800); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t0 + 8776); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memset(t9, 0, 8); - t10 = 1U; - t11 = t10; - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t10 = (t10 & t13); - t14 = *((unsigned int *)t12); - t11 = (t11 & t14); - t15 = (t9 + 4); - t16 = *((unsigned int *)t9); - *((unsigned int *)t9) = (t16 | t10); - t17 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t17 | t11); - xsi_driver_vfirst_trans(t5, 0, 0); - t18 = (t0 + 8552); - *((int *)t18) = 1; - -LAB1: return; -} - -static void Cont_50_3(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - char *t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned int t16; - unsigned int t17; - char *t18; - -LAB0: t1 = (t0 + 7704U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(50, ng0); - t2 = (t0 + 3960); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t0 + 8840); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memset(t9, 0, 8); - t10 = 1U; - t11 = t10; - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t10 = (t10 & t13); - t14 = *((unsigned int *)t12); - t11 = (t11 & t14); - t15 = (t9 + 4); - t16 = *((unsigned int *)t9); - *((unsigned int *)t9) = (t16 | t10); - t17 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t17 | t11); - xsi_driver_vfirst_trans(t5, 0, 0); - t18 = (t0 + 8568); - *((int *)t18) = 1; - -LAB1: return; -} - -static void Initial_52_4(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - -LAB0: t1 = (t0 + 7952U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(52, ng0); - -LAB4: xsi_set_current_line(53, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3640); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(54, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3960); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(55, ng0); - t2 = (t0 + 7760); - xsi_process_wait(t2, 100000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(56, ng0); - t3 = ((char*)((ng2))); - t4 = (t0 + 3640); - xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); - xsi_set_current_line(57, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3960); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - goto LAB1; - -} - -static void Initial_60_5(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - -LAB0: t1 = (t0 + 8200U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(60, ng0); - -LAB4: xsi_set_current_line(61, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3800); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(62, ng0); - t2 = (t0 + 8008); - xsi_process_wait(t2, 0LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(63, ng0); - t3 = ((char*)((ng2))); - t4 = (t0 + 3800); - xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); - goto LAB1; - -} - - -extern void work_m_00000000004134447467_2073120511_init() -{ - static char *pe[] = {(void *)NetDecl_16_0,(void *)Cont_48_1,(void *)Cont_49_2,(void *)Cont_50_3,(void *)Initial_52_4,(void *)Initial_60_5}; - xsi_register_didat("work_m_00000000004134447467_2073120511", "isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat deleted file mode 100644 index b3df101..0000000 Binary files a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj deleted file mode 100644 index 1ad0c1c..0000000 Binary files a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/t_iobm_isim_beh.exe_main.c b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/t_iobm_isim_beh.exe_main.c deleted file mode 100644 index badf9ec..0000000 --- a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/t_iobm_isim_beh.exe_main.c +++ /dev/null @@ -1,36 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -#include "xsi.h" - -struct XSI_INFO xsi_info; - - - -int main(int argc, char **argv) -{ - xsi_init_design(argc, argv); - xsi_register_info(&xsi_info); - - xsi_register_min_prec_unit(-12); - work_m_00000000000808859884_3633994423_init(); - work_m_00000000001759270804_2646922372_init(); - work_m_00000000004134447467_2073120511_init(); - - - xsi_register_tops("work_m_00000000001759270804_2646922372"); - xsi_register_tops("work_m_00000000004134447467_2073120511"); - - - return xsi_run_simulation(argc, argv); - -} diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/t_iobm_isim_beh.exe_main.nt64.obj b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/t_iobm_isim_beh.exe_main.nt64.obj deleted file mode 100644 index bb14cf0..0000000 Binary files a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/t_iobm_isim_beh.exe_main.nt64.obj and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg deleted file mode 100644 index 12efc53..0000000 Binary files a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimcrash.log b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimcrash.log deleted file mode 100644 index e69de29..0000000 diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimkernel.log b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimkernel.log deleted file mode 100644 index ca7f480..0000000 --- a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimkernel.log +++ /dev/null @@ -1,28 +0,0 @@ -Command line: - t_ram_isim_beh.exe - -simmode gui - -simrunnum 0 - -socket 65106 - -Sat Dec 11 08:29:09 2021 - - - Elaboration Time: 0.046875 sec - - Current Memory Usage: 5140.57 Meg - - Total Signals : 45 - Total Nets : 67 - Total Signal Drivers : 30 - Total Blocks : 3 - Total Primitive Blocks : 2 - Total Processes : 40 - Total Traceable Variables : 38 - Total Scalar Nets and Variables : 189 - - Total Simulation Time: 0.078125 sec - - Current Memory Usage: 4668.71 Meg - -Sun Dec 12 07:05:22 2021 - diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/libPortability.dll b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/libPortability.dll deleted file mode 100644 index 3df1061..0000000 Binary files a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/libPortability.dll and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/netId.dat b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/netId.dat deleted file mode 100644 index 473bf32..0000000 Binary files a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/netId.dat and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/t_ram_isim_beh.exe b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/t_ram_isim_beh.exe deleted file mode 100644 index 742c306..0000000 Binary files a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/t_ram_isim_beh.exe and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/tmp_save/_1 b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/tmp_save/_1 deleted file mode 100644 index 603f077..0000000 Binary files a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/tmp_save/_1 and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.c b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.c deleted file mode 100644 index 2546973..0000000 --- a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.c +++ /dev/null @@ -1,9104 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/RAM.v"; -static int ng1[] = {0, 0}; -static int ng2[] = {1, 0}; -static int ng3[] = {7, 0}; -static int ng4[] = {2, 0}; -static int ng5[] = {5, 0}; -static int ng6[] = {3, 0}; -static int ng7[] = {4, 0}; -static int ng8[] = {6, 0}; - - - -static void NetDecl_23_0(char *t0) -{ - char t5[8]; - char t21[8]; - char t29[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - char *t18; - char *t19; - char *t20; - char *t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - char *t28; - unsigned int t30; - unsigned int t31; - unsigned int t32; - char *t33; - char *t34; - char *t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - unsigned int t42; - char *t43; - char *t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - char *t57; - char *t58; - char *t59; - char *t60; - char *t61; - unsigned int t62; - unsigned int t63; - char *t64; - unsigned int t65; - unsigned int t66; - char *t67; - unsigned int t68; - unsigned int t69; - char *t70; - -LAB0: t1 = (t0 + 7008U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(23, ng0); - t2 = (t0 + 5608); - t3 = (t2 + 56U); - t4 = *((char **)t3); - memset(t5, 0, 8); - t6 = (t4 + 4); - t7 = *((unsigned int *)t6); - t8 = (~(t7)); - t9 = *((unsigned int *)t4); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t6) != 0) - goto LAB6; - -LAB7: t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = (!(t14)); - t16 = *((unsigned int *)t13); - t17 = (t15 || t16); - if (t17 > 0) - goto LAB8; - -LAB9: memcpy(t29, t5, 8); - -LAB10: t57 = (t0 + 11896); - t58 = (t57 + 56U); - t59 = *((char **)t58); - t60 = (t59 + 56U); - t61 = *((char **)t60); - memset(t61, 0, 8); - t62 = 1U; - t63 = t62; - t64 = (t29 + 4); - t65 = *((unsigned int *)t29); - t62 = (t62 & t65); - t66 = *((unsigned int *)t64); - t63 = (t63 & t66); - t67 = (t61 + 4); - t68 = *((unsigned int *)t61); - *((unsigned int *)t61) = (t68 | t62); - t69 = *((unsigned int *)t67); - *((unsigned int *)t67) = (t69 | t63); - xsi_driver_vfirst_trans(t57, 0, 0U); - t70 = (t0 + 11544); - *((int *)t70) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t5) = 1; - goto LAB7; - -LAB6: t12 = (t5 + 4); - *((unsigned int *)t5) = 1; - *((unsigned int *)t12) = 1; - goto LAB7; - -LAB8: t18 = (t0 + 5768); - t19 = (t18 + 56U); - t20 = *((char **)t19); - memset(t21, 0, 8); - t22 = (t20 + 4); - t23 = *((unsigned int *)t22); - t24 = (~(t23)); - t25 = *((unsigned int *)t20); - t26 = (t25 & t24); - t27 = (t26 & 1U); - if (t27 != 0) - goto LAB11; - -LAB12: if (*((unsigned int *)t22) != 0) - goto LAB13; - -LAB14: t30 = *((unsigned int *)t5); - t31 = *((unsigned int *)t21); - t32 = (t30 | t31); - *((unsigned int *)t29) = t32; - t33 = (t5 + 4); - t34 = (t21 + 4); - t35 = (t29 + 4); - t36 = *((unsigned int *)t33); - t37 = *((unsigned int *)t34); - t38 = (t36 | t37); - *((unsigned int *)t35) = t38; - t39 = *((unsigned int *)t35); - t40 = (t39 != 0); - if (t40 == 1) - goto LAB15; - -LAB16: -LAB17: goto LAB10; - -LAB11: *((unsigned int *)t21) = 1; - goto LAB14; - -LAB13: t28 = (t21 + 4); - *((unsigned int *)t21) = 1; - *((unsigned int *)t28) = 1; - goto LAB14; - -LAB15: t41 = *((unsigned int *)t29); - t42 = *((unsigned int *)t35); - *((unsigned int *)t29) = (t41 | t42); - t43 = (t5 + 4); - t44 = (t21 + 4); - t45 = *((unsigned int *)t43); - t46 = (~(t45)); - t47 = *((unsigned int *)t5); - t48 = (t47 & t46); - t49 = *((unsigned int *)t44); - t50 = (~(t49)); - t51 = *((unsigned int *)t21); - t52 = (t51 & t50); - t53 = (~(t48)); - t54 = (~(t52)); - t55 = *((unsigned int *)t35); - *((unsigned int *)t35) = (t55 & t53); - t56 = *((unsigned int *)t35); - *((unsigned int *)t35) = (t56 & t54); - goto LAB17; - -} - -static void NetDecl_24_1(char *t0) -{ - char t3[8]; - char *t1; - char *t2; - char *t4; - unsigned int t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - char *t10; - char *t11; - char *t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - char *t21; - char *t22; - char *t23; - char *t24; - char *t25; - unsigned int t26; - unsigned int t27; - char *t28; - unsigned int t29; - unsigned int t30; - char *t31; - unsigned int t32; - unsigned int t33; - char *t34; - -LAB0: t1 = (t0 + 7256U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(24, ng0); - t2 = (t0 + 4248U); - t4 = *((char **)t2); - memset(t3, 0, 8); - t2 = (t4 + 4); - t5 = *((unsigned int *)t2); - t6 = (~(t5)); - t7 = *((unsigned int *)t4); - t8 = (t7 & t6); - t9 = (t8 & 1U); - if (t9 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t10 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t10) = 1; - -LAB7: t11 = (t3 + 4); - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t14 = (~(t13)); - *((unsigned int *)t3) = t14; - *((unsigned int *)t11) = 0; - if (*((unsigned int *)t12) != 0) - goto LAB9; - -LAB8: t19 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t19 & 1U); - t20 = *((unsigned int *)t11); - *((unsigned int *)t11) = (t20 & 1U); - t21 = (t0 + 11960); - t22 = (t21 + 56U); - t23 = *((char **)t22); - t24 = (t23 + 56U); - t25 = *((char **)t24); - memset(t25, 0, 8); - t26 = 1U; - t27 = t26; - t28 = (t3 + 4); - t29 = *((unsigned int *)t3); - t26 = (t26 & t29); - t30 = *((unsigned int *)t28); - t27 = (t27 & t30); - t31 = (t25 + 4); - t32 = *((unsigned int *)t25); - *((unsigned int *)t25) = (t32 | t26); - t33 = *((unsigned int *)t31); - *((unsigned int *)t31) = (t33 | t27); - xsi_driver_vfirst_trans(t21, 0, 0U); - t34 = (t0 + 11560); - *((int *)t34) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t3) = 1; - goto LAB7; - -LAB9: t15 = *((unsigned int *)t3); - t16 = *((unsigned int *)t12); - *((unsigned int *)t3) = (t15 | t16); - t17 = *((unsigned int *)t11); - t18 = *((unsigned int *)t12); - *((unsigned int *)t11) = (t17 | t18); - goto LAB8; - -} - -static void Cont_27_2(char *t0) -{ - char t3[8]; - char *t1; - char *t2; - char *t4; - unsigned int t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - char *t10; - char *t11; - char *t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - char *t21; - char *t22; - char *t23; - char *t24; - char *t25; - unsigned int t26; - unsigned int t27; - char *t28; - unsigned int t29; - unsigned int t30; - char *t31; - unsigned int t32; - unsigned int t33; - char *t34; - -LAB0: t1 = (t0 + 7504U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(27, ng0); - t2 = (t0 + 2328U); - t4 = *((char **)t2); - memset(t3, 0, 8); - t2 = (t4 + 4); - t5 = *((unsigned int *)t2); - t6 = (~(t5)); - t7 = *((unsigned int *)t4); - t8 = (t7 & t6); - t9 = (t8 & 1U); - if (t9 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t10 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t10) = 1; - -LAB7: t11 = (t3 + 4); - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t14 = (~(t13)); - *((unsigned int *)t3) = t14; - *((unsigned int *)t11) = 0; - if (*((unsigned int *)t12) != 0) - goto LAB9; - -LAB8: t19 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t19 & 1U); - t20 = *((unsigned int *)t11); - *((unsigned int *)t11) = (t20 & 1U); - t21 = (t0 + 12024); - t22 = (t21 + 56U); - t23 = *((char **)t22); - t24 = (t23 + 56U); - t25 = *((char **)t24); - memset(t25, 0, 8); - t26 = 1U; - t27 = t26; - t28 = (t3 + 4); - t29 = *((unsigned int *)t3); - t26 = (t26 & t29); - t30 = *((unsigned int *)t28); - t27 = (t27 & t30); - t31 = (t25 + 4); - t32 = *((unsigned int *)t25); - *((unsigned int *)t25) = (t32 | t26); - t33 = *((unsigned int *)t31); - *((unsigned int *)t31) = (t33 | t27); - xsi_driver_vfirst_trans(t21, 0, 0); - t34 = (t0 + 11576); - *((int *)t34) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t3) = 1; - goto LAB7; - -LAB9: t15 = *((unsigned int *)t3); - t16 = *((unsigned int *)t12); - *((unsigned int *)t3) = (t15 | t16); - t17 = *((unsigned int *)t11); - t18 = *((unsigned int *)t12); - *((unsigned int *)t11) = (t17 | t18); - goto LAB8; - -} - -static void Cont_28_3(char *t0) -{ - char t3[8]; - char t4[8]; - char t22[8]; - char t36[8]; - char t43[8]; - char t75[8]; - char t89[8]; - char t96[8]; - char t128[8]; - char t140[8]; - char t161[8]; - char t169[8]; - char t201[8]; - char t217[8]; - char t225[8]; - char *t1; - char *t2; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - char *t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - char *t34; - char *t35; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - unsigned int t44; - unsigned int t45; - unsigned int t46; - char *t47; - char *t48; - char *t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - char *t57; - char *t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - int t67; - int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - char *t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - unsigned int t81; - char *t82; - char *t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - char *t87; - char *t88; - unsigned int t90; - unsigned int t91; - unsigned int t92; - unsigned int t93; - unsigned int t94; - char *t95; - unsigned int t97; - unsigned int t98; - unsigned int t99; - char *t100; - char *t101; - char *t102; - unsigned int t103; - unsigned int t104; - unsigned int t105; - unsigned int t106; - unsigned int t107; - unsigned int t108; - unsigned int t109; - char *t110; - char *t111; - unsigned int t112; - unsigned int t113; - unsigned int t114; - unsigned int t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - int t120; - int t121; - unsigned int t122; - unsigned int t123; - unsigned int t124; - unsigned int t125; - unsigned int t126; - unsigned int t127; - char *t129; - unsigned int t130; - unsigned int t131; - unsigned int t132; - unsigned int t133; - unsigned int t134; - char *t135; - char *t136; - unsigned int t137; - unsigned int t138; - unsigned int t139; - char *t141; - char *t142; - char *t143; - char *t144; - unsigned int t145; - unsigned int t146; - unsigned int t147; - unsigned int t148; - unsigned int t149; - char *t150; - char *t151; - char *t152; - unsigned int t153; - unsigned int t154; - unsigned int t155; - unsigned int t156; - unsigned int t157; - unsigned int t158; - unsigned int t159; - unsigned int t160; - char *t162; - unsigned int t163; - unsigned int t164; - unsigned int t165; - unsigned int t166; - unsigned int t167; - char *t168; - unsigned int t170; - unsigned int t171; - unsigned int t172; - char *t173; - char *t174; - char *t175; - unsigned int t176; - unsigned int t177; - unsigned int t178; - unsigned int t179; - unsigned int t180; - unsigned int t181; - unsigned int t182; - char *t183; - char *t184; - unsigned int t185; - unsigned int t186; - unsigned int t187; - unsigned int t188; - unsigned int t189; - unsigned int t190; - unsigned int t191; - unsigned int t192; - int t193; - int t194; - unsigned int t195; - unsigned int t196; - unsigned int t197; - unsigned int t198; - unsigned int t199; - unsigned int t200; - char *t202; - unsigned int t203; - unsigned int t204; - unsigned int t205; - unsigned int t206; - unsigned int t207; - char *t208; - char *t209; - unsigned int t210; - unsigned int t211; - unsigned int t212; - unsigned int t213; - char *t214; - char *t215; - char *t216; - char *t218; - unsigned int t219; - unsigned int t220; - unsigned int t221; - unsigned int t222; - unsigned int t223; - char *t224; - unsigned int t226; - unsigned int t227; - unsigned int t228; - char *t229; - char *t230; - char *t231; - unsigned int t232; - unsigned int t233; - unsigned int t234; - unsigned int t235; - unsigned int t236; - unsigned int t237; - unsigned int t238; - char *t239; - char *t240; - unsigned int t241; - unsigned int t242; - unsigned int t243; - int t244; - unsigned int t245; - unsigned int t246; - unsigned int t247; - int t248; - unsigned int t249; - unsigned int t250; - unsigned int t251; - unsigned int t252; - char *t253; - unsigned int t254; - unsigned int t255; - unsigned int t256; - unsigned int t257; - unsigned int t258; - char *t259; - char *t260; - char *t261; - unsigned int t262; - unsigned int t263; - unsigned int t264; - unsigned int t265; - unsigned int t266; - unsigned int t267; - unsigned int t268; - unsigned int t269; - char *t270; - char *t271; - char *t272; - char *t273; - char *t274; - unsigned int t275; - unsigned int t276; - char *t277; - unsigned int t278; - unsigned int t279; - char *t280; - unsigned int t281; - unsigned int t282; - char *t283; - -LAB0: t1 = (t0 + 7752U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(28, ng0); - t2 = (t0 + 1528U); - t5 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t5 + 4); - t6 = *((unsigned int *)t2); - t7 = (~(t6)); - t8 = *((unsigned int *)t5); - t9 = (t8 & t7); - t10 = (t9 & 1U); - if (t10 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t11 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t11) = 1; - -LAB7: t12 = (t4 + 4); - t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = (~(t14)); - *((unsigned int *)t4) = t15; - *((unsigned int *)t12) = 0; - if (*((unsigned int *)t13) != 0) - goto LAB9; - -LAB8: t20 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t20 & 1U); - t21 = *((unsigned int *)t12); - *((unsigned int *)t12) = (t21 & 1U); - memset(t22, 0, 8); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 & 1U); - if (t28 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t23) != 0) - goto LAB12; - -LAB13: t30 = (t22 + 4); - t31 = *((unsigned int *)t22); - t32 = *((unsigned int *)t30); - t33 = (t31 || t32); - if (t33 > 0) - goto LAB14; - -LAB15: memcpy(t43, t22, 8); - -LAB16: memset(t75, 0, 8); - t76 = (t43 + 4); - t77 = *((unsigned int *)t76); - t78 = (~(t77)); - t79 = *((unsigned int *)t43); - t80 = (t79 & t78); - t81 = (t80 & 1U); - if (t81 != 0) - goto LAB24; - -LAB25: if (*((unsigned int *)t76) != 0) - goto LAB26; - -LAB27: t83 = (t75 + 4); - t84 = *((unsigned int *)t75); - t85 = *((unsigned int *)t83); - t86 = (t84 || t85); - if (t86 > 0) - goto LAB28; - -LAB29: memcpy(t96, t75, 8); - -LAB30: memset(t128, 0, 8); - t129 = (t96 + 4); - t130 = *((unsigned int *)t129); - t131 = (~(t130)); - t132 = *((unsigned int *)t96); - t133 = (t132 & t131); - t134 = (t133 & 1U); - if (t134 != 0) - goto LAB38; - -LAB39: if (*((unsigned int *)t129) != 0) - goto LAB40; - -LAB41: t136 = (t128 + 4); - t137 = *((unsigned int *)t128); - t138 = *((unsigned int *)t136); - t139 = (t137 || t138); - if (t139 > 0) - goto LAB42; - -LAB43: memcpy(t169, t128, 8); - -LAB44: memset(t201, 0, 8); - t202 = (t169 + 4); - t203 = *((unsigned int *)t202); - t204 = (~(t203)); - t205 = *((unsigned int *)t169); - t206 = (t205 & t204); - t207 = (t206 & 1U); - if (t207 != 0) - goto LAB58; - -LAB59: if (*((unsigned int *)t202) != 0) - goto LAB60; - -LAB61: t209 = (t201 + 4); - t210 = *((unsigned int *)t201); - t211 = (!(t210)); - t212 = *((unsigned int *)t209); - t213 = (t211 || t212); - if (t213 > 0) - goto LAB62; - -LAB63: memcpy(t225, t201, 8); - -LAB64: memset(t3, 0, 8); - t253 = (t225 + 4); - t254 = *((unsigned int *)t253); - t255 = (~(t254)); - t256 = *((unsigned int *)t225); - t257 = (t256 & t255); - t258 = (t257 & 1U); - if (t258 != 0) - goto LAB75; - -LAB73: if (*((unsigned int *)t253) == 0) - goto LAB72; - -LAB74: t259 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t259) = 1; - -LAB75: t260 = (t3 + 4); - t261 = (t225 + 4); - t262 = *((unsigned int *)t225); - t263 = (~(t262)); - *((unsigned int *)t3) = t263; - *((unsigned int *)t260) = 0; - if (*((unsigned int *)t261) != 0) - goto LAB77; - -LAB76: t268 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t268 & 1U); - t269 = *((unsigned int *)t260); - *((unsigned int *)t260) = (t269 & 1U); - t270 = (t0 + 12088); - t271 = (t270 + 56U); - t272 = *((char **)t271); - t273 = (t272 + 56U); - t274 = *((char **)t273); - memset(t274, 0, 8); - t275 = 1U; - t276 = t275; - t277 = (t3 + 4); - t278 = *((unsigned int *)t3); - t275 = (t275 & t278); - t279 = *((unsigned int *)t277); - t276 = (t276 & t279); - t280 = (t274 + 4); - t281 = *((unsigned int *)t274); - *((unsigned int *)t274) = (t281 | t275); - t282 = *((unsigned int *)t280); - *((unsigned int *)t280) = (t282 | t276); - xsi_driver_vfirst_trans(t270, 0, 0); - t283 = (t0 + 11592); - *((int *)t283) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB9: t16 = *((unsigned int *)t4); - t17 = *((unsigned int *)t13); - *((unsigned int *)t4) = (t16 | t17); - t18 = *((unsigned int *)t12); - t19 = *((unsigned int *)t13); - *((unsigned int *)t12) = (t18 | t19); - goto LAB8; - -LAB10: *((unsigned int *)t22) = 1; - goto LAB13; - -LAB12: t29 = (t22 + 4); - *((unsigned int *)t22) = 1; - *((unsigned int *)t29) = 1; - goto LAB13; - -LAB14: t34 = (t0 + 2168U); - t35 = *((char **)t34); - memset(t36, 0, 8); - t34 = (t35 + 4); - t37 = *((unsigned int *)t34); - t38 = (~(t37)); - t39 = *((unsigned int *)t35); - t40 = (t39 & t38); - t41 = (t40 & 1U); - if (t41 != 0) - goto LAB17; - -LAB18: if (*((unsigned int *)t34) != 0) - goto LAB19; - -LAB20: t44 = *((unsigned int *)t22); - t45 = *((unsigned int *)t36); - t46 = (t44 & t45); - *((unsigned int *)t43) = t46; - t47 = (t22 + 4); - t48 = (t36 + 4); - t49 = (t43 + 4); - t50 = *((unsigned int *)t47); - t51 = *((unsigned int *)t48); - t52 = (t50 | t51); - *((unsigned int *)t49) = t52; - t53 = *((unsigned int *)t49); - t54 = (t53 != 0); - if (t54 == 1) - goto LAB21; - -LAB22: -LAB23: goto LAB16; - -LAB17: *((unsigned int *)t36) = 1; - goto LAB20; - -LAB19: t42 = (t36 + 4); - *((unsigned int *)t36) = 1; - *((unsigned int *)t42) = 1; - goto LAB20; - -LAB21: t55 = *((unsigned int *)t43); - t56 = *((unsigned int *)t49); - *((unsigned int *)t43) = (t55 | t56); - t57 = (t22 + 4); - t58 = (t36 + 4); - t59 = *((unsigned int *)t22); - t60 = (~(t59)); - t61 = *((unsigned int *)t57); - t62 = (~(t61)); - t63 = *((unsigned int *)t36); - t64 = (~(t63)); - t65 = *((unsigned int *)t58); - t66 = (~(t65)); - t67 = (t60 & t62); - t68 = (t64 & t66); - t69 = (~(t67)); - t70 = (~(t68)); - t71 = *((unsigned int *)t49); - *((unsigned int *)t49) = (t71 & t69); - t72 = *((unsigned int *)t49); - *((unsigned int *)t49) = (t72 & t70); - t73 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t73 & t69); - t74 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t74 & t70); - goto LAB23; - -LAB24: *((unsigned int *)t75) = 1; - goto LAB27; - -LAB26: t82 = (t75 + 4); - *((unsigned int *)t75) = 1; - *((unsigned int *)t82) = 1; - goto LAB27; - -LAB28: t87 = (t0 + 4408U); - t88 = *((char **)t87); - memset(t89, 0, 8); - t87 = (t88 + 4); - t90 = *((unsigned int *)t87); - t91 = (~(t90)); - t92 = *((unsigned int *)t88); - t93 = (t92 & t91); - t94 = (t93 & 1U); - if (t94 != 0) - goto LAB31; - -LAB32: if (*((unsigned int *)t87) != 0) - goto LAB33; - -LAB34: t97 = *((unsigned int *)t75); - t98 = *((unsigned int *)t89); - t99 = (t97 & t98); - *((unsigned int *)t96) = t99; - t100 = (t75 + 4); - t101 = (t89 + 4); - t102 = (t96 + 4); - t103 = *((unsigned int *)t100); - t104 = *((unsigned int *)t101); - t105 = (t103 | t104); - *((unsigned int *)t102) = t105; - t106 = *((unsigned int *)t102); - t107 = (t106 != 0); - if (t107 == 1) - goto LAB35; - -LAB36: -LAB37: goto LAB30; - -LAB31: *((unsigned int *)t89) = 1; - goto LAB34; - -LAB33: t95 = (t89 + 4); - *((unsigned int *)t89) = 1; - *((unsigned int *)t95) = 1; - goto LAB34; - -LAB35: t108 = *((unsigned int *)t96); - t109 = *((unsigned int *)t102); - *((unsigned int *)t96) = (t108 | t109); - t110 = (t75 + 4); - t111 = (t89 + 4); - t112 = *((unsigned int *)t75); - t113 = (~(t112)); - t114 = *((unsigned int *)t110); - t115 = (~(t114)); - t116 = *((unsigned int *)t89); - t117 = (~(t116)); - t118 = *((unsigned int *)t111); - t119 = (~(t118)); - t120 = (t113 & t115); - t121 = (t117 & t119); - t122 = (~(t120)); - t123 = (~(t121)); - t124 = *((unsigned int *)t102); - *((unsigned int *)t102) = (t124 & t122); - t125 = *((unsigned int *)t102); - *((unsigned int *)t102) = (t125 & t123); - t126 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t126 & t122); - t127 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t127 & t123); - goto LAB37; - -LAB38: *((unsigned int *)t128) = 1; - goto LAB41; - -LAB40: t135 = (t128 + 4); - *((unsigned int *)t128) = 1; - *((unsigned int *)t135) = 1; - goto LAB41; - -LAB42: t141 = (t0 + 5928); - t142 = (t141 + 56U); - t143 = *((char **)t142); - memset(t140, 0, 8); - t144 = (t143 + 4); - t145 = *((unsigned int *)t144); - t146 = (~(t145)); - t147 = *((unsigned int *)t143); - t148 = (t147 & t146); - t149 = (t148 & 1U); - if (t149 != 0) - goto LAB48; - -LAB46: if (*((unsigned int *)t144) == 0) - goto LAB45; - -LAB47: t150 = (t140 + 4); - *((unsigned int *)t140) = 1; - *((unsigned int *)t150) = 1; - -LAB48: t151 = (t140 + 4); - t152 = (t143 + 4); - t153 = *((unsigned int *)t143); - t154 = (~(t153)); - *((unsigned int *)t140) = t154; - *((unsigned int *)t151) = 0; - if (*((unsigned int *)t152) != 0) - goto LAB50; - -LAB49: t159 = *((unsigned int *)t140); - *((unsigned int *)t140) = (t159 & 1U); - t160 = *((unsigned int *)t151); - *((unsigned int *)t151) = (t160 & 1U); - memset(t161, 0, 8); - t162 = (t140 + 4); - t163 = *((unsigned int *)t162); - t164 = (~(t163)); - t165 = *((unsigned int *)t140); - t166 = (t165 & t164); - t167 = (t166 & 1U); - if (t167 != 0) - goto LAB51; - -LAB52: if (*((unsigned int *)t162) != 0) - goto LAB53; - -LAB54: t170 = *((unsigned int *)t128); - t171 = *((unsigned int *)t161); - t172 = (t170 & t171); - *((unsigned int *)t169) = t172; - t173 = (t128 + 4); - t174 = (t161 + 4); - t175 = (t169 + 4); - t176 = *((unsigned int *)t173); - t177 = *((unsigned int *)t174); - t178 = (t176 | t177); - *((unsigned int *)t175) = t178; - t179 = *((unsigned int *)t175); - t180 = (t179 != 0); - if (t180 == 1) - goto LAB55; - -LAB56: -LAB57: goto LAB44; - -LAB45: *((unsigned int *)t140) = 1; - goto LAB48; - -LAB50: t155 = *((unsigned int *)t140); - t156 = *((unsigned int *)t152); - *((unsigned int *)t140) = (t155 | t156); - t157 = *((unsigned int *)t151); - t158 = *((unsigned int *)t152); - *((unsigned int *)t151) = (t157 | t158); - goto LAB49; - -LAB51: *((unsigned int *)t161) = 1; - goto LAB54; - -LAB53: t168 = (t161 + 4); - *((unsigned int *)t161) = 1; - *((unsigned int *)t168) = 1; - goto LAB54; - -LAB55: t181 = *((unsigned int *)t169); - t182 = *((unsigned int *)t175); - *((unsigned int *)t169) = (t181 | t182); - t183 = (t128 + 4); - t184 = (t161 + 4); - t185 = *((unsigned int *)t128); - t186 = (~(t185)); - t187 = *((unsigned int *)t183); - t188 = (~(t187)); - t189 = *((unsigned int *)t161); - t190 = (~(t189)); - t191 = *((unsigned int *)t184); - t192 = (~(t191)); - t193 = (t186 & t188); - t194 = (t190 & t192); - t195 = (~(t193)); - t196 = (~(t194)); - t197 = *((unsigned int *)t175); - *((unsigned int *)t175) = (t197 & t195); - t198 = *((unsigned int *)t175); - *((unsigned int *)t175) = (t198 & t196); - t199 = *((unsigned int *)t169); - *((unsigned int *)t169) = (t199 & t195); - t200 = *((unsigned int *)t169); - *((unsigned int *)t169) = (t200 & t196); - goto LAB57; - -LAB58: *((unsigned int *)t201) = 1; - goto LAB61; - -LAB60: t208 = (t201 + 4); - *((unsigned int *)t201) = 1; - *((unsigned int *)t208) = 1; - goto LAB61; - -LAB62: t214 = (t0 + 5928); - t215 = (t214 + 56U); - t216 = *((char **)t215); - memset(t217, 0, 8); - t218 = (t216 + 4); - t219 = *((unsigned int *)t218); - t220 = (~(t219)); - t221 = *((unsigned int *)t216); - t222 = (t221 & t220); - t223 = (t222 & 1U); - if (t223 != 0) - goto LAB65; - -LAB66: if (*((unsigned int *)t218) != 0) - goto LAB67; - -LAB68: t226 = *((unsigned int *)t201); - t227 = *((unsigned int *)t217); - t228 = (t226 | t227); - *((unsigned int *)t225) = t228; - t229 = (t201 + 4); - t230 = (t217 + 4); - t231 = (t225 + 4); - t232 = *((unsigned int *)t229); - t233 = *((unsigned int *)t230); - t234 = (t232 | t233); - *((unsigned int *)t231) = t234; - t235 = *((unsigned int *)t231); - t236 = (t235 != 0); - if (t236 == 1) - goto LAB69; - -LAB70: -LAB71: goto LAB64; - -LAB65: *((unsigned int *)t217) = 1; - goto LAB68; - -LAB67: t224 = (t217 + 4); - *((unsigned int *)t217) = 1; - *((unsigned int *)t224) = 1; - goto LAB68; - -LAB69: t237 = *((unsigned int *)t225); - t238 = *((unsigned int *)t231); - *((unsigned int *)t225) = (t237 | t238); - t239 = (t201 + 4); - t240 = (t217 + 4); - t241 = *((unsigned int *)t239); - t242 = (~(t241)); - t243 = *((unsigned int *)t201); - t244 = (t243 & t242); - t245 = *((unsigned int *)t240); - t246 = (~(t245)); - t247 = *((unsigned int *)t217); - t248 = (t247 & t246); - t249 = (~(t244)); - t250 = (~(t248)); - t251 = *((unsigned int *)t231); - *((unsigned int *)t231) = (t251 & t249); - t252 = *((unsigned int *)t231); - *((unsigned int *)t231) = (t252 & t250); - goto LAB71; - -LAB72: *((unsigned int *)t3) = 1; - goto LAB75; - -LAB77: t264 = *((unsigned int *)t3); - t265 = *((unsigned int *)t261); - *((unsigned int *)t3) = (t264 | t265); - t266 = *((unsigned int *)t260); - t267 = *((unsigned int *)t261); - *((unsigned int *)t260) = (t266 | t267); - goto LAB76; - -} - -static void Cont_29_4(char *t0) -{ - char t3[8]; - char t4[8]; - char t22[8]; - char t36[8]; - char t43[8]; - char *t1; - char *t2; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - char *t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - char *t34; - char *t35; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - unsigned int t44; - unsigned int t45; - unsigned int t46; - char *t47; - char *t48; - char *t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - char *t57; - char *t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - int t67; - int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - char *t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - char *t81; - char *t82; - char *t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - unsigned int t91; - char *t92; - char *t93; - char *t94; - char *t95; - char *t96; - unsigned int t97; - unsigned int t98; - char *t99; - unsigned int t100; - unsigned int t101; - char *t102; - unsigned int t103; - unsigned int t104; - char *t105; - -LAB0: t1 = (t0 + 8000U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(29, ng0); - t2 = (t0 + 1528U); - t5 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t5 + 4); - t6 = *((unsigned int *)t2); - t7 = (~(t6)); - t8 = *((unsigned int *)t5); - t9 = (t8 & t7); - t10 = (t9 & 1U); - if (t10 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t11 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t11) = 1; - -LAB7: t12 = (t4 + 4); - t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = (~(t14)); - *((unsigned int *)t4) = t15; - *((unsigned int *)t12) = 0; - if (*((unsigned int *)t13) != 0) - goto LAB9; - -LAB8: t20 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t20 & 1U); - t21 = *((unsigned int *)t12); - *((unsigned int *)t12) = (t21 & 1U); - memset(t22, 0, 8); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 & 1U); - if (t28 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t23) != 0) - goto LAB12; - -LAB13: t30 = (t22 + 4); - t31 = *((unsigned int *)t22); - t32 = *((unsigned int *)t30); - t33 = (t31 || t32); - if (t33 > 0) - goto LAB14; - -LAB15: memcpy(t43, t22, 8); - -LAB16: memset(t3, 0, 8); - t75 = (t43 + 4); - t76 = *((unsigned int *)t75); - t77 = (~(t76)); - t78 = *((unsigned int *)t43); - t79 = (t78 & t77); - t80 = (t79 & 1U); - if (t80 != 0) - goto LAB27; - -LAB25: if (*((unsigned int *)t75) == 0) - goto LAB24; - -LAB26: t81 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t81) = 1; - -LAB27: t82 = (t3 + 4); - t83 = (t43 + 4); - t84 = *((unsigned int *)t43); - t85 = (~(t84)); - *((unsigned int *)t3) = t85; - *((unsigned int *)t82) = 0; - if (*((unsigned int *)t83) != 0) - goto LAB29; - -LAB28: t90 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t90 & 1U); - t91 = *((unsigned int *)t82); - *((unsigned int *)t82) = (t91 & 1U); - t92 = (t0 + 12152); - t93 = (t92 + 56U); - t94 = *((char **)t93); - t95 = (t94 + 56U); - t96 = *((char **)t95); - memset(t96, 0, 8); - t97 = 1U; - t98 = t97; - t99 = (t3 + 4); - t100 = *((unsigned int *)t3); - t97 = (t97 & t100); - t101 = *((unsigned int *)t99); - t98 = (t98 & t101); - t102 = (t96 + 4); - t103 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t103 | t97); - t104 = *((unsigned int *)t102); - *((unsigned int *)t102) = (t104 | t98); - xsi_driver_vfirst_trans(t92, 0, 0); - t105 = (t0 + 11608); - *((int *)t105) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB9: t16 = *((unsigned int *)t4); - t17 = *((unsigned int *)t13); - *((unsigned int *)t4) = (t16 | t17); - t18 = *((unsigned int *)t12); - t19 = *((unsigned int *)t13); - *((unsigned int *)t12) = (t18 | t19); - goto LAB8; - -LAB10: *((unsigned int *)t22) = 1; - goto LAB13; - -LAB12: t29 = (t22 + 4); - *((unsigned int *)t22) = 1; - *((unsigned int *)t29) = 1; - goto LAB13; - -LAB14: t34 = (t0 + 1368U); - t35 = *((char **)t34); - memset(t36, 0, 8); - t34 = (t35 + 4); - t37 = *((unsigned int *)t34); - t38 = (~(t37)); - t39 = *((unsigned int *)t35); - t40 = (t39 & t38); - t41 = (t40 & 1U); - if (t41 != 0) - goto LAB17; - -LAB18: if (*((unsigned int *)t34) != 0) - goto LAB19; - -LAB20: t44 = *((unsigned int *)t22); - t45 = *((unsigned int *)t36); - t46 = (t44 & t45); - *((unsigned int *)t43) = t46; - t47 = (t22 + 4); - t48 = (t36 + 4); - t49 = (t43 + 4); - t50 = *((unsigned int *)t47); - t51 = *((unsigned int *)t48); - t52 = (t50 | t51); - *((unsigned int *)t49) = t52; - t53 = *((unsigned int *)t49); - t54 = (t53 != 0); - if (t54 == 1) - goto LAB21; - -LAB22: -LAB23: goto LAB16; - -LAB17: *((unsigned int *)t36) = 1; - goto LAB20; - -LAB19: t42 = (t36 + 4); - *((unsigned int *)t36) = 1; - *((unsigned int *)t42) = 1; - goto LAB20; - -LAB21: t55 = *((unsigned int *)t43); - t56 = *((unsigned int *)t49); - *((unsigned int *)t43) = (t55 | t56); - t57 = (t22 + 4); - t58 = (t36 + 4); - t59 = *((unsigned int *)t22); - t60 = (~(t59)); - t61 = *((unsigned int *)t57); - t62 = (~(t61)); - t63 = *((unsigned int *)t36); - t64 = (~(t63)); - t65 = *((unsigned int *)t58); - t66 = (~(t65)); - t67 = (t60 & t62); - t68 = (t64 & t66); - t69 = (~(t67)); - t70 = (~(t68)); - t71 = *((unsigned int *)t49); - *((unsigned int *)t49) = (t71 & t69); - t72 = *((unsigned int *)t49); - *((unsigned int *)t49) = (t72 & t70); - t73 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t73 & t69); - t74 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t74 & t70); - goto LAB23; - -LAB24: *((unsigned int *)t3) = 1; - goto LAB27; - -LAB29: t86 = *((unsigned int *)t3); - t87 = *((unsigned int *)t83); - *((unsigned int *)t3) = (t86 | t87); - t88 = *((unsigned int *)t82); - t89 = *((unsigned int *)t83); - *((unsigned int *)t82) = (t88 | t89); - goto LAB28; - -} - -static void Cont_30_5(char *t0) -{ - char t3[8]; - char t4[8]; - char t22[8]; - char t34[8]; - char t53[8]; - char t61[8]; - char t93[8]; - char t105[8]; - char t124[8]; - char t132[8]; - char t164[8]; - char t178[8]; - char t185[8]; - char *t1; - char *t2; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - char *t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - char *t35; - char *t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - char *t43; - char *t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - char *t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - char *t60; - unsigned int t62; - unsigned int t63; - unsigned int t64; - char *t65; - char *t66; - char *t67; - unsigned int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - char *t75; - char *t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - int t85; - int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - unsigned int t91; - unsigned int t92; - char *t94; - unsigned int t95; - unsigned int t96; - unsigned int t97; - unsigned int t98; - unsigned int t99; - char *t100; - char *t101; - unsigned int t102; - unsigned int t103; - unsigned int t104; - char *t106; - char *t107; - unsigned int t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - unsigned int t112; - char *t113; - char *t114; - char *t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - unsigned int t120; - unsigned int t121; - unsigned int t122; - unsigned int t123; - char *t125; - unsigned int t126; - unsigned int t127; - unsigned int t128; - unsigned int t129; - unsigned int t130; - char *t131; - unsigned int t133; - unsigned int t134; - unsigned int t135; - char *t136; - char *t137; - char *t138; - unsigned int t139; - unsigned int t140; - unsigned int t141; - unsigned int t142; - unsigned int t143; - unsigned int t144; - unsigned int t145; - char *t146; - char *t147; - unsigned int t148; - unsigned int t149; - unsigned int t150; - unsigned int t151; - unsigned int t152; - unsigned int t153; - unsigned int t154; - unsigned int t155; - int t156; - int t157; - unsigned int t158; - unsigned int t159; - unsigned int t160; - unsigned int t161; - unsigned int t162; - unsigned int t163; - char *t165; - unsigned int t166; - unsigned int t167; - unsigned int t168; - unsigned int t169; - unsigned int t170; - char *t171; - char *t172; - unsigned int t173; - unsigned int t174; - unsigned int t175; - char *t176; - char *t177; - unsigned int t179; - unsigned int t180; - unsigned int t181; - unsigned int t182; - unsigned int t183; - char *t184; - unsigned int t186; - unsigned int t187; - unsigned int t188; - char *t189; - char *t190; - char *t191; - unsigned int t192; - unsigned int t193; - unsigned int t194; - unsigned int t195; - unsigned int t196; - unsigned int t197; - unsigned int t198; - char *t199; - char *t200; - unsigned int t201; - unsigned int t202; - unsigned int t203; - unsigned int t204; - unsigned int t205; - unsigned int t206; - unsigned int t207; - unsigned int t208; - int t209; - int t210; - unsigned int t211; - unsigned int t212; - unsigned int t213; - unsigned int t214; - unsigned int t215; - unsigned int t216; - char *t217; - unsigned int t218; - unsigned int t219; - unsigned int t220; - unsigned int t221; - unsigned int t222; - char *t223; - char *t224; - char *t225; - unsigned int t226; - unsigned int t227; - unsigned int t228; - unsigned int t229; - unsigned int t230; - unsigned int t231; - unsigned int t232; - unsigned int t233; - char *t234; - char *t235; - char *t236; - char *t237; - char *t238; - unsigned int t239; - unsigned int t240; - char *t241; - unsigned int t242; - unsigned int t243; - char *t244; - unsigned int t245; - unsigned int t246; - char *t247; - -LAB0: t1 = (t0 + 8248U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(30, ng0); - t2 = (t0 + 1528U); - t5 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t5 + 4); - t6 = *((unsigned int *)t2); - t7 = (~(t6)); - t8 = *((unsigned int *)t5); - t9 = (t8 & t7); - t10 = (t9 & 1U); - if (t10 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t11 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t11) = 1; - -LAB7: t12 = (t4 + 4); - t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = (~(t14)); - *((unsigned int *)t4) = t15; - *((unsigned int *)t12) = 0; - if (*((unsigned int *)t13) != 0) - goto LAB9; - -LAB8: t20 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t20 & 1U); - t21 = *((unsigned int *)t12); - *((unsigned int *)t12) = (t21 & 1U); - memset(t22, 0, 8); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 & 1U); - if (t28 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t23) != 0) - goto LAB12; - -LAB13: t30 = (t22 + 4); - t31 = *((unsigned int *)t22); - t32 = *((unsigned int *)t30); - t33 = (t31 || t32); - if (t33 > 0) - goto LAB14; - -LAB15: memcpy(t61, t22, 8); - -LAB16: memset(t93, 0, 8); - t94 = (t61 + 4); - t95 = *((unsigned int *)t94); - t96 = (~(t95)); - t97 = *((unsigned int *)t61); - t98 = (t97 & t96); - t99 = (t98 & 1U); - if (t99 != 0) - goto LAB30; - -LAB31: if (*((unsigned int *)t94) != 0) - goto LAB32; - -LAB33: t101 = (t93 + 4); - t102 = *((unsigned int *)t93); - t103 = *((unsigned int *)t101); - t104 = (t102 || t103); - if (t104 > 0) - goto LAB34; - -LAB35: memcpy(t132, t93, 8); - -LAB36: memset(t164, 0, 8); - t165 = (t132 + 4); - t166 = *((unsigned int *)t165); - t167 = (~(t166)); - t168 = *((unsigned int *)t132); - t169 = (t168 & t167); - t170 = (t169 & 1U); - if (t170 != 0) - goto LAB50; - -LAB51: if (*((unsigned int *)t165) != 0) - goto LAB52; - -LAB53: t172 = (t164 + 4); - t173 = *((unsigned int *)t164); - t174 = *((unsigned int *)t172); - t175 = (t173 || t174); - if (t175 > 0) - goto LAB54; - -LAB55: memcpy(t185, t164, 8); - -LAB56: memset(t3, 0, 8); - t217 = (t185 + 4); - t218 = *((unsigned int *)t217); - t219 = (~(t218)); - t220 = *((unsigned int *)t185); - t221 = (t220 & t219); - t222 = (t221 & 1U); - if (t222 != 0) - goto LAB67; - -LAB65: if (*((unsigned int *)t217) == 0) - goto LAB64; - -LAB66: t223 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t223) = 1; - -LAB67: t224 = (t3 + 4); - t225 = (t185 + 4); - t226 = *((unsigned int *)t185); - t227 = (~(t226)); - *((unsigned int *)t3) = t227; - *((unsigned int *)t224) = 0; - if (*((unsigned int *)t225) != 0) - goto LAB69; - -LAB68: t232 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t232 & 1U); - t233 = *((unsigned int *)t224); - *((unsigned int *)t224) = (t233 & 1U); - t234 = (t0 + 12216); - t235 = (t234 + 56U); - t236 = *((char **)t235); - t237 = (t236 + 56U); - t238 = *((char **)t237); - memset(t238, 0, 8); - t239 = 1U; - t240 = t239; - t241 = (t3 + 4); - t242 = *((unsigned int *)t3); - t239 = (t239 & t242); - t243 = *((unsigned int *)t241); - t240 = (t240 & t243); - t244 = (t238 + 4); - t245 = *((unsigned int *)t238); - *((unsigned int *)t238) = (t245 | t239); - t246 = *((unsigned int *)t244); - *((unsigned int *)t244) = (t246 | t240); - xsi_driver_vfirst_trans(t234, 0, 0); - t247 = (t0 + 11624); - *((int *)t247) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB9: t16 = *((unsigned int *)t4); - t17 = *((unsigned int *)t13); - *((unsigned int *)t4) = (t16 | t17); - t18 = *((unsigned int *)t12); - t19 = *((unsigned int *)t13); - *((unsigned int *)t12) = (t18 | t19); - goto LAB8; - -LAB10: *((unsigned int *)t22) = 1; - goto LAB13; - -LAB12: t29 = (t22 + 4); - *((unsigned int *)t22) = 1; - *((unsigned int *)t29) = 1; - goto LAB13; - -LAB14: t35 = (t0 + 1368U); - t36 = *((char **)t35); - memset(t34, 0, 8); - t35 = (t36 + 4); - t37 = *((unsigned int *)t35); - t38 = (~(t37)); - t39 = *((unsigned int *)t36); - t40 = (t39 & t38); - t41 = (t40 & 1U); - if (t41 != 0) - goto LAB20; - -LAB18: if (*((unsigned int *)t35) == 0) - goto LAB17; - -LAB19: t42 = (t34 + 4); - *((unsigned int *)t34) = 1; - *((unsigned int *)t42) = 1; - -LAB20: t43 = (t34 + 4); - t44 = (t36 + 4); - t45 = *((unsigned int *)t36); - t46 = (~(t45)); - *((unsigned int *)t34) = t46; - *((unsigned int *)t43) = 0; - if (*((unsigned int *)t44) != 0) - goto LAB22; - -LAB21: t51 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t51 & 1U); - t52 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t52 & 1U); - memset(t53, 0, 8); - t54 = (t34 + 4); - t55 = *((unsigned int *)t54); - t56 = (~(t55)); - t57 = *((unsigned int *)t34); - t58 = (t57 & t56); - t59 = (t58 & 1U); - if (t59 != 0) - goto LAB23; - -LAB24: if (*((unsigned int *)t54) != 0) - goto LAB25; - -LAB26: t62 = *((unsigned int *)t22); - t63 = *((unsigned int *)t53); - t64 = (t62 & t63); - *((unsigned int *)t61) = t64; - t65 = (t22 + 4); - t66 = (t53 + 4); - t67 = (t61 + 4); - t68 = *((unsigned int *)t65); - t69 = *((unsigned int *)t66); - t70 = (t68 | t69); - *((unsigned int *)t67) = t70; - t71 = *((unsigned int *)t67); - t72 = (t71 != 0); - if (t72 == 1) - goto LAB27; - -LAB28: -LAB29: goto LAB16; - -LAB17: *((unsigned int *)t34) = 1; - goto LAB20; - -LAB22: t47 = *((unsigned int *)t34); - t48 = *((unsigned int *)t44); - *((unsigned int *)t34) = (t47 | t48); - t49 = *((unsigned int *)t43); - t50 = *((unsigned int *)t44); - *((unsigned int *)t43) = (t49 | t50); - goto LAB21; - -LAB23: *((unsigned int *)t53) = 1; - goto LAB26; - -LAB25: t60 = (t53 + 4); - *((unsigned int *)t53) = 1; - *((unsigned int *)t60) = 1; - goto LAB26; - -LAB27: t73 = *((unsigned int *)t61); - t74 = *((unsigned int *)t67); - *((unsigned int *)t61) = (t73 | t74); - t75 = (t22 + 4); - t76 = (t53 + 4); - t77 = *((unsigned int *)t22); - t78 = (~(t77)); - t79 = *((unsigned int *)t75); - t80 = (~(t79)); - t81 = *((unsigned int *)t53); - t82 = (~(t81)); - t83 = *((unsigned int *)t76); - t84 = (~(t83)); - t85 = (t78 & t80); - t86 = (t82 & t84); - t87 = (~(t85)); - t88 = (~(t86)); - t89 = *((unsigned int *)t67); - *((unsigned int *)t67) = (t89 & t87); - t90 = *((unsigned int *)t67); - *((unsigned int *)t67) = (t90 & t88); - t91 = *((unsigned int *)t61); - *((unsigned int *)t61) = (t91 & t87); - t92 = *((unsigned int *)t61); - *((unsigned int *)t61) = (t92 & t88); - goto LAB29; - -LAB30: *((unsigned int *)t93) = 1; - goto LAB33; - -LAB32: t100 = (t93 + 4); - *((unsigned int *)t93) = 1; - *((unsigned int *)t100) = 1; - goto LAB33; - -LAB34: t106 = (t0 + 1688U); - t107 = *((char **)t106); - memset(t105, 0, 8); - t106 = (t107 + 4); - t108 = *((unsigned int *)t106); - t109 = (~(t108)); - t110 = *((unsigned int *)t107); - t111 = (t110 & t109); - t112 = (t111 & 1U); - if (t112 != 0) - goto LAB40; - -LAB38: if (*((unsigned int *)t106) == 0) - goto LAB37; - -LAB39: t113 = (t105 + 4); - *((unsigned int *)t105) = 1; - *((unsigned int *)t113) = 1; - -LAB40: t114 = (t105 + 4); - t115 = (t107 + 4); - t116 = *((unsigned int *)t107); - t117 = (~(t116)); - *((unsigned int *)t105) = t117; - *((unsigned int *)t114) = 0; - if (*((unsigned int *)t115) != 0) - goto LAB42; - -LAB41: t122 = *((unsigned int *)t105); - *((unsigned int *)t105) = (t122 & 1U); - t123 = *((unsigned int *)t114); - *((unsigned int *)t114) = (t123 & 1U); - memset(t124, 0, 8); - t125 = (t105 + 4); - t126 = *((unsigned int *)t125); - t127 = (~(t126)); - t128 = *((unsigned int *)t105); - t129 = (t128 & t127); - t130 = (t129 & 1U); - if (t130 != 0) - goto LAB43; - -LAB44: if (*((unsigned int *)t125) != 0) - goto LAB45; - -LAB46: t133 = *((unsigned int *)t93); - t134 = *((unsigned int *)t124); - t135 = (t133 & t134); - *((unsigned int *)t132) = t135; - t136 = (t93 + 4); - t137 = (t124 + 4); - t138 = (t132 + 4); - t139 = *((unsigned int *)t136); - t140 = *((unsigned int *)t137); - t141 = (t139 | t140); - *((unsigned int *)t138) = t141; - t142 = *((unsigned int *)t138); - t143 = (t142 != 0); - if (t143 == 1) - goto LAB47; - -LAB48: -LAB49: goto LAB36; - -LAB37: *((unsigned int *)t105) = 1; - goto LAB40; - -LAB42: t118 = *((unsigned int *)t105); - t119 = *((unsigned int *)t115); - *((unsigned int *)t105) = (t118 | t119); - t120 = *((unsigned int *)t114); - t121 = *((unsigned int *)t115); - *((unsigned int *)t114) = (t120 | t121); - goto LAB41; - -LAB43: *((unsigned int *)t124) = 1; - goto LAB46; - -LAB45: t131 = (t124 + 4); - *((unsigned int *)t124) = 1; - *((unsigned int *)t131) = 1; - goto LAB46; - -LAB47: t144 = *((unsigned int *)t132); - t145 = *((unsigned int *)t138); - *((unsigned int *)t132) = (t144 | t145); - t146 = (t93 + 4); - t147 = (t124 + 4); - t148 = *((unsigned int *)t93); - t149 = (~(t148)); - t150 = *((unsigned int *)t146); - t151 = (~(t150)); - t152 = *((unsigned int *)t124); - t153 = (~(t152)); - t154 = *((unsigned int *)t147); - t155 = (~(t154)); - t156 = (t149 & t151); - t157 = (t153 & t155); - t158 = (~(t156)); - t159 = (~(t157)); - t160 = *((unsigned int *)t138); - *((unsigned int *)t138) = (t160 & t158); - t161 = *((unsigned int *)t138); - *((unsigned int *)t138) = (t161 & t159); - t162 = *((unsigned int *)t132); - *((unsigned int *)t132) = (t162 & t158); - t163 = *((unsigned int *)t132); - *((unsigned int *)t132) = (t163 & t159); - goto LAB49; - -LAB50: *((unsigned int *)t164) = 1; - goto LAB53; - -LAB52: t171 = (t164 + 4); - *((unsigned int *)t164) = 1; - *((unsigned int *)t171) = 1; - goto LAB53; - -LAB54: t176 = (t0 + 4408U); - t177 = *((char **)t176); - memset(t178, 0, 8); - t176 = (t177 + 4); - t179 = *((unsigned int *)t176); - t180 = (~(t179)); - t181 = *((unsigned int *)t177); - t182 = (t181 & t180); - t183 = (t182 & 1U); - if (t183 != 0) - goto LAB57; - -LAB58: if (*((unsigned int *)t176) != 0) - goto LAB59; - -LAB60: t186 = *((unsigned int *)t164); - t187 = *((unsigned int *)t178); - t188 = (t186 & t187); - *((unsigned int *)t185) = t188; - t189 = (t164 + 4); - t190 = (t178 + 4); - t191 = (t185 + 4); - t192 = *((unsigned int *)t189); - t193 = *((unsigned int *)t190); - t194 = (t192 | t193); - *((unsigned int *)t191) = t194; - t195 = *((unsigned int *)t191); - t196 = (t195 != 0); - if (t196 == 1) - goto LAB61; - -LAB62: -LAB63: goto LAB56; - -LAB57: *((unsigned int *)t178) = 1; - goto LAB60; - -LAB59: t184 = (t178 + 4); - *((unsigned int *)t178) = 1; - *((unsigned int *)t184) = 1; - goto LAB60; - -LAB61: t197 = *((unsigned int *)t185); - t198 = *((unsigned int *)t191); - *((unsigned int *)t185) = (t197 | t198); - t199 = (t164 + 4); - t200 = (t178 + 4); - t201 = *((unsigned int *)t164); - t202 = (~(t201)); - t203 = *((unsigned int *)t199); - t204 = (~(t203)); - t205 = *((unsigned int *)t178); - t206 = (~(t205)); - t207 = *((unsigned int *)t200); - t208 = (~(t207)); - t209 = (t202 & t204); - t210 = (t206 & t208); - t211 = (~(t209)); - t212 = (~(t210)); - t213 = *((unsigned int *)t191); - *((unsigned int *)t191) = (t213 & t211); - t214 = *((unsigned int *)t191); - *((unsigned int *)t191) = (t214 & t212); - t215 = *((unsigned int *)t185); - *((unsigned int *)t185) = (t215 & t211); - t216 = *((unsigned int *)t185); - *((unsigned int *)t185) = (t216 & t212); - goto LAB63; - -LAB64: *((unsigned int *)t3) = 1; - goto LAB67; - -LAB69: t228 = *((unsigned int *)t3); - t229 = *((unsigned int *)t225); - *((unsigned int *)t3) = (t228 | t229); - t230 = *((unsigned int *)t224); - t231 = *((unsigned int *)t225); - *((unsigned int *)t224) = (t230 | t231); - goto LAB68; - -} - -static void Cont_31_6(char *t0) -{ - char t3[8]; - char t4[8]; - char t22[8]; - char t34[8]; - char t53[8]; - char t61[8]; - char t93[8]; - char t105[8]; - char t124[8]; - char t132[8]; - char t164[8]; - char t178[8]; - char t185[8]; - char *t1; - char *t2; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - char *t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - char *t35; - char *t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - char *t43; - char *t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - char *t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - char *t60; - unsigned int t62; - unsigned int t63; - unsigned int t64; - char *t65; - char *t66; - char *t67; - unsigned int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - char *t75; - char *t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - int t85; - int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - unsigned int t91; - unsigned int t92; - char *t94; - unsigned int t95; - unsigned int t96; - unsigned int t97; - unsigned int t98; - unsigned int t99; - char *t100; - char *t101; - unsigned int t102; - unsigned int t103; - unsigned int t104; - char *t106; - char *t107; - unsigned int t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - unsigned int t112; - char *t113; - char *t114; - char *t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - unsigned int t120; - unsigned int t121; - unsigned int t122; - unsigned int t123; - char *t125; - unsigned int t126; - unsigned int t127; - unsigned int t128; - unsigned int t129; - unsigned int t130; - char *t131; - unsigned int t133; - unsigned int t134; - unsigned int t135; - char *t136; - char *t137; - char *t138; - unsigned int t139; - unsigned int t140; - unsigned int t141; - unsigned int t142; - unsigned int t143; - unsigned int t144; - unsigned int t145; - char *t146; - char *t147; - unsigned int t148; - unsigned int t149; - unsigned int t150; - unsigned int t151; - unsigned int t152; - unsigned int t153; - unsigned int t154; - unsigned int t155; - int t156; - int t157; - unsigned int t158; - unsigned int t159; - unsigned int t160; - unsigned int t161; - unsigned int t162; - unsigned int t163; - char *t165; - unsigned int t166; - unsigned int t167; - unsigned int t168; - unsigned int t169; - unsigned int t170; - char *t171; - char *t172; - unsigned int t173; - unsigned int t174; - unsigned int t175; - char *t176; - char *t177; - unsigned int t179; - unsigned int t180; - unsigned int t181; - unsigned int t182; - unsigned int t183; - char *t184; - unsigned int t186; - unsigned int t187; - unsigned int t188; - char *t189; - char *t190; - char *t191; - unsigned int t192; - unsigned int t193; - unsigned int t194; - unsigned int t195; - unsigned int t196; - unsigned int t197; - unsigned int t198; - char *t199; - char *t200; - unsigned int t201; - unsigned int t202; - unsigned int t203; - unsigned int t204; - unsigned int t205; - unsigned int t206; - unsigned int t207; - unsigned int t208; - int t209; - int t210; - unsigned int t211; - unsigned int t212; - unsigned int t213; - unsigned int t214; - unsigned int t215; - unsigned int t216; - char *t217; - unsigned int t218; - unsigned int t219; - unsigned int t220; - unsigned int t221; - unsigned int t222; - char *t223; - char *t224; - char *t225; - unsigned int t226; - unsigned int t227; - unsigned int t228; - unsigned int t229; - unsigned int t230; - unsigned int t231; - unsigned int t232; - unsigned int t233; - char *t234; - char *t235; - char *t236; - char *t237; - char *t238; - unsigned int t239; - unsigned int t240; - char *t241; - unsigned int t242; - unsigned int t243; - char *t244; - unsigned int t245; - unsigned int t246; - char *t247; - -LAB0: t1 = (t0 + 8496U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(31, ng0); - t2 = (t0 + 1528U); - t5 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t5 + 4); - t6 = *((unsigned int *)t2); - t7 = (~(t6)); - t8 = *((unsigned int *)t5); - t9 = (t8 & t7); - t10 = (t9 & 1U); - if (t10 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t11 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t11) = 1; - -LAB7: t12 = (t4 + 4); - t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = (~(t14)); - *((unsigned int *)t4) = t15; - *((unsigned int *)t12) = 0; - if (*((unsigned int *)t13) != 0) - goto LAB9; - -LAB8: t20 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t20 & 1U); - t21 = *((unsigned int *)t12); - *((unsigned int *)t12) = (t21 & 1U); - memset(t22, 0, 8); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 & 1U); - if (t28 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t23) != 0) - goto LAB12; - -LAB13: t30 = (t22 + 4); - t31 = *((unsigned int *)t22); - t32 = *((unsigned int *)t30); - t33 = (t31 || t32); - if (t33 > 0) - goto LAB14; - -LAB15: memcpy(t61, t22, 8); - -LAB16: memset(t93, 0, 8); - t94 = (t61 + 4); - t95 = *((unsigned int *)t94); - t96 = (~(t95)); - t97 = *((unsigned int *)t61); - t98 = (t97 & t96); - t99 = (t98 & 1U); - if (t99 != 0) - goto LAB30; - -LAB31: if (*((unsigned int *)t94) != 0) - goto LAB32; - -LAB33: t101 = (t93 + 4); - t102 = *((unsigned int *)t93); - t103 = *((unsigned int *)t101); - t104 = (t102 || t103); - if (t104 > 0) - goto LAB34; - -LAB35: memcpy(t132, t93, 8); - -LAB36: memset(t164, 0, 8); - t165 = (t132 + 4); - t166 = *((unsigned int *)t165); - t167 = (~(t166)); - t168 = *((unsigned int *)t132); - t169 = (t168 & t167); - t170 = (t169 & 1U); - if (t170 != 0) - goto LAB50; - -LAB51: if (*((unsigned int *)t165) != 0) - goto LAB52; - -LAB53: t172 = (t164 + 4); - t173 = *((unsigned int *)t164); - t174 = *((unsigned int *)t172); - t175 = (t173 || t174); - if (t175 > 0) - goto LAB54; - -LAB55: memcpy(t185, t164, 8); - -LAB56: memset(t3, 0, 8); - t217 = (t185 + 4); - t218 = *((unsigned int *)t217); - t219 = (~(t218)); - t220 = *((unsigned int *)t185); - t221 = (t220 & t219); - t222 = (t221 & 1U); - if (t222 != 0) - goto LAB67; - -LAB65: if (*((unsigned int *)t217) == 0) - goto LAB64; - -LAB66: t223 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t223) = 1; - -LAB67: t224 = (t3 + 4); - t225 = (t185 + 4); - t226 = *((unsigned int *)t185); - t227 = (~(t226)); - *((unsigned int *)t3) = t227; - *((unsigned int *)t224) = 0; - if (*((unsigned int *)t225) != 0) - goto LAB69; - -LAB68: t232 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t232 & 1U); - t233 = *((unsigned int *)t224); - *((unsigned int *)t224) = (t233 & 1U); - t234 = (t0 + 12280); - t235 = (t234 + 56U); - t236 = *((char **)t235); - t237 = (t236 + 56U); - t238 = *((char **)t237); - memset(t238, 0, 8); - t239 = 1U; - t240 = t239; - t241 = (t3 + 4); - t242 = *((unsigned int *)t3); - t239 = (t239 & t242); - t243 = *((unsigned int *)t241); - t240 = (t240 & t243); - t244 = (t238 + 4); - t245 = *((unsigned int *)t238); - *((unsigned int *)t238) = (t245 | t239); - t246 = *((unsigned int *)t244); - *((unsigned int *)t244) = (t246 | t240); - xsi_driver_vfirst_trans(t234, 0, 0); - t247 = (t0 + 11640); - *((int *)t247) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB9: t16 = *((unsigned int *)t4); - t17 = *((unsigned int *)t13); - *((unsigned int *)t4) = (t16 | t17); - t18 = *((unsigned int *)t12); - t19 = *((unsigned int *)t13); - *((unsigned int *)t12) = (t18 | t19); - goto LAB8; - -LAB10: *((unsigned int *)t22) = 1; - goto LAB13; - -LAB12: t29 = (t22 + 4); - *((unsigned int *)t22) = 1; - *((unsigned int *)t29) = 1; - goto LAB13; - -LAB14: t35 = (t0 + 1368U); - t36 = *((char **)t35); - memset(t34, 0, 8); - t35 = (t36 + 4); - t37 = *((unsigned int *)t35); - t38 = (~(t37)); - t39 = *((unsigned int *)t36); - t40 = (t39 & t38); - t41 = (t40 & 1U); - if (t41 != 0) - goto LAB20; - -LAB18: if (*((unsigned int *)t35) == 0) - goto LAB17; - -LAB19: t42 = (t34 + 4); - *((unsigned int *)t34) = 1; - *((unsigned int *)t42) = 1; - -LAB20: t43 = (t34 + 4); - t44 = (t36 + 4); - t45 = *((unsigned int *)t36); - t46 = (~(t45)); - *((unsigned int *)t34) = t46; - *((unsigned int *)t43) = 0; - if (*((unsigned int *)t44) != 0) - goto LAB22; - -LAB21: t51 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t51 & 1U); - t52 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t52 & 1U); - memset(t53, 0, 8); - t54 = (t34 + 4); - t55 = *((unsigned int *)t54); - t56 = (~(t55)); - t57 = *((unsigned int *)t34); - t58 = (t57 & t56); - t59 = (t58 & 1U); - if (t59 != 0) - goto LAB23; - -LAB24: if (*((unsigned int *)t54) != 0) - goto LAB25; - -LAB26: t62 = *((unsigned int *)t22); - t63 = *((unsigned int *)t53); - t64 = (t62 & t63); - *((unsigned int *)t61) = t64; - t65 = (t22 + 4); - t66 = (t53 + 4); - t67 = (t61 + 4); - t68 = *((unsigned int *)t65); - t69 = *((unsigned int *)t66); - t70 = (t68 | t69); - *((unsigned int *)t67) = t70; - t71 = *((unsigned int *)t67); - t72 = (t71 != 0); - if (t72 == 1) - goto LAB27; - -LAB28: -LAB29: goto LAB16; - -LAB17: *((unsigned int *)t34) = 1; - goto LAB20; - -LAB22: t47 = *((unsigned int *)t34); - t48 = *((unsigned int *)t44); - *((unsigned int *)t34) = (t47 | t48); - t49 = *((unsigned int *)t43); - t50 = *((unsigned int *)t44); - *((unsigned int *)t43) = (t49 | t50); - goto LAB21; - -LAB23: *((unsigned int *)t53) = 1; - goto LAB26; - -LAB25: t60 = (t53 + 4); - *((unsigned int *)t53) = 1; - *((unsigned int *)t60) = 1; - goto LAB26; - -LAB27: t73 = *((unsigned int *)t61); - t74 = *((unsigned int *)t67); - *((unsigned int *)t61) = (t73 | t74); - t75 = (t22 + 4); - t76 = (t53 + 4); - t77 = *((unsigned int *)t22); - t78 = (~(t77)); - t79 = *((unsigned int *)t75); - t80 = (~(t79)); - t81 = *((unsigned int *)t53); - t82 = (~(t81)); - t83 = *((unsigned int *)t76); - t84 = (~(t83)); - t85 = (t78 & t80); - t86 = (t82 & t84); - t87 = (~(t85)); - t88 = (~(t86)); - t89 = *((unsigned int *)t67); - *((unsigned int *)t67) = (t89 & t87); - t90 = *((unsigned int *)t67); - *((unsigned int *)t67) = (t90 & t88); - t91 = *((unsigned int *)t61); - *((unsigned int *)t61) = (t91 & t87); - t92 = *((unsigned int *)t61); - *((unsigned int *)t61) = (t92 & t88); - goto LAB29; - -LAB30: *((unsigned int *)t93) = 1; - goto LAB33; - -LAB32: t100 = (t93 + 4); - *((unsigned int *)t93) = 1; - *((unsigned int *)t100) = 1; - goto LAB33; - -LAB34: t106 = (t0 + 1848U); - t107 = *((char **)t106); - memset(t105, 0, 8); - t106 = (t107 + 4); - t108 = *((unsigned int *)t106); - t109 = (~(t108)); - t110 = *((unsigned int *)t107); - t111 = (t110 & t109); - t112 = (t111 & 1U); - if (t112 != 0) - goto LAB40; - -LAB38: if (*((unsigned int *)t106) == 0) - goto LAB37; - -LAB39: t113 = (t105 + 4); - *((unsigned int *)t105) = 1; - *((unsigned int *)t113) = 1; - -LAB40: t114 = (t105 + 4); - t115 = (t107 + 4); - t116 = *((unsigned int *)t107); - t117 = (~(t116)); - *((unsigned int *)t105) = t117; - *((unsigned int *)t114) = 0; - if (*((unsigned int *)t115) != 0) - goto LAB42; - -LAB41: t122 = *((unsigned int *)t105); - *((unsigned int *)t105) = (t122 & 1U); - t123 = *((unsigned int *)t114); - *((unsigned int *)t114) = (t123 & 1U); - memset(t124, 0, 8); - t125 = (t105 + 4); - t126 = *((unsigned int *)t125); - t127 = (~(t126)); - t128 = *((unsigned int *)t105); - t129 = (t128 & t127); - t130 = (t129 & 1U); - if (t130 != 0) - goto LAB43; - -LAB44: if (*((unsigned int *)t125) != 0) - goto LAB45; - -LAB46: t133 = *((unsigned int *)t93); - t134 = *((unsigned int *)t124); - t135 = (t133 & t134); - *((unsigned int *)t132) = t135; - t136 = (t93 + 4); - t137 = (t124 + 4); - t138 = (t132 + 4); - t139 = *((unsigned int *)t136); - t140 = *((unsigned int *)t137); - t141 = (t139 | t140); - *((unsigned int *)t138) = t141; - t142 = *((unsigned int *)t138); - t143 = (t142 != 0); - if (t143 == 1) - goto LAB47; - -LAB48: -LAB49: goto LAB36; - -LAB37: *((unsigned int *)t105) = 1; - goto LAB40; - -LAB42: t118 = *((unsigned int *)t105); - t119 = *((unsigned int *)t115); - *((unsigned int *)t105) = (t118 | t119); - t120 = *((unsigned int *)t114); - t121 = *((unsigned int *)t115); - *((unsigned int *)t114) = (t120 | t121); - goto LAB41; - -LAB43: *((unsigned int *)t124) = 1; - goto LAB46; - -LAB45: t131 = (t124 + 4); - *((unsigned int *)t124) = 1; - *((unsigned int *)t131) = 1; - goto LAB46; - -LAB47: t144 = *((unsigned int *)t132); - t145 = *((unsigned int *)t138); - *((unsigned int *)t132) = (t144 | t145); - t146 = (t93 + 4); - t147 = (t124 + 4); - t148 = *((unsigned int *)t93); - t149 = (~(t148)); - t150 = *((unsigned int *)t146); - t151 = (~(t150)); - t152 = *((unsigned int *)t124); - t153 = (~(t152)); - t154 = *((unsigned int *)t147); - t155 = (~(t154)); - t156 = (t149 & t151); - t157 = (t153 & t155); - t158 = (~(t156)); - t159 = (~(t157)); - t160 = *((unsigned int *)t138); - *((unsigned int *)t138) = (t160 & t158); - t161 = *((unsigned int *)t138); - *((unsigned int *)t138) = (t161 & t159); - t162 = *((unsigned int *)t132); - *((unsigned int *)t132) = (t162 & t158); - t163 = *((unsigned int *)t132); - *((unsigned int *)t132) = (t163 & t159); - goto LAB49; - -LAB50: *((unsigned int *)t164) = 1; - goto LAB53; - -LAB52: t171 = (t164 + 4); - *((unsigned int *)t164) = 1; - *((unsigned int *)t171) = 1; - goto LAB53; - -LAB54: t176 = (t0 + 4408U); - t177 = *((char **)t176); - memset(t178, 0, 8); - t176 = (t177 + 4); - t179 = *((unsigned int *)t176); - t180 = (~(t179)); - t181 = *((unsigned int *)t177); - t182 = (t181 & t180); - t183 = (t182 & 1U); - if (t183 != 0) - goto LAB57; - -LAB58: if (*((unsigned int *)t176) != 0) - goto LAB59; - -LAB60: t186 = *((unsigned int *)t164); - t187 = *((unsigned int *)t178); - t188 = (t186 & t187); - *((unsigned int *)t185) = t188; - t189 = (t164 + 4); - t190 = (t178 + 4); - t191 = (t185 + 4); - t192 = *((unsigned int *)t189); - t193 = *((unsigned int *)t190); - t194 = (t192 | t193); - *((unsigned int *)t191) = t194; - t195 = *((unsigned int *)t191); - t196 = (t195 != 0); - if (t196 == 1) - goto LAB61; - -LAB62: -LAB63: goto LAB56; - -LAB57: *((unsigned int *)t178) = 1; - goto LAB60; - -LAB59: t184 = (t178 + 4); - *((unsigned int *)t178) = 1; - *((unsigned int *)t184) = 1; - goto LAB60; - -LAB61: t197 = *((unsigned int *)t185); - t198 = *((unsigned int *)t191); - *((unsigned int *)t185) = (t197 | t198); - t199 = (t164 + 4); - t200 = (t178 + 4); - t201 = *((unsigned int *)t164); - t202 = (~(t201)); - t203 = *((unsigned int *)t199); - t204 = (~(t203)); - t205 = *((unsigned int *)t178); - t206 = (~(t205)); - t207 = *((unsigned int *)t200); - t208 = (~(t207)); - t209 = (t202 & t204); - t210 = (t206 & t208); - t211 = (~(t209)); - t212 = (~(t210)); - t213 = *((unsigned int *)t191); - *((unsigned int *)t191) = (t213 & t211); - t214 = *((unsigned int *)t191); - *((unsigned int *)t191) = (t214 & t212); - t215 = *((unsigned int *)t185); - *((unsigned int *)t185) = (t215 & t211); - t216 = *((unsigned int *)t185); - *((unsigned int *)t185) = (t216 & t212); - goto LAB63; - -LAB64: *((unsigned int *)t3) = 1; - goto LAB67; - -LAB69: t228 = *((unsigned int *)t3); - t229 = *((unsigned int *)t225); - *((unsigned int *)t3) = (t228 | t229); - t230 = *((unsigned int *)t224); - t231 = *((unsigned int *)t225); - *((unsigned int *)t224) = (t230 | t231); - goto LAB68; - -} - -static void Cont_32_7(char *t0) -{ - char t3[8]; - char t4[8]; - char t22[8]; - char t34[8]; - char t53[8]; - char t61[8]; - char *t1; - char *t2; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - char *t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - char *t35; - char *t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - char *t43; - char *t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - char *t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - char *t60; - unsigned int t62; - unsigned int t63; - unsigned int t64; - char *t65; - char *t66; - char *t67; - unsigned int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - char *t75; - char *t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - int t85; - int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - unsigned int t91; - unsigned int t92; - char *t93; - unsigned int t94; - unsigned int t95; - unsigned int t96; - unsigned int t97; - unsigned int t98; - char *t99; - char *t100; - char *t101; - unsigned int t102; - unsigned int t103; - unsigned int t104; - unsigned int t105; - unsigned int t106; - unsigned int t107; - unsigned int t108; - unsigned int t109; - char *t110; - char *t111; - char *t112; - char *t113; - char *t114; - unsigned int t115; - unsigned int t116; - char *t117; - unsigned int t118; - unsigned int t119; - char *t120; - unsigned int t121; - unsigned int t122; - char *t123; - -LAB0: t1 = (t0 + 8744U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(32, ng0); - t2 = (t0 + 1528U); - t5 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t5 + 4); - t6 = *((unsigned int *)t2); - t7 = (~(t6)); - t8 = *((unsigned int *)t5); - t9 = (t8 & t7); - t10 = (t9 & 1U); - if (t10 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t11 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t11) = 1; - -LAB7: t12 = (t4 + 4); - t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = (~(t14)); - *((unsigned int *)t4) = t15; - *((unsigned int *)t12) = 0; - if (*((unsigned int *)t13) != 0) - goto LAB9; - -LAB8: t20 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t20 & 1U); - t21 = *((unsigned int *)t12); - *((unsigned int *)t12) = (t21 & 1U); - memset(t22, 0, 8); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 & 1U); - if (t28 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t23) != 0) - goto LAB12; - -LAB13: t30 = (t22 + 4); - t31 = *((unsigned int *)t22); - t32 = *((unsigned int *)t30); - t33 = (t31 || t32); - if (t33 > 0) - goto LAB14; - -LAB15: memcpy(t61, t22, 8); - -LAB16: memset(t3, 0, 8); - t93 = (t61 + 4); - t94 = *((unsigned int *)t93); - t95 = (~(t94)); - t96 = *((unsigned int *)t61); - t97 = (t96 & t95); - t98 = (t97 & 1U); - if (t98 != 0) - goto LAB33; - -LAB31: if (*((unsigned int *)t93) == 0) - goto LAB30; - -LAB32: t99 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t99) = 1; - -LAB33: t100 = (t3 + 4); - t101 = (t61 + 4); - t102 = *((unsigned int *)t61); - t103 = (~(t102)); - *((unsigned int *)t3) = t103; - *((unsigned int *)t100) = 0; - if (*((unsigned int *)t101) != 0) - goto LAB35; - -LAB34: t108 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t108 & 1U); - t109 = *((unsigned int *)t100); - *((unsigned int *)t100) = (t109 & 1U); - t110 = (t0 + 12344); - t111 = (t110 + 56U); - t112 = *((char **)t111); - t113 = (t112 + 56U); - t114 = *((char **)t113); - memset(t114, 0, 8); - t115 = 1U; - t116 = t115; - t117 = (t3 + 4); - t118 = *((unsigned int *)t3); - t115 = (t115 & t118); - t119 = *((unsigned int *)t117); - t116 = (t116 & t119); - t120 = (t114 + 4); - t121 = *((unsigned int *)t114); - *((unsigned int *)t114) = (t121 | t115); - t122 = *((unsigned int *)t120); - *((unsigned int *)t120) = (t122 | t116); - xsi_driver_vfirst_trans(t110, 0, 0); - t123 = (t0 + 11656); - *((int *)t123) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB9: t16 = *((unsigned int *)t4); - t17 = *((unsigned int *)t13); - *((unsigned int *)t4) = (t16 | t17); - t18 = *((unsigned int *)t12); - t19 = *((unsigned int *)t13); - *((unsigned int *)t12) = (t18 | t19); - goto LAB8; - -LAB10: *((unsigned int *)t22) = 1; - goto LAB13; - -LAB12: t29 = (t22 + 4); - *((unsigned int *)t22) = 1; - *((unsigned int *)t29) = 1; - goto LAB13; - -LAB14: t35 = (t0 + 1368U); - t36 = *((char **)t35); - memset(t34, 0, 8); - t35 = (t36 + 4); - t37 = *((unsigned int *)t35); - t38 = (~(t37)); - t39 = *((unsigned int *)t36); - t40 = (t39 & t38); - t41 = (t40 & 1U); - if (t41 != 0) - goto LAB20; - -LAB18: if (*((unsigned int *)t35) == 0) - goto LAB17; - -LAB19: t42 = (t34 + 4); - *((unsigned int *)t34) = 1; - *((unsigned int *)t42) = 1; - -LAB20: t43 = (t34 + 4); - t44 = (t36 + 4); - t45 = *((unsigned int *)t36); - t46 = (~(t45)); - *((unsigned int *)t34) = t46; - *((unsigned int *)t43) = 0; - if (*((unsigned int *)t44) != 0) - goto LAB22; - -LAB21: t51 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t51 & 1U); - t52 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t52 & 1U); - memset(t53, 0, 8); - t54 = (t34 + 4); - t55 = *((unsigned int *)t54); - t56 = (~(t55)); - t57 = *((unsigned int *)t34); - t58 = (t57 & t56); - t59 = (t58 & 1U); - if (t59 != 0) - goto LAB23; - -LAB24: if (*((unsigned int *)t54) != 0) - goto LAB25; - -LAB26: t62 = *((unsigned int *)t22); - t63 = *((unsigned int *)t53); - t64 = (t62 & t63); - *((unsigned int *)t61) = t64; - t65 = (t22 + 4); - t66 = (t53 + 4); - t67 = (t61 + 4); - t68 = *((unsigned int *)t65); - t69 = *((unsigned int *)t66); - t70 = (t68 | t69); - *((unsigned int *)t67) = t70; - t71 = *((unsigned int *)t67); - t72 = (t71 != 0); - if (t72 == 1) - goto LAB27; - -LAB28: -LAB29: goto LAB16; - -LAB17: *((unsigned int *)t34) = 1; - goto LAB20; - -LAB22: t47 = *((unsigned int *)t34); - t48 = *((unsigned int *)t44); - *((unsigned int *)t34) = (t47 | t48); - t49 = *((unsigned int *)t43); - t50 = *((unsigned int *)t44); - *((unsigned int *)t43) = (t49 | t50); - goto LAB21; - -LAB23: *((unsigned int *)t53) = 1; - goto LAB26; - -LAB25: t60 = (t53 + 4); - *((unsigned int *)t53) = 1; - *((unsigned int *)t60) = 1; - goto LAB26; - -LAB27: t73 = *((unsigned int *)t61); - t74 = *((unsigned int *)t67); - *((unsigned int *)t61) = (t73 | t74); - t75 = (t22 + 4); - t76 = (t53 + 4); - t77 = *((unsigned int *)t22); - t78 = (~(t77)); - t79 = *((unsigned int *)t75); - t80 = (~(t79)); - t81 = *((unsigned int *)t53); - t82 = (~(t81)); - t83 = *((unsigned int *)t76); - t84 = (~(t83)); - t85 = (t78 & t80); - t86 = (t82 & t84); - t87 = (~(t85)); - t88 = (~(t86)); - t89 = *((unsigned int *)t67); - *((unsigned int *)t67) = (t89 & t87); - t90 = *((unsigned int *)t67); - *((unsigned int *)t67) = (t90 & t88); - t91 = *((unsigned int *)t61); - *((unsigned int *)t61) = (t91 & t87); - t92 = *((unsigned int *)t61); - *((unsigned int *)t61) = (t92 & t88); - goto LAB29; - -LAB30: *((unsigned int *)t3) = 1; - goto LAB33; - -LAB35: t104 = *((unsigned int *)t3); - t105 = *((unsigned int *)t101); - *((unsigned int *)t3) = (t104 | t105); - t106 = *((unsigned int *)t100); - t107 = *((unsigned int *)t101); - *((unsigned int *)t100) = (t106 | t107); - goto LAB34; - -} - -static void Cont_34_8(char *t0) -{ - char t4[8]; - char *t1; - char *t2; - char *t3; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - char *t15; - char *t16; - unsigned int t17; - unsigned int t18; - char *t19; - unsigned int t20; - unsigned int t21; - char *t22; - unsigned int t23; - unsigned int t24; - char *t25; - -LAB0: t1 = (t0 + 8992U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(34, ng0); - t2 = (t0 + 1208U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t4 + 4); - t5 = (t3 + 4); - t6 = *((unsigned int *)t3); - t7 = (t6 >> 18); - t8 = (t7 & 1); - *((unsigned int *)t4) = t8; - t9 = *((unsigned int *)t5); - t10 = (t9 >> 18); - t11 = (t10 & 1); - *((unsigned int *)t2) = t11; - t12 = (t0 + 12408); - t13 = (t12 + 56U); - t14 = *((char **)t13); - t15 = (t14 + 56U); - t16 = *((char **)t15); - memset(t16, 0, 8); - t17 = 1U; - t18 = t17; - t19 = (t4 + 4); - t20 = *((unsigned int *)t4); - t17 = (t17 & t20); - t21 = *((unsigned int *)t19); - t18 = (t18 & t21); - t22 = (t16 + 4); - t23 = *((unsigned int *)t16); - *((unsigned int *)t16) = (t23 | t17); - t24 = *((unsigned int *)t22); - *((unsigned int *)t22) = (t24 | t18); - xsi_driver_vfirst_trans(t12, 11, 11); - t25 = (t0 + 11672); - *((int *)t25) = 1; - -LAB1: return; -} - -static void Cont_35_9(char *t0) -{ - char t4[8]; - char *t1; - char *t2; - char *t3; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - char *t15; - char *t16; - unsigned int t17; - unsigned int t18; - char *t19; - unsigned int t20; - unsigned int t21; - char *t22; - unsigned int t23; - unsigned int t24; - char *t25; - -LAB0: t1 = (t0 + 9240U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(35, ng0); - t2 = (t0 + 1208U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t4 + 4); - t5 = (t3 + 4); - t6 = *((unsigned int *)t3); - t7 = (t6 >> 20); - t8 = (t7 & 1); - *((unsigned int *)t4) = t8; - t9 = *((unsigned int *)t5); - t10 = (t9 >> 20); - t11 = (t10 & 1); - *((unsigned int *)t2) = t11; - t12 = (t0 + 12472); - t13 = (t12 + 56U); - t14 = *((char **)t13); - t15 = (t14 + 56U); - t16 = *((char **)t15); - memset(t16, 0, 8); - t17 = 1U; - t18 = t17; - t19 = (t4 + 4); - t20 = *((unsigned int *)t4); - t17 = (t17 & t20); - t21 = *((unsigned int *)t19); - t18 = (t18 & t21); - t22 = (t16 + 4); - t23 = *((unsigned int *)t16); - *((unsigned int *)t16) = (t23 | t17); - t24 = *((unsigned int *)t22); - *((unsigned int *)t22) = (t24 | t18); - xsi_driver_vfirst_trans(t12, 10, 10); - t25 = (t0 + 11688); - *((int *)t25) = 1; - -LAB1: return; -} - -static void Cont_36_10(char *t0) -{ - char t3[8]; - char t4[8]; - char t18[8]; - char t19[8]; - char t31[8]; - char t43[8]; - char t44[8]; - char t56[8]; - char *t1; - char *t2; - char *t5; - char *t6; - char *t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - unsigned int t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - char *t20; - char *t21; - char *t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - char *t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - unsigned int t42; - char *t45; - char *t46; - char *t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - char *t54; - char *t55; - char *t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - char *t64; - char *t65; - char *t66; - char *t67; - char *t68; - unsigned int t69; - unsigned int t70; - char *t71; - unsigned int t72; - unsigned int t73; - char *t74; - unsigned int t75; - unsigned int t76; - char *t77; - -LAB0: t1 = (t0 + 9488U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(36, ng0); - t2 = (t0 + 5448); - t5 = (t2 + 56U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t7 = (t6 + 4); - t8 = *((unsigned int *)t7); - t9 = (~(t8)); - t10 = *((unsigned int *)t6); - t11 = (t10 & t9); - t12 = (t11 & 1U); - if (t12 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t7) != 0) - goto LAB6; - -LAB7: t14 = (t4 + 4); - t15 = *((unsigned int *)t4); - t16 = *((unsigned int *)t14); - t17 = (t15 || t16); - if (t17 > 0) - goto LAB8; - -LAB9: t39 = *((unsigned int *)t4); - t40 = (~(t39)); - t41 = *((unsigned int *)t14); - t42 = (t40 || t41); - if (t42 > 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t14) > 0) - goto LAB12; - -LAB13: if (*((unsigned int *)t4) > 0) - goto LAB14; - -LAB15: memcpy(t3, t43, 8); - -LAB16: t64 = (t0 + 12536); - t65 = (t64 + 56U); - t66 = *((char **)t65); - t67 = (t66 + 56U); - t68 = *((char **)t67); - memset(t68, 0, 8); - t69 = 1023U; - t70 = t69; - t71 = (t3 + 4); - t72 = *((unsigned int *)t3); - t69 = (t69 & t72); - t73 = *((unsigned int *)t71); - t70 = (t70 & t73); - t74 = (t68 + 4); - t75 = *((unsigned int *)t68); - *((unsigned int *)t68) = (t75 | t69); - t76 = *((unsigned int *)t74); - *((unsigned int *)t74) = (t76 | t70); - xsi_driver_vfirst_trans(t64, 0, 9); - t77 = (t0 + 11704); - *((int *)t77) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB6: t13 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t13) = 1; - goto LAB7; - -LAB8: t20 = (t0 + 1208U); - t21 = *((char **)t20); - memset(t19, 0, 8); - t20 = (t19 + 4); - t22 = (t21 + 4); - t23 = *((unsigned int *)t21); - t24 = (t23 >> 0); - *((unsigned int *)t19) = t24; - t25 = *((unsigned int *)t22); - t26 = (t25 >> 0); - *((unsigned int *)t20) = t26; - t27 = *((unsigned int *)t19); - *((unsigned int *)t19) = (t27 & 511U); - t28 = *((unsigned int *)t20); - *((unsigned int *)t20) = (t28 & 511U); - t29 = (t0 + 1208U); - t30 = *((char **)t29); - memset(t31, 0, 8); - t29 = (t31 + 4); - t32 = (t30 + 4); - t33 = *((unsigned int *)t30); - t34 = (t33 >> 19); - t35 = (t34 & 1); - *((unsigned int *)t31) = t35; - t36 = *((unsigned int *)t32); - t37 = (t36 >> 19); - t38 = (t37 & 1); - *((unsigned int *)t29) = t38; - xsi_vlogtype_concat(t18, 10, 10, 2U, t31, 1, t19, 9); - goto LAB9; - -LAB10: t45 = (t0 + 1208U); - t46 = *((char **)t45); - memset(t44, 0, 8); - t45 = (t44 + 4); - t47 = (t46 + 4); - t48 = *((unsigned int *)t46); - t49 = (t48 >> 9); - *((unsigned int *)t44) = t49; - t50 = *((unsigned int *)t47); - t51 = (t50 >> 9); - *((unsigned int *)t45) = t51; - t52 = *((unsigned int *)t44); - *((unsigned int *)t44) = (t52 & 511U); - t53 = *((unsigned int *)t45); - *((unsigned int *)t45) = (t53 & 511U); - t54 = (t0 + 1208U); - t55 = *((char **)t54); - memset(t56, 0, 8); - t54 = (t56 + 4); - t57 = (t55 + 4); - t58 = *((unsigned int *)t55); - t59 = (t58 >> 18); - t60 = (t59 & 1); - *((unsigned int *)t56) = t60; - t61 = *((unsigned int *)t57); - t62 = (t61 >> 18); - t63 = (t62 & 1); - *((unsigned int *)t54) = t63; - xsi_vlogtype_concat(t43, 10, 10, 2U, t56, 1, t44, 9); - goto LAB11; - -LAB12: xsi_vlog_unsigned_bit_combine(t3, 10, t18, 10, t43, 10); - goto LAB16; - -LAB14: memcpy(t3, t18, 8); - goto LAB16; - -} - -static void Always_38_11(char *t0) -{ - char t4[8]; - char t31[8]; - char t36[8]; - char t43[8]; - char t75[8]; - char t89[8]; - char t96[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t32; - unsigned int t33; - char *t34; - char *t35; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - unsigned int t44; - unsigned int t45; - unsigned int t46; - char *t47; - char *t48; - char *t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - char *t57; - char *t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - int t67; - int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - char *t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - unsigned int t81; - char *t82; - char *t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - char *t87; - char *t88; - unsigned int t90; - unsigned int t91; - unsigned int t92; - unsigned int t93; - unsigned int t94; - char *t95; - unsigned int t97; - unsigned int t98; - unsigned int t99; - char *t100; - char *t101; - char *t102; - unsigned int t103; - unsigned int t104; - unsigned int t105; - unsigned int t106; - unsigned int t107; - unsigned int t108; - unsigned int t109; - char *t110; - char *t111; - unsigned int t112; - unsigned int t113; - unsigned int t114; - unsigned int t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - int t120; - int t121; - unsigned int t122; - unsigned int t123; - unsigned int t124; - unsigned int t125; - unsigned int t126; - unsigned int t127; - char *t128; - unsigned int t129; - unsigned int t130; - unsigned int t131; - unsigned int t132; - unsigned int t133; - char *t134; - char *t135; - -LAB0: t1 = (t0 + 9736U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(38, ng0); - t2 = (t0 + 11720); - *((int *)t2) = 1; - t3 = (t0 + 9768); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(38, ng0); - -LAB5: xsi_set_current_line(39, ng0); - t5 = (t0 + 2008U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t5 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = (~(t7)); - t9 = *((unsigned int *)t6); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t5) == 0) - goto LAB6; - -LAB8: t12 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t12) = 1; - -LAB9: t13 = (t4 + 4); - t14 = (t6 + 4); - t15 = *((unsigned int *)t6); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB11; - -LAB10: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 != 0); - if (t28 > 0) - goto LAB12; - -LAB13: xsi_set_current_line(40, ng0); - t2 = (t0 + 4968); - t3 = (t2 + 56U); - t5 = *((char **)t3); - t6 = ((char*)((ng1))); - memset(t4, 0, 8); - t12 = (t5 + 4); - t13 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = *((unsigned int *)t6); - t9 = (t7 ^ t8); - t10 = *((unsigned int *)t12); - t11 = *((unsigned int *)t13); - t15 = (t10 ^ t11); - t16 = (t9 | t15); - t17 = *((unsigned int *)t12); - t18 = *((unsigned int *)t13); - t19 = (t17 | t18); - t20 = (~(t19)); - t21 = (t16 & t20); - if (t21 != 0) - goto LAB18; - -LAB15: if (t19 != 0) - goto LAB17; - -LAB16: *((unsigned int *)t4) = 1; - -LAB18: memset(t31, 0, 8); - t23 = (t4 + 4); - t22 = *((unsigned int *)t23); - t24 = (~(t22)); - t25 = *((unsigned int *)t4); - t26 = (t25 & t24); - t27 = (t26 & 1U); - if (t27 != 0) - goto LAB19; - -LAB20: if (*((unsigned int *)t23) != 0) - goto LAB21; - -LAB22: t30 = (t31 + 4); - t28 = *((unsigned int *)t31); - t32 = *((unsigned int *)t30); - t33 = (t28 || t32); - if (t33 > 0) - goto LAB23; - -LAB24: memcpy(t43, t31, 8); - -LAB25: memset(t75, 0, 8); - t76 = (t43 + 4); - t77 = *((unsigned int *)t76); - t78 = (~(t77)); - t79 = *((unsigned int *)t43); - t80 = (t79 & t78); - t81 = (t80 & 1U); - if (t81 != 0) - goto LAB33; - -LAB34: if (*((unsigned int *)t76) != 0) - goto LAB35; - -LAB36: t83 = (t75 + 4); - t84 = *((unsigned int *)t75); - t85 = *((unsigned int *)t83); - t86 = (t84 || t85); - if (t86 > 0) - goto LAB37; - -LAB38: memcpy(t96, t75, 8); - -LAB39: t128 = (t96 + 4); - t129 = *((unsigned int *)t128); - t130 = (~(t129)); - t131 = *((unsigned int *)t96); - t132 = (t131 & t130); - t133 = (t132 != 0); - if (t133 > 0) - goto LAB47; - -LAB48: -LAB49: -LAB14: goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t14); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB10; - -LAB12: xsi_set_current_line(39, ng0); - t29 = ((char*)((ng1))); - t30 = (t0 + 5128); - xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); - goto LAB14; - -LAB17: t14 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t14) = 1; - goto LAB18; - -LAB19: *((unsigned int *)t31) = 1; - goto LAB22; - -LAB21: t29 = (t31 + 4); - *((unsigned int *)t31) = 1; - *((unsigned int *)t29) = 1; - goto LAB22; - -LAB23: t34 = (t0 + 2008U); - t35 = *((char **)t34); - memset(t36, 0, 8); - t34 = (t35 + 4); - t37 = *((unsigned int *)t34); - t38 = (~(t37)); - t39 = *((unsigned int *)t35); - t40 = (t39 & t38); - t41 = (t40 & 1U); - if (t41 != 0) - goto LAB26; - -LAB27: if (*((unsigned int *)t34) != 0) - goto LAB28; - -LAB29: t44 = *((unsigned int *)t31); - t45 = *((unsigned int *)t36); - t46 = (t44 & t45); - *((unsigned int *)t43) = t46; - t47 = (t31 + 4); - t48 = (t36 + 4); - t49 = (t43 + 4); - t50 = *((unsigned int *)t47); - t51 = *((unsigned int *)t48); - t52 = (t50 | t51); - *((unsigned int *)t49) = t52; - t53 = *((unsigned int *)t49); - t54 = (t53 != 0); - if (t54 == 1) - goto LAB30; - -LAB31: -LAB32: goto LAB25; - -LAB26: *((unsigned int *)t36) = 1; - goto LAB29; - -LAB28: t42 = (t36 + 4); - *((unsigned int *)t36) = 1; - *((unsigned int *)t42) = 1; - goto LAB29; - -LAB30: t55 = *((unsigned int *)t43); - t56 = *((unsigned int *)t49); - *((unsigned int *)t43) = (t55 | t56); - t57 = (t31 + 4); - t58 = (t36 + 4); - t59 = *((unsigned int *)t31); - t60 = (~(t59)); - t61 = *((unsigned int *)t57); - t62 = (~(t61)); - t63 = *((unsigned int *)t36); - t64 = (~(t63)); - t65 = *((unsigned int *)t58); - t66 = (~(t65)); - t67 = (t60 & t62); - t68 = (t64 & t66); - t69 = (~(t67)); - t70 = (~(t68)); - t71 = *((unsigned int *)t49); - *((unsigned int *)t49) = (t71 & t69); - t72 = *((unsigned int *)t49); - *((unsigned int *)t49) = (t72 & t70); - t73 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t73 & t69); - t74 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t74 & t70); - goto LAB32; - -LAB33: *((unsigned int *)t75) = 1; - goto LAB36; - -LAB35: t82 = (t75 + 4); - *((unsigned int *)t75) = 1; - *((unsigned int *)t82) = 1; - goto LAB36; - -LAB37: t87 = (t0 + 2168U); - t88 = *((char **)t87); - memset(t89, 0, 8); - t87 = (t88 + 4); - t90 = *((unsigned int *)t87); - t91 = (~(t90)); - t92 = *((unsigned int *)t88); - t93 = (t92 & t91); - t94 = (t93 & 1U); - if (t94 != 0) - goto LAB40; - -LAB41: if (*((unsigned int *)t87) != 0) - goto LAB42; - -LAB43: t97 = *((unsigned int *)t75); - t98 = *((unsigned int *)t89); - t99 = (t97 & t98); - *((unsigned int *)t96) = t99; - t100 = (t75 + 4); - t101 = (t89 + 4); - t102 = (t96 + 4); - t103 = *((unsigned int *)t100); - t104 = *((unsigned int *)t101); - t105 = (t103 | t104); - *((unsigned int *)t102) = t105; - t106 = *((unsigned int *)t102); - t107 = (t106 != 0); - if (t107 == 1) - goto LAB44; - -LAB45: -LAB46: goto LAB39; - -LAB40: *((unsigned int *)t89) = 1; - goto LAB43; - -LAB42: t95 = (t89 + 4); - *((unsigned int *)t89) = 1; - *((unsigned int *)t95) = 1; - goto LAB43; - -LAB44: t108 = *((unsigned int *)t96); - t109 = *((unsigned int *)t102); - *((unsigned int *)t96) = (t108 | t109); - t110 = (t75 + 4); - t111 = (t89 + 4); - t112 = *((unsigned int *)t75); - t113 = (~(t112)); - t114 = *((unsigned int *)t110); - t115 = (~(t114)); - t116 = *((unsigned int *)t89); - t117 = (~(t116)); - t118 = *((unsigned int *)t111); - t119 = (~(t118)); - t120 = (t113 & t115); - t121 = (t117 & t119); - t122 = (~(t120)); - t123 = (~(t121)); - t124 = *((unsigned int *)t102); - *((unsigned int *)t102) = (t124 & t122); - t125 = *((unsigned int *)t102); - *((unsigned int *)t102) = (t125 & t123); - t126 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t126 & t122); - t127 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t127 & t123); - goto LAB46; - -LAB47: xsi_set_current_line(40, ng0); - t134 = ((char*)((ng2))); - t135 = (t0 + 5128); - xsi_vlogvar_wait_assign_value(t135, t134, 0, 0, 1, 0LL); - goto LAB49; - -} - -static void Always_42_12(char *t0) -{ - char t4[8]; - char t31[8]; - char t36[8]; - char t43[8]; - char t75[8]; - char t89[8]; - char t96[8]; - char t128[8]; - char t143[8]; - char t151[8]; - char t183[8]; - char t197[8]; - char t204[8]; - char t236[8]; - char t253[8]; - char t269[8]; - char t283[8]; - char t290[8]; - char t322[8]; - char t336[8]; - char t343[8]; - char t375[8]; - char t390[8]; - char t398[8]; - char t430[8]; - char t438[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t32; - unsigned int t33; - char *t34; - char *t35; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - unsigned int t44; - unsigned int t45; - unsigned int t46; - char *t47; - char *t48; - char *t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - char *t57; - char *t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - int t67; - int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - char *t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - unsigned int t81; - char *t82; - char *t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - char *t87; - char *t88; - unsigned int t90; - unsigned int t91; - unsigned int t92; - unsigned int t93; - unsigned int t94; - char *t95; - unsigned int t97; - unsigned int t98; - unsigned int t99; - char *t100; - char *t101; - char *t102; - unsigned int t103; - unsigned int t104; - unsigned int t105; - unsigned int t106; - unsigned int t107; - unsigned int t108; - unsigned int t109; - char *t110; - char *t111; - unsigned int t112; - unsigned int t113; - unsigned int t114; - unsigned int t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - int t120; - int t121; - unsigned int t122; - unsigned int t123; - unsigned int t124; - unsigned int t125; - unsigned int t126; - unsigned int t127; - char *t129; - unsigned int t130; - unsigned int t131; - unsigned int t132; - unsigned int t133; - unsigned int t134; - char *t135; - char *t136; - unsigned int t137; - unsigned int t138; - unsigned int t139; - char *t140; - char *t141; - char *t142; - char *t144; - unsigned int t145; - unsigned int t146; - unsigned int t147; - unsigned int t148; - unsigned int t149; - char *t150; - unsigned int t152; - unsigned int t153; - unsigned int t154; - char *t155; - char *t156; - char *t157; - unsigned int t158; - unsigned int t159; - unsigned int t160; - unsigned int t161; - unsigned int t162; - unsigned int t163; - unsigned int t164; - char *t165; - char *t166; - unsigned int t167; - unsigned int t168; - unsigned int t169; - unsigned int t170; - unsigned int t171; - unsigned int t172; - unsigned int t173; - unsigned int t174; - int t175; - int t176; - unsigned int t177; - unsigned int t178; - unsigned int t179; - unsigned int t180; - unsigned int t181; - unsigned int t182; - char *t184; - unsigned int t185; - unsigned int t186; - unsigned int t187; - unsigned int t188; - unsigned int t189; - char *t190; - char *t191; - unsigned int t192; - unsigned int t193; - unsigned int t194; - char *t195; - char *t196; - unsigned int t198; - unsigned int t199; - unsigned int t200; - unsigned int t201; - unsigned int t202; - char *t203; - unsigned int t205; - unsigned int t206; - unsigned int t207; - char *t208; - char *t209; - char *t210; - unsigned int t211; - unsigned int t212; - unsigned int t213; - unsigned int t214; - unsigned int t215; - unsigned int t216; - unsigned int t217; - char *t218; - char *t219; - unsigned int t220; - unsigned int t221; - unsigned int t222; - unsigned int t223; - unsigned int t224; - unsigned int t225; - unsigned int t226; - unsigned int t227; - int t228; - int t229; - unsigned int t230; - unsigned int t231; - unsigned int t232; - unsigned int t233; - unsigned int t234; - unsigned int t235; - char *t237; - unsigned int t238; - unsigned int t239; - unsigned int t240; - unsigned int t241; - unsigned int t242; - char *t243; - char *t244; - unsigned int t245; - unsigned int t246; - unsigned int t247; - unsigned int t248; - char *t249; - char *t250; - char *t251; - char *t252; - char *t254; - char *t255; - unsigned int t256; - unsigned int t257; - unsigned int t258; - unsigned int t259; - unsigned int t260; - unsigned int t261; - unsigned int t262; - unsigned int t263; - unsigned int t264; - unsigned int t265; - unsigned int t266; - unsigned int t267; - char *t268; - char *t270; - unsigned int t271; - unsigned int t272; - unsigned int t273; - unsigned int t274; - unsigned int t275; - char *t276; - char *t277; - unsigned int t278; - unsigned int t279; - unsigned int t280; - char *t281; - char *t282; - unsigned int t284; - unsigned int t285; - unsigned int t286; - unsigned int t287; - unsigned int t288; - char *t289; - unsigned int t291; - unsigned int t292; - unsigned int t293; - char *t294; - char *t295; - char *t296; - unsigned int t297; - unsigned int t298; - unsigned int t299; - unsigned int t300; - unsigned int t301; - unsigned int t302; - unsigned int t303; - char *t304; - char *t305; - unsigned int t306; - unsigned int t307; - unsigned int t308; - unsigned int t309; - unsigned int t310; - unsigned int t311; - unsigned int t312; - unsigned int t313; - int t314; - int t315; - unsigned int t316; - unsigned int t317; - unsigned int t318; - unsigned int t319; - unsigned int t320; - unsigned int t321; - char *t323; - unsigned int t324; - unsigned int t325; - unsigned int t326; - unsigned int t327; - unsigned int t328; - char *t329; - char *t330; - unsigned int t331; - unsigned int t332; - unsigned int t333; - char *t334; - char *t335; - unsigned int t337; - unsigned int t338; - unsigned int t339; - unsigned int t340; - unsigned int t341; - char *t342; - unsigned int t344; - unsigned int t345; - unsigned int t346; - char *t347; - char *t348; - char *t349; - unsigned int t350; - unsigned int t351; - unsigned int t352; - unsigned int t353; - unsigned int t354; - unsigned int t355; - unsigned int t356; - char *t357; - char *t358; - unsigned int t359; - unsigned int t360; - unsigned int t361; - unsigned int t362; - unsigned int t363; - unsigned int t364; - unsigned int t365; - unsigned int t366; - int t367; - int t368; - unsigned int t369; - unsigned int t370; - unsigned int t371; - unsigned int t372; - unsigned int t373; - unsigned int t374; - char *t376; - unsigned int t377; - unsigned int t378; - unsigned int t379; - unsigned int t380; - unsigned int t381; - char *t382; - char *t383; - unsigned int t384; - unsigned int t385; - unsigned int t386; - char *t387; - char *t388; - char *t389; - char *t391; - unsigned int t392; - unsigned int t393; - unsigned int t394; - unsigned int t395; - unsigned int t396; - char *t397; - unsigned int t399; - unsigned int t400; - unsigned int t401; - char *t402; - char *t403; - char *t404; - unsigned int t405; - unsigned int t406; - unsigned int t407; - unsigned int t408; - unsigned int t409; - unsigned int t410; - unsigned int t411; - char *t412; - char *t413; - unsigned int t414; - unsigned int t415; - unsigned int t416; - unsigned int t417; - unsigned int t418; - unsigned int t419; - unsigned int t420; - unsigned int t421; - int t422; - int t423; - unsigned int t424; - unsigned int t425; - unsigned int t426; - unsigned int t427; - unsigned int t428; - unsigned int t429; - char *t431; - unsigned int t432; - unsigned int t433; - unsigned int t434; - unsigned int t435; - unsigned int t436; - char *t437; - unsigned int t439; - unsigned int t440; - unsigned int t441; - char *t442; - char *t443; - char *t444; - unsigned int t445; - unsigned int t446; - unsigned int t447; - unsigned int t448; - unsigned int t449; - unsigned int t450; - unsigned int t451; - char *t452; - char *t453; - unsigned int t454; - unsigned int t455; - unsigned int t456; - int t457; - unsigned int t458; - unsigned int t459; - unsigned int t460; - int t461; - unsigned int t462; - unsigned int t463; - unsigned int t464; - unsigned int t465; - char *t466; - unsigned int t467; - unsigned int t468; - unsigned int t469; - unsigned int t470; - unsigned int t471; - char *t472; - char *t473; - -LAB0: t1 = (t0 + 9984U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(42, ng0); - t2 = (t0 + 11736); - *((int *)t2) = 1; - t3 = (t0 + 10016); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(42, ng0); - -LAB5: xsi_set_current_line(43, ng0); - t5 = (t0 + 2008U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t5 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = (~(t7)); - t9 = *((unsigned int *)t6); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t5) == 0) - goto LAB6; - -LAB8: t12 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t12) = 1; - -LAB9: t13 = (t4 + 4); - t14 = (t6 + 4); - t15 = *((unsigned int *)t6); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB11; - -LAB10: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 != 0); - if (t28 > 0) - goto LAB12; - -LAB13: xsi_set_current_line(44, ng0); - t2 = (t0 + 4968); - t3 = (t2 + 56U); - t5 = *((char **)t3); - t6 = ((char*)((ng1))); - memset(t4, 0, 8); - t12 = (t5 + 4); - t13 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = *((unsigned int *)t6); - t9 = (t7 ^ t8); - t10 = *((unsigned int *)t12); - t11 = *((unsigned int *)t13); - t15 = (t10 ^ t11); - t16 = (t9 | t15); - t17 = *((unsigned int *)t12); - t18 = *((unsigned int *)t13); - t19 = (t17 | t18); - t20 = (~(t19)); - t21 = (t16 & t20); - if (t21 != 0) - goto LAB18; - -LAB15: if (t19 != 0) - goto LAB17; - -LAB16: *((unsigned int *)t4) = 1; - -LAB18: memset(t31, 0, 8); - t23 = (t4 + 4); - t22 = *((unsigned int *)t23); - t24 = (~(t22)); - t25 = *((unsigned int *)t4); - t26 = (t25 & t24); - t27 = (t26 & 1U); - if (t27 != 0) - goto LAB19; - -LAB20: if (*((unsigned int *)t23) != 0) - goto LAB21; - -LAB22: t30 = (t31 + 4); - t28 = *((unsigned int *)t31); - t32 = *((unsigned int *)t30); - t33 = (t28 || t32); - if (t33 > 0) - goto LAB23; - -LAB24: memcpy(t43, t31, 8); - -LAB25: memset(t75, 0, 8); - t76 = (t43 + 4); - t77 = *((unsigned int *)t76); - t78 = (~(t77)); - t79 = *((unsigned int *)t43); - t80 = (t79 & t78); - t81 = (t80 & 1U); - if (t81 != 0) - goto LAB33; - -LAB34: if (*((unsigned int *)t76) != 0) - goto LAB35; - -LAB36: t83 = (t75 + 4); - t84 = *((unsigned int *)t75); - t85 = *((unsigned int *)t83); - t86 = (t84 || t85); - if (t86 > 0) - goto LAB37; - -LAB38: memcpy(t96, t75, 8); - -LAB39: memset(t128, 0, 8); - t129 = (t96 + 4); - t130 = *((unsigned int *)t129); - t131 = (~(t130)); - t132 = *((unsigned int *)t96); - t133 = (t132 & t131); - t134 = (t133 & 1U); - if (t134 != 0) - goto LAB47; - -LAB48: if (*((unsigned int *)t129) != 0) - goto LAB49; - -LAB50: t136 = (t128 + 4); - t137 = *((unsigned int *)t128); - t138 = *((unsigned int *)t136); - t139 = (t137 || t138); - if (t139 > 0) - goto LAB51; - -LAB52: memcpy(t151, t128, 8); - -LAB53: memset(t183, 0, 8); - t184 = (t151 + 4); - t185 = *((unsigned int *)t184); - t186 = (~(t185)); - t187 = *((unsigned int *)t151); - t188 = (t187 & t186); - t189 = (t188 & 1U); - if (t189 != 0) - goto LAB61; - -LAB62: if (*((unsigned int *)t184) != 0) - goto LAB63; - -LAB64: t191 = (t183 + 4); - t192 = *((unsigned int *)t183); - t193 = *((unsigned int *)t191); - t194 = (t192 || t193); - if (t194 > 0) - goto LAB65; - -LAB66: memcpy(t204, t183, 8); - -LAB67: memset(t236, 0, 8); - t237 = (t204 + 4); - t238 = *((unsigned int *)t237); - t239 = (~(t238)); - t240 = *((unsigned int *)t204); - t241 = (t240 & t239); - t242 = (t241 & 1U); - if (t242 != 0) - goto LAB75; - -LAB76: if (*((unsigned int *)t237) != 0) - goto LAB77; - -LAB78: t244 = (t236 + 4); - t245 = *((unsigned int *)t236); - t246 = (!(t245)); - t247 = *((unsigned int *)t244); - t248 = (t246 || t247); - if (t248 > 0) - goto LAB79; - -LAB80: memcpy(t438, t236, 8); - -LAB81: t466 = (t438 + 4); - t467 = *((unsigned int *)t466); - t468 = (~(t467)); - t469 = *((unsigned int *)t438); - t470 = (t469 & t468); - t471 = (t470 != 0); - if (t471 > 0) - goto LAB135; - -LAB136: -LAB137: -LAB14: goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t14); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB10; - -LAB12: xsi_set_current_line(43, ng0); - t29 = ((char*)((ng1))); - t30 = (t0 + 5768); - xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); - goto LAB14; - -LAB17: t14 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t14) = 1; - goto LAB18; - -LAB19: *((unsigned int *)t31) = 1; - goto LAB22; - -LAB21: t29 = (t31 + 4); - *((unsigned int *)t31) = 1; - *((unsigned int *)t29) = 1; - goto LAB22; - -LAB23: t34 = (t0 + 2008U); - t35 = *((char **)t34); - memset(t36, 0, 8); - t34 = (t35 + 4); - t37 = *((unsigned int *)t34); - t38 = (~(t37)); - t39 = *((unsigned int *)t35); - t40 = (t39 & t38); - t41 = (t40 & 1U); - if (t41 != 0) - goto LAB26; - -LAB27: if (*((unsigned int *)t34) != 0) - goto LAB28; - -LAB29: t44 = *((unsigned int *)t31); - t45 = *((unsigned int *)t36); - t46 = (t44 & t45); - *((unsigned int *)t43) = t46; - t47 = (t31 + 4); - t48 = (t36 + 4); - t49 = (t43 + 4); - t50 = *((unsigned int *)t47); - t51 = *((unsigned int *)t48); - t52 = (t50 | t51); - *((unsigned int *)t49) = t52; - t53 = *((unsigned int *)t49); - t54 = (t53 != 0); - if (t54 == 1) - goto LAB30; - -LAB31: -LAB32: goto LAB25; - -LAB26: *((unsigned int *)t36) = 1; - goto LAB29; - -LAB28: t42 = (t36 + 4); - *((unsigned int *)t36) = 1; - *((unsigned int *)t42) = 1; - goto LAB29; - -LAB30: t55 = *((unsigned int *)t43); - t56 = *((unsigned int *)t49); - *((unsigned int *)t43) = (t55 | t56); - t57 = (t31 + 4); - t58 = (t36 + 4); - t59 = *((unsigned int *)t31); - t60 = (~(t59)); - t61 = *((unsigned int *)t57); - t62 = (~(t61)); - t63 = *((unsigned int *)t36); - t64 = (~(t63)); - t65 = *((unsigned int *)t58); - t66 = (~(t65)); - t67 = (t60 & t62); - t68 = (t64 & t66); - t69 = (~(t67)); - t70 = (~(t68)); - t71 = *((unsigned int *)t49); - *((unsigned int *)t49) = (t71 & t69); - t72 = *((unsigned int *)t49); - *((unsigned int *)t49) = (t72 & t70); - t73 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t73 & t69); - t74 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t74 & t70); - goto LAB32; - -LAB33: *((unsigned int *)t75) = 1; - goto LAB36; - -LAB35: t82 = (t75 + 4); - *((unsigned int *)t75) = 1; - *((unsigned int *)t82) = 1; - goto LAB36; - -LAB37: t87 = (t0 + 2808U); - t88 = *((char **)t87); - memset(t89, 0, 8); - t87 = (t88 + 4); - t90 = *((unsigned int *)t87); - t91 = (~(t90)); - t92 = *((unsigned int *)t88); - t93 = (t92 & t91); - t94 = (t93 & 1U); - if (t94 != 0) - goto LAB40; - -LAB41: if (*((unsigned int *)t87) != 0) - goto LAB42; - -LAB43: t97 = *((unsigned int *)t75); - t98 = *((unsigned int *)t89); - t99 = (t97 & t98); - *((unsigned int *)t96) = t99; - t100 = (t75 + 4); - t101 = (t89 + 4); - t102 = (t96 + 4); - t103 = *((unsigned int *)t100); - t104 = *((unsigned int *)t101); - t105 = (t103 | t104); - *((unsigned int *)t102) = t105; - t106 = *((unsigned int *)t102); - t107 = (t106 != 0); - if (t107 == 1) - goto LAB44; - -LAB45: -LAB46: goto LAB39; - -LAB40: *((unsigned int *)t89) = 1; - goto LAB43; - -LAB42: t95 = (t89 + 4); - *((unsigned int *)t89) = 1; - *((unsigned int *)t95) = 1; - goto LAB43; - -LAB44: t108 = *((unsigned int *)t96); - t109 = *((unsigned int *)t102); - *((unsigned int *)t96) = (t108 | t109); - t110 = (t75 + 4); - t111 = (t89 + 4); - t112 = *((unsigned int *)t75); - t113 = (~(t112)); - t114 = *((unsigned int *)t110); - t115 = (~(t114)); - t116 = *((unsigned int *)t89); - t117 = (~(t116)); - t118 = *((unsigned int *)t111); - t119 = (~(t118)); - t120 = (t113 & t115); - t121 = (t117 & t119); - t122 = (~(t120)); - t123 = (~(t121)); - t124 = *((unsigned int *)t102); - *((unsigned int *)t102) = (t124 & t122); - t125 = *((unsigned int *)t102); - *((unsigned int *)t102) = (t125 & t123); - t126 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t126 & t122); - t127 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t127 & t123); - goto LAB46; - -LAB47: *((unsigned int *)t128) = 1; - goto LAB50; - -LAB49: t135 = (t128 + 4); - *((unsigned int *)t128) = 1; - *((unsigned int *)t135) = 1; - goto LAB50; - -LAB51: t140 = (t0 + 5128); - t141 = (t140 + 56U); - t142 = *((char **)t141); - memset(t143, 0, 8); - t144 = (t142 + 4); - t145 = *((unsigned int *)t144); - t146 = (~(t145)); - t147 = *((unsigned int *)t142); - t148 = (t147 & t146); - t149 = (t148 & 1U); - if (t149 != 0) - goto LAB54; - -LAB55: if (*((unsigned int *)t144) != 0) - goto LAB56; - -LAB57: t152 = *((unsigned int *)t128); - t153 = *((unsigned int *)t143); - t154 = (t152 & t153); - *((unsigned int *)t151) = t154; - t155 = (t128 + 4); - t156 = (t143 + 4); - t157 = (t151 + 4); - t158 = *((unsigned int *)t155); - t159 = *((unsigned int *)t156); - t160 = (t158 | t159); - *((unsigned int *)t157) = t160; - t161 = *((unsigned int *)t157); - t162 = (t161 != 0); - if (t162 == 1) - goto LAB58; - -LAB59: -LAB60: goto LAB53; - -LAB54: *((unsigned int *)t143) = 1; - goto LAB57; - -LAB56: t150 = (t143 + 4); - *((unsigned int *)t143) = 1; - *((unsigned int *)t150) = 1; - goto LAB57; - -LAB58: t163 = *((unsigned int *)t151); - t164 = *((unsigned int *)t157); - *((unsigned int *)t151) = (t163 | t164); - t165 = (t128 + 4); - t166 = (t143 + 4); - t167 = *((unsigned int *)t128); - t168 = (~(t167)); - t169 = *((unsigned int *)t165); - t170 = (~(t169)); - t171 = *((unsigned int *)t143); - t172 = (~(t171)); - t173 = *((unsigned int *)t166); - t174 = (~(t173)); - t175 = (t168 & t170); - t176 = (t172 & t174); - t177 = (~(t175)); - t178 = (~(t176)); - t179 = *((unsigned int *)t157); - *((unsigned int *)t157) = (t179 & t177); - t180 = *((unsigned int *)t157); - *((unsigned int *)t157) = (t180 & t178); - t181 = *((unsigned int *)t151); - *((unsigned int *)t151) = (t181 & t177); - t182 = *((unsigned int *)t151); - *((unsigned int *)t151) = (t182 & t178); - goto LAB60; - -LAB61: *((unsigned int *)t183) = 1; - goto LAB64; - -LAB63: t190 = (t183 + 4); - *((unsigned int *)t183) = 1; - *((unsigned int *)t190) = 1; - goto LAB64; - -LAB65: t195 = (t0 + 2168U); - t196 = *((char **)t195); - memset(t197, 0, 8); - t195 = (t196 + 4); - t198 = *((unsigned int *)t195); - t199 = (~(t198)); - t200 = *((unsigned int *)t196); - t201 = (t200 & t199); - t202 = (t201 & 1U); - if (t202 != 0) - goto LAB68; - -LAB69: if (*((unsigned int *)t195) != 0) - goto LAB70; - -LAB71: t205 = *((unsigned int *)t183); - t206 = *((unsigned int *)t197); - t207 = (t205 & t206); - *((unsigned int *)t204) = t207; - t208 = (t183 + 4); - t209 = (t197 + 4); - t210 = (t204 + 4); - t211 = *((unsigned int *)t208); - t212 = *((unsigned int *)t209); - t213 = (t211 | t212); - *((unsigned int *)t210) = t213; - t214 = *((unsigned int *)t210); - t215 = (t214 != 0); - if (t215 == 1) - goto LAB72; - -LAB73: -LAB74: goto LAB67; - -LAB68: *((unsigned int *)t197) = 1; - goto LAB71; - -LAB70: t203 = (t197 + 4); - *((unsigned int *)t197) = 1; - *((unsigned int *)t203) = 1; - goto LAB71; - -LAB72: t216 = *((unsigned int *)t204); - t217 = *((unsigned int *)t210); - *((unsigned int *)t204) = (t216 | t217); - t218 = (t183 + 4); - t219 = (t197 + 4); - t220 = *((unsigned int *)t183); - t221 = (~(t220)); - t222 = *((unsigned int *)t218); - t223 = (~(t222)); - t224 = *((unsigned int *)t197); - t225 = (~(t224)); - t226 = *((unsigned int *)t219); - t227 = (~(t226)); - t228 = (t221 & t223); - t229 = (t225 & t227); - t230 = (~(t228)); - t231 = (~(t229)); - t232 = *((unsigned int *)t210); - *((unsigned int *)t210) = (t232 & t230); - t233 = *((unsigned int *)t210); - *((unsigned int *)t210) = (t233 & t231); - t234 = *((unsigned int *)t204); - *((unsigned int *)t204) = (t234 & t230); - t235 = *((unsigned int *)t204); - *((unsigned int *)t204) = (t235 & t231); - goto LAB74; - -LAB75: *((unsigned int *)t236) = 1; - goto LAB78; - -LAB77: t243 = (t236 + 4); - *((unsigned int *)t236) = 1; - *((unsigned int *)t243) = 1; - goto LAB78; - -LAB79: t249 = (t0 + 4968); - t250 = (t249 + 56U); - t251 = *((char **)t250); - t252 = ((char*)((ng3))); - memset(t253, 0, 8); - t254 = (t251 + 4); - t255 = (t252 + 4); - t256 = *((unsigned int *)t251); - t257 = *((unsigned int *)t252); - t258 = (t256 ^ t257); - t259 = *((unsigned int *)t254); - t260 = *((unsigned int *)t255); - t261 = (t259 ^ t260); - t262 = (t258 | t261); - t263 = *((unsigned int *)t254); - t264 = *((unsigned int *)t255); - t265 = (t263 | t264); - t266 = (~(t265)); - t267 = (t262 & t266); - if (t267 != 0) - goto LAB85; - -LAB82: if (t265 != 0) - goto LAB84; - -LAB83: *((unsigned int *)t253) = 1; - -LAB85: memset(t269, 0, 8); - t270 = (t253 + 4); - t271 = *((unsigned int *)t270); - t272 = (~(t271)); - t273 = *((unsigned int *)t253); - t274 = (t273 & t272); - t275 = (t274 & 1U); - if (t275 != 0) - goto LAB86; - -LAB87: if (*((unsigned int *)t270) != 0) - goto LAB88; - -LAB89: t277 = (t269 + 4); - t278 = *((unsigned int *)t269); - t279 = *((unsigned int *)t277); - t280 = (t278 || t279); - if (t280 > 0) - goto LAB90; - -LAB91: memcpy(t290, t269, 8); - -LAB92: memset(t322, 0, 8); - t323 = (t290 + 4); - t324 = *((unsigned int *)t323); - t325 = (~(t324)); - t326 = *((unsigned int *)t290); - t327 = (t326 & t325); - t328 = (t327 & 1U); - if (t328 != 0) - goto LAB100; - -LAB101: if (*((unsigned int *)t323) != 0) - goto LAB102; - -LAB103: t330 = (t322 + 4); - t331 = *((unsigned int *)t322); - t332 = *((unsigned int *)t330); - t333 = (t331 || t332); - if (t333 > 0) - goto LAB104; - -LAB105: memcpy(t343, t322, 8); - -LAB106: memset(t375, 0, 8); - t376 = (t343 + 4); - t377 = *((unsigned int *)t376); - t378 = (~(t377)); - t379 = *((unsigned int *)t343); - t380 = (t379 & t378); - t381 = (t380 & 1U); - if (t381 != 0) - goto LAB114; - -LAB115: if (*((unsigned int *)t376) != 0) - goto LAB116; - -LAB117: t383 = (t375 + 4); - t384 = *((unsigned int *)t375); - t385 = *((unsigned int *)t383); - t386 = (t384 || t385); - if (t386 > 0) - goto LAB118; - -LAB119: memcpy(t398, t375, 8); - -LAB120: memset(t430, 0, 8); - t431 = (t398 + 4); - t432 = *((unsigned int *)t431); - t433 = (~(t432)); - t434 = *((unsigned int *)t398); - t435 = (t434 & t433); - t436 = (t435 & 1U); - if (t436 != 0) - goto LAB128; - -LAB129: if (*((unsigned int *)t431) != 0) - goto LAB130; - -LAB131: t439 = *((unsigned int *)t236); - t440 = *((unsigned int *)t430); - t441 = (t439 | t440); - *((unsigned int *)t438) = t441; - t442 = (t236 + 4); - t443 = (t430 + 4); - t444 = (t438 + 4); - t445 = *((unsigned int *)t442); - t446 = *((unsigned int *)t443); - t447 = (t445 | t446); - *((unsigned int *)t444) = t447; - t448 = *((unsigned int *)t444); - t449 = (t448 != 0); - if (t449 == 1) - goto LAB132; - -LAB133: -LAB134: goto LAB81; - -LAB84: t268 = (t253 + 4); - *((unsigned int *)t253) = 1; - *((unsigned int *)t268) = 1; - goto LAB85; - -LAB86: *((unsigned int *)t269) = 1; - goto LAB89; - -LAB88: t276 = (t269 + 4); - *((unsigned int *)t269) = 1; - *((unsigned int *)t276) = 1; - goto LAB89; - -LAB90: t281 = (t0 + 2008U); - t282 = *((char **)t281); - memset(t283, 0, 8); - t281 = (t282 + 4); - t284 = *((unsigned int *)t281); - t285 = (~(t284)); - t286 = *((unsigned int *)t282); - t287 = (t286 & t285); - t288 = (t287 & 1U); - if (t288 != 0) - goto LAB93; - -LAB94: if (*((unsigned int *)t281) != 0) - goto LAB95; - -LAB96: t291 = *((unsigned int *)t269); - t292 = *((unsigned int *)t283); - t293 = (t291 & t292); - *((unsigned int *)t290) = t293; - t294 = (t269 + 4); - t295 = (t283 + 4); - t296 = (t290 + 4); - t297 = *((unsigned int *)t294); - t298 = *((unsigned int *)t295); - t299 = (t297 | t298); - *((unsigned int *)t296) = t299; - t300 = *((unsigned int *)t296); - t301 = (t300 != 0); - if (t301 == 1) - goto LAB97; - -LAB98: -LAB99: goto LAB92; - -LAB93: *((unsigned int *)t283) = 1; - goto LAB96; - -LAB95: t289 = (t283 + 4); - *((unsigned int *)t283) = 1; - *((unsigned int *)t289) = 1; - goto LAB96; - -LAB97: t302 = *((unsigned int *)t290); - t303 = *((unsigned int *)t296); - *((unsigned int *)t290) = (t302 | t303); - t304 = (t269 + 4); - t305 = (t283 + 4); - t306 = *((unsigned int *)t269); - t307 = (~(t306)); - t308 = *((unsigned int *)t304); - t309 = (~(t308)); - t310 = *((unsigned int *)t283); - t311 = (~(t310)); - t312 = *((unsigned int *)t305); - t313 = (~(t312)); - t314 = (t307 & t309); - t315 = (t311 & t313); - t316 = (~(t314)); - t317 = (~(t315)); - t318 = *((unsigned int *)t296); - *((unsigned int *)t296) = (t318 & t316); - t319 = *((unsigned int *)t296); - *((unsigned int *)t296) = (t319 & t317); - t320 = *((unsigned int *)t290); - *((unsigned int *)t290) = (t320 & t316); - t321 = *((unsigned int *)t290); - *((unsigned int *)t290) = (t321 & t317); - goto LAB99; - -LAB100: *((unsigned int *)t322) = 1; - goto LAB103; - -LAB102: t329 = (t322 + 4); - *((unsigned int *)t322) = 1; - *((unsigned int *)t329) = 1; - goto LAB103; - -LAB104: t334 = (t0 + 2808U); - t335 = *((char **)t334); - memset(t336, 0, 8); - t334 = (t335 + 4); - t337 = *((unsigned int *)t334); - t338 = (~(t337)); - t339 = *((unsigned int *)t335); - t340 = (t339 & t338); - t341 = (t340 & 1U); - if (t341 != 0) - goto LAB107; - -LAB108: if (*((unsigned int *)t334) != 0) - goto LAB109; - -LAB110: t344 = *((unsigned int *)t322); - t345 = *((unsigned int *)t336); - t346 = (t344 & t345); - *((unsigned int *)t343) = t346; - t347 = (t322 + 4); - t348 = (t336 + 4); - t349 = (t343 + 4); - t350 = *((unsigned int *)t347); - t351 = *((unsigned int *)t348); - t352 = (t350 | t351); - *((unsigned int *)t349) = t352; - t353 = *((unsigned int *)t349); - t354 = (t353 != 0); - if (t354 == 1) - goto LAB111; - -LAB112: -LAB113: goto LAB106; - -LAB107: *((unsigned int *)t336) = 1; - goto LAB110; - -LAB109: t342 = (t336 + 4); - *((unsigned int *)t336) = 1; - *((unsigned int *)t342) = 1; - goto LAB110; - -LAB111: t355 = *((unsigned int *)t343); - t356 = *((unsigned int *)t349); - *((unsigned int *)t343) = (t355 | t356); - t357 = (t322 + 4); - t358 = (t336 + 4); - t359 = *((unsigned int *)t322); - t360 = (~(t359)); - t361 = *((unsigned int *)t357); - t362 = (~(t361)); - t363 = *((unsigned int *)t336); - t364 = (~(t363)); - t365 = *((unsigned int *)t358); - t366 = (~(t365)); - t367 = (t360 & t362); - t368 = (t364 & t366); - t369 = (~(t367)); - t370 = (~(t368)); - t371 = *((unsigned int *)t349); - *((unsigned int *)t349) = (t371 & t369); - t372 = *((unsigned int *)t349); - *((unsigned int *)t349) = (t372 & t370); - t373 = *((unsigned int *)t343); - *((unsigned int *)t343) = (t373 & t369); - t374 = *((unsigned int *)t343); - *((unsigned int *)t343) = (t374 & t370); - goto LAB113; - -LAB114: *((unsigned int *)t375) = 1; - goto LAB117; - -LAB116: t382 = (t375 + 4); - *((unsigned int *)t375) = 1; - *((unsigned int *)t382) = 1; - goto LAB117; - -LAB118: t387 = (t0 + 5128); - t388 = (t387 + 56U); - t389 = *((char **)t388); - memset(t390, 0, 8); - t391 = (t389 + 4); - t392 = *((unsigned int *)t391); - t393 = (~(t392)); - t394 = *((unsigned int *)t389); - t395 = (t394 & t393); - t396 = (t395 & 1U); - if (t396 != 0) - goto LAB121; - -LAB122: if (*((unsigned int *)t391) != 0) - goto LAB123; - -LAB124: t399 = *((unsigned int *)t375); - t400 = *((unsigned int *)t390); - t401 = (t399 & t400); - *((unsigned int *)t398) = t401; - t402 = (t375 + 4); - t403 = (t390 + 4); - t404 = (t398 + 4); - t405 = *((unsigned int *)t402); - t406 = *((unsigned int *)t403); - t407 = (t405 | t406); - *((unsigned int *)t404) = t407; - t408 = *((unsigned int *)t404); - t409 = (t408 != 0); - if (t409 == 1) - goto LAB125; - -LAB126: -LAB127: goto LAB120; - -LAB121: *((unsigned int *)t390) = 1; - goto LAB124; - -LAB123: t397 = (t390 + 4); - *((unsigned int *)t390) = 1; - *((unsigned int *)t397) = 1; - goto LAB124; - -LAB125: t410 = *((unsigned int *)t398); - t411 = *((unsigned int *)t404); - *((unsigned int *)t398) = (t410 | t411); - t412 = (t375 + 4); - t413 = (t390 + 4); - t414 = *((unsigned int *)t375); - t415 = (~(t414)); - t416 = *((unsigned int *)t412); - t417 = (~(t416)); - t418 = *((unsigned int *)t390); - t419 = (~(t418)); - t420 = *((unsigned int *)t413); - t421 = (~(t420)); - t422 = (t415 & t417); - t423 = (t419 & t421); - t424 = (~(t422)); - t425 = (~(t423)); - t426 = *((unsigned int *)t404); - *((unsigned int *)t404) = (t426 & t424); - t427 = *((unsigned int *)t404); - *((unsigned int *)t404) = (t427 & t425); - t428 = *((unsigned int *)t398); - *((unsigned int *)t398) = (t428 & t424); - t429 = *((unsigned int *)t398); - *((unsigned int *)t398) = (t429 & t425); - goto LAB127; - -LAB128: *((unsigned int *)t430) = 1; - goto LAB131; - -LAB130: t437 = (t430 + 4); - *((unsigned int *)t430) = 1; - *((unsigned int *)t437) = 1; - goto LAB131; - -LAB132: t450 = *((unsigned int *)t438); - t451 = *((unsigned int *)t444); - *((unsigned int *)t438) = (t450 | t451); - t452 = (t236 + 4); - t453 = (t430 + 4); - t454 = *((unsigned int *)t452); - t455 = (~(t454)); - t456 = *((unsigned int *)t236); - t457 = (t456 & t455); - t458 = *((unsigned int *)t453); - t459 = (~(t458)); - t460 = *((unsigned int *)t430); - t461 = (t460 & t459); - t462 = (~(t457)); - t463 = (~(t461)); - t464 = *((unsigned int *)t444); - *((unsigned int *)t444) = (t464 & t462); - t465 = *((unsigned int *)t444); - *((unsigned int *)t444) = (t465 & t463); - goto LAB134; - -LAB135: xsi_set_current_line(45, ng0); - t472 = ((char*)((ng2))); - t473 = (t0 + 5768); - xsi_vlogvar_wait_assign_value(t473, t472, 0, 0, 1, 0LL); - goto LAB137; - -} - -static void Always_48_13(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - -LAB0: t1 = (t0 + 10232U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(48, ng0); - t2 = (t0 + 11752); - *((int *)t2) = 1; - t3 = (t0 + 10264); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(48, ng0); - -LAB5: xsi_set_current_line(48, ng0); - t4 = (t0 + 2008U); - t5 = *((char **)t4); - t4 = (t0 + 6088); - xsi_vlogvar_wait_assign_value(t4, t5, 0, 0, 1, 0LL); - goto LAB2; - -} - -static void Always_49_14(char *t0) -{ - char t8[8]; - char t32[8]; - char t45[8]; - char t52[8]; - char t84[8]; - char t96[8]; - char t115[8]; - char t123[8]; - char t155[8]; - char t167[8]; - char t188[8]; - char t196[8]; - char t228[8]; - char t241[8]; - char t260[8]; - char t274[8]; - char t281[8]; - char t313[8]; - char t321[8]; - char t349[8]; - char t364[8]; - char t377[8]; - char t384[8]; - char t416[8]; - char t428[8]; - char t447[8]; - char t455[8]; - char t487[8]; - char t495[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t9; - char *t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - char *t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - unsigned int t29; - char *t30; - char *t31; - unsigned int t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - char *t38; - char *t39; - unsigned int t40; - unsigned int t41; - unsigned int t42; - char *t43; - char *t44; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - char *t51; - unsigned int t53; - unsigned int t54; - unsigned int t55; - char *t56; - char *t57; - char *t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - char *t66; - char *t67; - unsigned int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - int t76; - int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - char *t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - char *t91; - char *t92; - unsigned int t93; - unsigned int t94; - unsigned int t95; - char *t97; - char *t98; - unsigned int t99; - unsigned int t100; - unsigned int t101; - unsigned int t102; - unsigned int t103; - char *t104; - char *t105; - char *t106; - unsigned int t107; - unsigned int t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - unsigned int t112; - unsigned int t113; - unsigned int t114; - char *t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - unsigned int t120; - unsigned int t121; - char *t122; - unsigned int t124; - unsigned int t125; - unsigned int t126; - char *t127; - char *t128; - char *t129; - unsigned int t130; - unsigned int t131; - unsigned int t132; - unsigned int t133; - unsigned int t134; - unsigned int t135; - unsigned int t136; - char *t137; - char *t138; - unsigned int t139; - unsigned int t140; - unsigned int t141; - unsigned int t142; - unsigned int t143; - unsigned int t144; - unsigned int t145; - unsigned int t146; - int t147; - int t148; - unsigned int t149; - unsigned int t150; - unsigned int t151; - unsigned int t152; - unsigned int t153; - unsigned int t154; - char *t156; - unsigned int t157; - unsigned int t158; - unsigned int t159; - unsigned int t160; - unsigned int t161; - char *t162; - char *t163; - unsigned int t164; - unsigned int t165; - unsigned int t166; - char *t168; - char *t169; - char *t170; - char *t171; - unsigned int t172; - unsigned int t173; - unsigned int t174; - unsigned int t175; - unsigned int t176; - char *t177; - char *t178; - char *t179; - unsigned int t180; - unsigned int t181; - unsigned int t182; - unsigned int t183; - unsigned int t184; - unsigned int t185; - unsigned int t186; - unsigned int t187; - char *t189; - unsigned int t190; - unsigned int t191; - unsigned int t192; - unsigned int t193; - unsigned int t194; - char *t195; - unsigned int t197; - unsigned int t198; - unsigned int t199; - char *t200; - char *t201; - char *t202; - unsigned int t203; - unsigned int t204; - unsigned int t205; - unsigned int t206; - unsigned int t207; - unsigned int t208; - unsigned int t209; - char *t210; - char *t211; - unsigned int t212; - unsigned int t213; - unsigned int t214; - unsigned int t215; - unsigned int t216; - unsigned int t217; - unsigned int t218; - unsigned int t219; - int t220; - int t221; - unsigned int t222; - unsigned int t223; - unsigned int t224; - unsigned int t225; - unsigned int t226; - unsigned int t227; - char *t229; - unsigned int t230; - unsigned int t231; - unsigned int t232; - unsigned int t233; - unsigned int t234; - char *t235; - char *t236; - unsigned int t237; - unsigned int t238; - unsigned int t239; - unsigned int t240; - char *t242; - char *t243; - unsigned int t244; - unsigned int t245; - unsigned int t246; - unsigned int t247; - unsigned int t248; - char *t249; - char *t250; - char *t251; - unsigned int t252; - unsigned int t253; - unsigned int t254; - unsigned int t255; - unsigned int t256; - unsigned int t257; - unsigned int t258; - unsigned int t259; - char *t261; - unsigned int t262; - unsigned int t263; - unsigned int t264; - unsigned int t265; - unsigned int t266; - char *t267; - char *t268; - unsigned int t269; - unsigned int t270; - unsigned int t271; - char *t272; - char *t273; - unsigned int t275; - unsigned int t276; - unsigned int t277; - unsigned int t278; - unsigned int t279; - char *t280; - unsigned int t282; - unsigned int t283; - unsigned int t284; - char *t285; - char *t286; - char *t287; - unsigned int t288; - unsigned int t289; - unsigned int t290; - unsigned int t291; - unsigned int t292; - unsigned int t293; - unsigned int t294; - char *t295; - char *t296; - unsigned int t297; - unsigned int t298; - unsigned int t299; - unsigned int t300; - unsigned int t301; - unsigned int t302; - unsigned int t303; - unsigned int t304; - int t305; - int t306; - unsigned int t307; - unsigned int t308; - unsigned int t309; - unsigned int t310; - unsigned int t311; - unsigned int t312; - char *t314; - unsigned int t315; - unsigned int t316; - unsigned int t317; - unsigned int t318; - unsigned int t319; - char *t320; - unsigned int t322; - unsigned int t323; - unsigned int t324; - char *t325; - char *t326; - char *t327; - unsigned int t328; - unsigned int t329; - unsigned int t330; - unsigned int t331; - unsigned int t332; - unsigned int t333; - unsigned int t334; - char *t335; - char *t336; - unsigned int t337; - unsigned int t338; - unsigned int t339; - int t340; - unsigned int t341; - unsigned int t342; - unsigned int t343; - int t344; - unsigned int t345; - unsigned int t346; - unsigned int t347; - unsigned int t348; - char *t350; - unsigned int t351; - unsigned int t352; - unsigned int t353; - unsigned int t354; - unsigned int t355; - char *t356; - char *t357; - unsigned int t358; - unsigned int t359; - unsigned int t360; - unsigned int t361; - char *t362; - char *t363; - unsigned int t365; - unsigned int t366; - unsigned int t367; - unsigned int t368; - unsigned int t369; - char *t370; - char *t371; - unsigned int t372; - unsigned int t373; - unsigned int t374; - char *t375; - char *t376; - unsigned int t378; - unsigned int t379; - unsigned int t380; - unsigned int t381; - unsigned int t382; - char *t383; - unsigned int t385; - unsigned int t386; - unsigned int t387; - char *t388; - char *t389; - char *t390; - unsigned int t391; - unsigned int t392; - unsigned int t393; - unsigned int t394; - unsigned int t395; - unsigned int t396; - unsigned int t397; - char *t398; - char *t399; - unsigned int t400; - unsigned int t401; - unsigned int t402; - unsigned int t403; - unsigned int t404; - unsigned int t405; - unsigned int t406; - unsigned int t407; - int t408; - int t409; - unsigned int t410; - unsigned int t411; - unsigned int t412; - unsigned int t413; - unsigned int t414; - unsigned int t415; - char *t417; - unsigned int t418; - unsigned int t419; - unsigned int t420; - unsigned int t421; - unsigned int t422; - char *t423; - char *t424; - unsigned int t425; - unsigned int t426; - unsigned int t427; - char *t429; - char *t430; - unsigned int t431; - unsigned int t432; - unsigned int t433; - unsigned int t434; - unsigned int t435; - char *t436; - char *t437; - char *t438; - unsigned int t439; - unsigned int t440; - unsigned int t441; - unsigned int t442; - unsigned int t443; - unsigned int t444; - unsigned int t445; - unsigned int t446; - char *t448; - unsigned int t449; - unsigned int t450; - unsigned int t451; - unsigned int t452; - unsigned int t453; - char *t454; - unsigned int t456; - unsigned int t457; - unsigned int t458; - char *t459; - char *t460; - char *t461; - unsigned int t462; - unsigned int t463; - unsigned int t464; - unsigned int t465; - unsigned int t466; - unsigned int t467; - unsigned int t468; - char *t469; - char *t470; - unsigned int t471; - unsigned int t472; - unsigned int t473; - unsigned int t474; - unsigned int t475; - unsigned int t476; - unsigned int t477; - unsigned int t478; - int t479; - int t480; - unsigned int t481; - unsigned int t482; - unsigned int t483; - unsigned int t484; - unsigned int t485; - unsigned int t486; - char *t488; - unsigned int t489; - unsigned int t490; - unsigned int t491; - unsigned int t492; - unsigned int t493; - char *t494; - unsigned int t496; - unsigned int t497; - unsigned int t498; - char *t499; - char *t500; - char *t501; - unsigned int t502; - unsigned int t503; - unsigned int t504; - unsigned int t505; - unsigned int t506; - unsigned int t507; - unsigned int t508; - char *t509; - char *t510; - unsigned int t511; - unsigned int t512; - unsigned int t513; - int t514; - unsigned int t515; - unsigned int t516; - unsigned int t517; - int t518; - unsigned int t519; - unsigned int t520; - unsigned int t521; - unsigned int t522; - char *t523; - unsigned int t524; - unsigned int t525; - unsigned int t526; - unsigned int t527; - unsigned int t528; - char *t529; - char *t530; - -LAB0: t1 = (t0 + 10480U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(49, ng0); - t2 = (t0 + 11768); - *((int *)t2) = 1; - t3 = (t0 + 10512); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(49, ng0); - -LAB5: xsi_set_current_line(50, ng0); - t4 = (t0 + 4968); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = ((char*)((ng1))); - memset(t8, 0, 8); - t9 = (t6 + 4); - t10 = (t7 + 4); - t11 = *((unsigned int *)t6); - t12 = *((unsigned int *)t7); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t9); - t15 = *((unsigned int *)t10); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t9); - t19 = *((unsigned int *)t10); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB9; - -LAB6: if (t20 != 0) - goto LAB8; - -LAB7: *((unsigned int *)t8) = 1; - -LAB9: t24 = (t8 + 4); - t25 = *((unsigned int *)t24); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB10; - -LAB11: xsi_set_current_line(79, ng0); - t2 = (t0 + 4968); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng2))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB228; - -LAB225: if (t20 != 0) - goto LAB227; - -LAB226: *((unsigned int *)t8) = 1; - -LAB228: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB229; - -LAB230: xsi_set_current_line(85, ng0); - t2 = (t0 + 4968); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng4))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB236; - -LAB233: if (t20 != 0) - goto LAB235; - -LAB234: *((unsigned int *)t8) = 1; - -LAB236: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB237; - -LAB238: xsi_set_current_line(91, ng0); - t2 = (t0 + 4968); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng6))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB244; - -LAB241: if (t20 != 0) - goto LAB243; - -LAB242: *((unsigned int *)t8) = 1; - -LAB244: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB245; - -LAB246: xsi_set_current_line(97, ng0); - t2 = (t0 + 4968); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng7))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB252; - -LAB249: if (t20 != 0) - goto LAB251; - -LAB250: *((unsigned int *)t8) = 1; - -LAB252: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB253; - -LAB254: xsi_set_current_line(103, ng0); - t2 = (t0 + 4968); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng5))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB260; - -LAB257: if (t20 != 0) - goto LAB259; - -LAB258: *((unsigned int *)t8) = 1; - -LAB260: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB261; - -LAB262: xsi_set_current_line(109, ng0); - t2 = (t0 + 4968); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng8))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB268; - -LAB265: if (t20 != 0) - goto LAB267; - -LAB266: *((unsigned int *)t8) = 1; - -LAB268: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB269; - -LAB270: xsi_set_current_line(115, ng0); - t2 = (t0 + 4968); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng3))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB276; - -LAB273: if (t20 != 0) - goto LAB275; - -LAB274: *((unsigned int *)t8) = 1; - -LAB276: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB277; - -LAB278: -LAB279: -LAB271: -LAB263: -LAB255: -LAB247: -LAB239: -LAB231: -LAB12: goto LAB2; - -LAB8: t23 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t23) = 1; - goto LAB9; - -LAB10: xsi_set_current_line(50, ng0); - -LAB13: xsi_set_current_line(51, ng0); - t30 = (t0 + 2008U); - t31 = *((char **)t30); - memset(t32, 0, 8); - t30 = (t31 + 4); - t33 = *((unsigned int *)t30); - t34 = (~(t33)); - t35 = *((unsigned int *)t31); - t36 = (t35 & t34); - t37 = (t36 & 1U); - if (t37 != 0) - goto LAB14; - -LAB15: if (*((unsigned int *)t30) != 0) - goto LAB16; - -LAB17: t39 = (t32 + 4); - t40 = *((unsigned int *)t32); - t41 = *((unsigned int *)t39); - t42 = (t40 || t41); - if (t42 > 0) - goto LAB18; - -LAB19: memcpy(t52, t32, 8); - -LAB20: memset(t84, 0, 8); - t85 = (t52 + 4); - t86 = *((unsigned int *)t85); - t87 = (~(t86)); - t88 = *((unsigned int *)t52); - t89 = (t88 & t87); - t90 = (t89 & 1U); - if (t90 != 0) - goto LAB28; - -LAB29: if (*((unsigned int *)t85) != 0) - goto LAB30; - -LAB31: t92 = (t84 + 4); - t93 = *((unsigned int *)t84); - t94 = *((unsigned int *)t92); - t95 = (t93 || t94); - if (t95 > 0) - goto LAB32; - -LAB33: memcpy(t123, t84, 8); - -LAB34: memset(t155, 0, 8); - t156 = (t123 + 4); - t157 = *((unsigned int *)t156); - t158 = (~(t157)); - t159 = *((unsigned int *)t123); - t160 = (t159 & t158); - t161 = (t160 & 1U); - if (t161 != 0) - goto LAB48; - -LAB49: if (*((unsigned int *)t156) != 0) - goto LAB50; - -LAB51: t163 = (t155 + 4); - t164 = *((unsigned int *)t155); - t165 = *((unsigned int *)t163); - t166 = (t164 || t165); - if (t166 > 0) - goto LAB52; - -LAB53: memcpy(t196, t155, 8); - -LAB54: memset(t228, 0, 8); - t229 = (t196 + 4); - t230 = *((unsigned int *)t229); - t231 = (~(t230)); - t232 = *((unsigned int *)t196); - t233 = (t232 & t231); - t234 = (t233 & 1U); - if (t234 != 0) - goto LAB68; - -LAB69: if (*((unsigned int *)t229) != 0) - goto LAB70; - -LAB71: t236 = (t228 + 4); - t237 = *((unsigned int *)t228); - t238 = (!(t237)); - t239 = *((unsigned int *)t236); - t240 = (t238 || t239); - if (t240 > 0) - goto LAB72; - -LAB73: memcpy(t321, t228, 8); - -LAB74: memset(t349, 0, 8); - t350 = (t321 + 4); - t351 = *((unsigned int *)t350); - t352 = (~(t351)); - t353 = *((unsigned int *)t321); - t354 = (t353 & t352); - t355 = (t354 & 1U); - if (t355 != 0) - goto LAB102; - -LAB103: if (*((unsigned int *)t350) != 0) - goto LAB104; - -LAB105: t357 = (t349 + 4); - t358 = *((unsigned int *)t349); - t359 = (!(t358)); - t360 = *((unsigned int *)t357); - t361 = (t359 || t360); - if (t361 > 0) - goto LAB106; - -LAB107: memcpy(t495, t349, 8); - -LAB108: t523 = (t495 + 4); - t524 = *((unsigned int *)t523); - t525 = (~(t524)); - t526 = *((unsigned int *)t495); - t527 = (t526 & t525); - t528 = (t527 != 0); - if (t528 > 0) - goto LAB150; - -LAB151: xsi_set_current_line(58, ng0); - t2 = (t0 + 2008U); - t3 = *((char **)t2); - memset(t8, 0, 8); - t2 = (t3 + 4); - t11 = *((unsigned int *)t2); - t12 = (~(t11)); - t13 = *((unsigned int *)t3); - t14 = (t13 & t12); - t15 = (t14 & 1U); - if (t15 != 0) - goto LAB154; - -LAB155: if (*((unsigned int *)t2) != 0) - goto LAB156; - -LAB157: t5 = (t8 + 4); - t16 = *((unsigned int *)t8); - t17 = *((unsigned int *)t5); - t18 = (t16 || t17); - if (t18 > 0) - goto LAB158; - -LAB159: memcpy(t45, t8, 8); - -LAB160: memset(t52, 0, 8); - t38 = (t45 + 4); - t63 = *((unsigned int *)t38); - t64 = (~(t63)); - t65 = *((unsigned int *)t45); - t68 = (t65 & t64); - t69 = (t68 & 1U); - if (t69 != 0) - goto LAB168; - -LAB169: if (*((unsigned int *)t38) != 0) - goto LAB170; - -LAB171: t43 = (t52 + 4); - t70 = *((unsigned int *)t52); - t71 = *((unsigned int *)t43); - t72 = (t70 || t71); - if (t72 > 0) - goto LAB172; - -LAB173: memcpy(t115, t52, 8); - -LAB174: t106 = (t115 + 4); - t134 = *((unsigned int *)t106); - t135 = (~(t134)); - t136 = *((unsigned int *)t115); - t139 = (t136 & t135); - t140 = (t139 != 0); - if (t140 > 0) - goto LAB188; - -LAB189: xsi_set_current_line(64, ng0); - t2 = (t0 + 2008U); - t3 = *((char **)t2); - memset(t8, 0, 8); - t2 = (t3 + 4); - t11 = *((unsigned int *)t2); - t12 = (~(t11)); - t13 = *((unsigned int *)t3); - t14 = (t13 & t12); - t15 = (t14 & 1U); - if (t15 != 0) - goto LAB192; - -LAB193: if (*((unsigned int *)t2) != 0) - goto LAB194; - -LAB195: t5 = (t8 + 4); - t16 = *((unsigned int *)t8); - t17 = *((unsigned int *)t5); - t18 = (t16 || t17); - if (t18 > 0) - goto LAB196; - -LAB197: memcpy(t45, t8, 8); - -LAB198: memset(t52, 0, 8); - t38 = (t45 + 4); - t63 = *((unsigned int *)t38); - t64 = (~(t63)); - t65 = *((unsigned int *)t45); - t68 = (t65 & t64); - t69 = (t68 & 1U); - if (t69 != 0) - goto LAB206; - -LAB207: if (*((unsigned int *)t38) != 0) - goto LAB208; - -LAB209: t43 = (t52 + 4); - t70 = *((unsigned int *)t52); - t71 = *((unsigned int *)t43); - t72 = (t70 || t71); - if (t72 > 0) - goto LAB210; - -LAB211: memcpy(t96, t52, 8); - -LAB212: t91 = (t96 + 4); - t114 = *((unsigned int *)t91); - t117 = (~(t114)); - t118 = *((unsigned int *)t96); - t119 = (t118 & t117); - t120 = (t119 != 0); - if (t120 > 0) - goto LAB220; - -LAB221: xsi_set_current_line(71, ng0); - -LAB224: xsi_set_current_line(73, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 3, 0LL); - xsi_set_current_line(74, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(75, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(76, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - -LAB222: -LAB190: -LAB152: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5928); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB12; - -LAB14: *((unsigned int *)t32) = 1; - goto LAB17; - -LAB16: t38 = (t32 + 4); - *((unsigned int *)t32) = 1; - *((unsigned int *)t38) = 1; - goto LAB17; - -LAB18: t43 = (t0 + 2648U); - t44 = *((char **)t43); - memset(t45, 0, 8); - t43 = (t44 + 4); - t46 = *((unsigned int *)t43); - t47 = (~(t46)); - t48 = *((unsigned int *)t44); - t49 = (t48 & t47); - t50 = (t49 & 1U); - if (t50 != 0) - goto LAB21; - -LAB22: if (*((unsigned int *)t43) != 0) - goto LAB23; - -LAB24: t53 = *((unsigned int *)t32); - t54 = *((unsigned int *)t45); - t55 = (t53 & t54); - *((unsigned int *)t52) = t55; - t56 = (t32 + 4); - t57 = (t45 + 4); - t58 = (t52 + 4); - t59 = *((unsigned int *)t56); - t60 = *((unsigned int *)t57); - t61 = (t59 | t60); - *((unsigned int *)t58) = t61; - t62 = *((unsigned int *)t58); - t63 = (t62 != 0); - if (t63 == 1) - goto LAB25; - -LAB26: -LAB27: goto LAB20; - -LAB21: *((unsigned int *)t45) = 1; - goto LAB24; - -LAB23: t51 = (t45 + 4); - *((unsigned int *)t45) = 1; - *((unsigned int *)t51) = 1; - goto LAB24; - -LAB25: t64 = *((unsigned int *)t52); - t65 = *((unsigned int *)t58); - *((unsigned int *)t52) = (t64 | t65); - t66 = (t32 + 4); - t67 = (t45 + 4); - t68 = *((unsigned int *)t32); - t69 = (~(t68)); - t70 = *((unsigned int *)t66); - t71 = (~(t70)); - t72 = *((unsigned int *)t45); - t73 = (~(t72)); - t74 = *((unsigned int *)t67); - t75 = (~(t74)); - t76 = (t69 & t71); - t77 = (t73 & t75); - t78 = (~(t76)); - t79 = (~(t77)); - t80 = *((unsigned int *)t58); - *((unsigned int *)t58) = (t80 & t78); - t81 = *((unsigned int *)t58); - *((unsigned int *)t58) = (t81 & t79); - t82 = *((unsigned int *)t52); - *((unsigned int *)t52) = (t82 & t78); - t83 = *((unsigned int *)t52); - *((unsigned int *)t52) = (t83 & t79); - goto LAB27; - -LAB28: *((unsigned int *)t84) = 1; - goto LAB31; - -LAB30: t91 = (t84 + 4); - *((unsigned int *)t84) = 1; - *((unsigned int *)t91) = 1; - goto LAB31; - -LAB32: t97 = (t0 + 2168U); - t98 = *((char **)t97); - memset(t96, 0, 8); - t97 = (t98 + 4); - t99 = *((unsigned int *)t97); - t100 = (~(t99)); - t101 = *((unsigned int *)t98); - t102 = (t101 & t100); - t103 = (t102 & 1U); - if (t103 != 0) - goto LAB38; - -LAB36: if (*((unsigned int *)t97) == 0) - goto LAB35; - -LAB37: t104 = (t96 + 4); - *((unsigned int *)t96) = 1; - *((unsigned int *)t104) = 1; - -LAB38: t105 = (t96 + 4); - t106 = (t98 + 4); - t107 = *((unsigned int *)t98); - t108 = (~(t107)); - *((unsigned int *)t96) = t108; - *((unsigned int *)t105) = 0; - if (*((unsigned int *)t106) != 0) - goto LAB40; - -LAB39: t113 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t113 & 1U); - t114 = *((unsigned int *)t105); - *((unsigned int *)t105) = (t114 & 1U); - memset(t115, 0, 8); - t116 = (t96 + 4); - t117 = *((unsigned int *)t116); - t118 = (~(t117)); - t119 = *((unsigned int *)t96); - t120 = (t119 & t118); - t121 = (t120 & 1U); - if (t121 != 0) - goto LAB41; - -LAB42: if (*((unsigned int *)t116) != 0) - goto LAB43; - -LAB44: t124 = *((unsigned int *)t84); - t125 = *((unsigned int *)t115); - t126 = (t124 & t125); - *((unsigned int *)t123) = t126; - t127 = (t84 + 4); - t128 = (t115 + 4); - t129 = (t123 + 4); - t130 = *((unsigned int *)t127); - t131 = *((unsigned int *)t128); - t132 = (t130 | t131); - *((unsigned int *)t129) = t132; - t133 = *((unsigned int *)t129); - t134 = (t133 != 0); - if (t134 == 1) - goto LAB45; - -LAB46: -LAB47: goto LAB34; - -LAB35: *((unsigned int *)t96) = 1; - goto LAB38; - -LAB40: t109 = *((unsigned int *)t96); - t110 = *((unsigned int *)t106); - *((unsigned int *)t96) = (t109 | t110); - t111 = *((unsigned int *)t105); - t112 = *((unsigned int *)t106); - *((unsigned int *)t105) = (t111 | t112); - goto LAB39; - -LAB41: *((unsigned int *)t115) = 1; - goto LAB44; - -LAB43: t122 = (t115 + 4); - *((unsigned int *)t115) = 1; - *((unsigned int *)t122) = 1; - goto LAB44; - -LAB45: t135 = *((unsigned int *)t123); - t136 = *((unsigned int *)t129); - *((unsigned int *)t123) = (t135 | t136); - t137 = (t84 + 4); - t138 = (t115 + 4); - t139 = *((unsigned int *)t84); - t140 = (~(t139)); - t141 = *((unsigned int *)t137); - t142 = (~(t141)); - t143 = *((unsigned int *)t115); - t144 = (~(t143)); - t145 = *((unsigned int *)t138); - t146 = (~(t145)); - t147 = (t140 & t142); - t148 = (t144 & t146); - t149 = (~(t147)); - t150 = (~(t148)); - t151 = *((unsigned int *)t129); - *((unsigned int *)t129) = (t151 & t149); - t152 = *((unsigned int *)t129); - *((unsigned int *)t129) = (t152 & t150); - t153 = *((unsigned int *)t123); - *((unsigned int *)t123) = (t153 & t149); - t154 = *((unsigned int *)t123); - *((unsigned int *)t123) = (t154 & t150); - goto LAB47; - -LAB48: *((unsigned int *)t155) = 1; - goto LAB51; - -LAB50: t162 = (t155 + 4); - *((unsigned int *)t155) = 1; - *((unsigned int *)t162) = 1; - goto LAB51; - -LAB52: t168 = (t0 + 6088); - t169 = (t168 + 56U); - t170 = *((char **)t169); - memset(t167, 0, 8); - t171 = (t170 + 4); - t172 = *((unsigned int *)t171); - t173 = (~(t172)); - t174 = *((unsigned int *)t170); - t175 = (t174 & t173); - t176 = (t175 & 1U); - if (t176 != 0) - goto LAB58; - -LAB56: if (*((unsigned int *)t171) == 0) - goto LAB55; - -LAB57: t177 = (t167 + 4); - *((unsigned int *)t167) = 1; - *((unsigned int *)t177) = 1; - -LAB58: t178 = (t167 + 4); - t179 = (t170 + 4); - t180 = *((unsigned int *)t170); - t181 = (~(t180)); - *((unsigned int *)t167) = t181; - *((unsigned int *)t178) = 0; - if (*((unsigned int *)t179) != 0) - goto LAB60; - -LAB59: t186 = *((unsigned int *)t167); - *((unsigned int *)t167) = (t186 & 1U); - t187 = *((unsigned int *)t178); - *((unsigned int *)t178) = (t187 & 1U); - memset(t188, 0, 8); - t189 = (t167 + 4); - t190 = *((unsigned int *)t189); - t191 = (~(t190)); - t192 = *((unsigned int *)t167); - t193 = (t192 & t191); - t194 = (t193 & 1U); - if (t194 != 0) - goto LAB61; - -LAB62: if (*((unsigned int *)t189) != 0) - goto LAB63; - -LAB64: t197 = *((unsigned int *)t155); - t198 = *((unsigned int *)t188); - t199 = (t197 & t198); - *((unsigned int *)t196) = t199; - t200 = (t155 + 4); - t201 = (t188 + 4); - t202 = (t196 + 4); - t203 = *((unsigned int *)t200); - t204 = *((unsigned int *)t201); - t205 = (t203 | t204); - *((unsigned int *)t202) = t205; - t206 = *((unsigned int *)t202); - t207 = (t206 != 0); - if (t207 == 1) - goto LAB65; - -LAB66: -LAB67: goto LAB54; - -LAB55: *((unsigned int *)t167) = 1; - goto LAB58; - -LAB60: t182 = *((unsigned int *)t167); - t183 = *((unsigned int *)t179); - *((unsigned int *)t167) = (t182 | t183); - t184 = *((unsigned int *)t178); - t185 = *((unsigned int *)t179); - *((unsigned int *)t178) = (t184 | t185); - goto LAB59; - -LAB61: *((unsigned int *)t188) = 1; - goto LAB64; - -LAB63: t195 = (t188 + 4); - *((unsigned int *)t188) = 1; - *((unsigned int *)t195) = 1; - goto LAB64; - -LAB65: t208 = *((unsigned int *)t196); - t209 = *((unsigned int *)t202); - *((unsigned int *)t196) = (t208 | t209); - t210 = (t155 + 4); - t211 = (t188 + 4); - t212 = *((unsigned int *)t155); - t213 = (~(t212)); - t214 = *((unsigned int *)t210); - t215 = (~(t214)); - t216 = *((unsigned int *)t188); - t217 = (~(t216)); - t218 = *((unsigned int *)t211); - t219 = (~(t218)); - t220 = (t213 & t215); - t221 = (t217 & t219); - t222 = (~(t220)); - t223 = (~(t221)); - t224 = *((unsigned int *)t202); - *((unsigned int *)t202) = (t224 & t222); - t225 = *((unsigned int *)t202); - *((unsigned int *)t202) = (t225 & t223); - t226 = *((unsigned int *)t196); - *((unsigned int *)t196) = (t226 & t222); - t227 = *((unsigned int *)t196); - *((unsigned int *)t196) = (t227 & t223); - goto LAB67; - -LAB68: *((unsigned int *)t228) = 1; - goto LAB71; - -LAB70: t235 = (t228 + 4); - *((unsigned int *)t228) = 1; - *((unsigned int *)t235) = 1; - goto LAB71; - -LAB72: t242 = (t0 + 2008U); - t243 = *((char **)t242); - memset(t241, 0, 8); - t242 = (t243 + 4); - t244 = *((unsigned int *)t242); - t245 = (~(t244)); - t246 = *((unsigned int *)t243); - t247 = (t246 & t245); - t248 = (t247 & 1U); - if (t248 != 0) - goto LAB78; - -LAB76: if (*((unsigned int *)t242) == 0) - goto LAB75; - -LAB77: t249 = (t241 + 4); - *((unsigned int *)t241) = 1; - *((unsigned int *)t249) = 1; - -LAB78: t250 = (t241 + 4); - t251 = (t243 + 4); - t252 = *((unsigned int *)t243); - t253 = (~(t252)); - *((unsigned int *)t241) = t253; - *((unsigned int *)t250) = 0; - if (*((unsigned int *)t251) != 0) - goto LAB80; - -LAB79: t258 = *((unsigned int *)t241); - *((unsigned int *)t241) = (t258 & 1U); - t259 = *((unsigned int *)t250); - *((unsigned int *)t250) = (t259 & 1U); - memset(t260, 0, 8); - t261 = (t241 + 4); - t262 = *((unsigned int *)t261); - t263 = (~(t262)); - t264 = *((unsigned int *)t241); - t265 = (t264 & t263); - t266 = (t265 & 1U); - if (t266 != 0) - goto LAB81; - -LAB82: if (*((unsigned int *)t261) != 0) - goto LAB83; - -LAB84: t268 = (t260 + 4); - t269 = *((unsigned int *)t260); - t270 = *((unsigned int *)t268); - t271 = (t269 || t270); - if (t271 > 0) - goto LAB85; - -LAB86: memcpy(t281, t260, 8); - -LAB87: memset(t313, 0, 8); - t314 = (t281 + 4); - t315 = *((unsigned int *)t314); - t316 = (~(t315)); - t317 = *((unsigned int *)t281); - t318 = (t317 & t316); - t319 = (t318 & 1U); - if (t319 != 0) - goto LAB95; - -LAB96: if (*((unsigned int *)t314) != 0) - goto LAB97; - -LAB98: t322 = *((unsigned int *)t228); - t323 = *((unsigned int *)t313); - t324 = (t322 | t323); - *((unsigned int *)t321) = t324; - t325 = (t228 + 4); - t326 = (t313 + 4); - t327 = (t321 + 4); - t328 = *((unsigned int *)t325); - t329 = *((unsigned int *)t326); - t330 = (t328 | t329); - *((unsigned int *)t327) = t330; - t331 = *((unsigned int *)t327); - t332 = (t331 != 0); - if (t332 == 1) - goto LAB99; - -LAB100: -LAB101: goto LAB74; - -LAB75: *((unsigned int *)t241) = 1; - goto LAB78; - -LAB80: t254 = *((unsigned int *)t241); - t255 = *((unsigned int *)t251); - *((unsigned int *)t241) = (t254 | t255); - t256 = *((unsigned int *)t250); - t257 = *((unsigned int *)t251); - *((unsigned int *)t250) = (t256 | t257); - goto LAB79; - -LAB81: *((unsigned int *)t260) = 1; - goto LAB84; - -LAB83: t267 = (t260 + 4); - *((unsigned int *)t260) = 1; - *((unsigned int *)t267) = 1; - goto LAB84; - -LAB85: t272 = (t0 + 2808U); - t273 = *((char **)t272); - memset(t274, 0, 8); - t272 = (t273 + 4); - t275 = *((unsigned int *)t272); - t276 = (~(t275)); - t277 = *((unsigned int *)t273); - t278 = (t277 & t276); - t279 = (t278 & 1U); - if (t279 != 0) - goto LAB88; - -LAB89: if (*((unsigned int *)t272) != 0) - goto LAB90; - -LAB91: t282 = *((unsigned int *)t260); - t283 = *((unsigned int *)t274); - t284 = (t282 & t283); - *((unsigned int *)t281) = t284; - t285 = (t260 + 4); - t286 = (t274 + 4); - t287 = (t281 + 4); - t288 = *((unsigned int *)t285); - t289 = *((unsigned int *)t286); - t290 = (t288 | t289); - *((unsigned int *)t287) = t290; - t291 = *((unsigned int *)t287); - t292 = (t291 != 0); - if (t292 == 1) - goto LAB92; - -LAB93: -LAB94: goto LAB87; - -LAB88: *((unsigned int *)t274) = 1; - goto LAB91; - -LAB90: t280 = (t274 + 4); - *((unsigned int *)t274) = 1; - *((unsigned int *)t280) = 1; - goto LAB91; - -LAB92: t293 = *((unsigned int *)t281); - t294 = *((unsigned int *)t287); - *((unsigned int *)t281) = (t293 | t294); - t295 = (t260 + 4); - t296 = (t274 + 4); - t297 = *((unsigned int *)t260); - t298 = (~(t297)); - t299 = *((unsigned int *)t295); - t300 = (~(t299)); - t301 = *((unsigned int *)t274); - t302 = (~(t301)); - t303 = *((unsigned int *)t296); - t304 = (~(t303)); - t305 = (t298 & t300); - t306 = (t302 & t304); - t307 = (~(t305)); - t308 = (~(t306)); - t309 = *((unsigned int *)t287); - *((unsigned int *)t287) = (t309 & t307); - t310 = *((unsigned int *)t287); - *((unsigned int *)t287) = (t310 & t308); - t311 = *((unsigned int *)t281); - *((unsigned int *)t281) = (t311 & t307); - t312 = *((unsigned int *)t281); - *((unsigned int *)t281) = (t312 & t308); - goto LAB94; - -LAB95: *((unsigned int *)t313) = 1; - goto LAB98; - -LAB97: t320 = (t313 + 4); - *((unsigned int *)t313) = 1; - *((unsigned int *)t320) = 1; - goto LAB98; - -LAB99: t333 = *((unsigned int *)t321); - t334 = *((unsigned int *)t327); - *((unsigned int *)t321) = (t333 | t334); - t335 = (t228 + 4); - t336 = (t313 + 4); - t337 = *((unsigned int *)t335); - t338 = (~(t337)); - t339 = *((unsigned int *)t228); - t340 = (t339 & t338); - t341 = *((unsigned int *)t336); - t342 = (~(t341)); - t343 = *((unsigned int *)t313); - t344 = (t343 & t342); - t345 = (~(t340)); - t346 = (~(t344)); - t347 = *((unsigned int *)t327); - *((unsigned int *)t327) = (t347 & t345); - t348 = *((unsigned int *)t327); - *((unsigned int *)t327) = (t348 & t346); - goto LAB101; - -LAB102: *((unsigned int *)t349) = 1; - goto LAB105; - -LAB104: t356 = (t349 + 4); - *((unsigned int *)t349) = 1; - *((unsigned int *)t356) = 1; - goto LAB105; - -LAB106: t362 = (t0 + 2008U); - t363 = *((char **)t362); - memset(t364, 0, 8); - t362 = (t363 + 4); - t365 = *((unsigned int *)t362); - t366 = (~(t365)); - t367 = *((unsigned int *)t363); - t368 = (t367 & t366); - t369 = (t368 & 1U); - if (t369 != 0) - goto LAB109; - -LAB110: if (*((unsigned int *)t362) != 0) - goto LAB111; - -LAB112: t371 = (t364 + 4); - t372 = *((unsigned int *)t364); - t373 = *((unsigned int *)t371); - t374 = (t372 || t373); - if (t374 > 0) - goto LAB113; - -LAB114: memcpy(t384, t364, 8); - -LAB115: memset(t416, 0, 8); - t417 = (t384 + 4); - t418 = *((unsigned int *)t417); - t419 = (~(t418)); - t420 = *((unsigned int *)t384); - t421 = (t420 & t419); - t422 = (t421 & 1U); - if (t422 != 0) - goto LAB123; - -LAB124: if (*((unsigned int *)t417) != 0) - goto LAB125; - -LAB126: t424 = (t416 + 4); - t425 = *((unsigned int *)t416); - t426 = *((unsigned int *)t424); - t427 = (t425 || t426); - if (t427 > 0) - goto LAB127; - -LAB128: memcpy(t455, t416, 8); - -LAB129: memset(t487, 0, 8); - t488 = (t455 + 4); - t489 = *((unsigned int *)t488); - t490 = (~(t489)); - t491 = *((unsigned int *)t455); - t492 = (t491 & t490); - t493 = (t492 & 1U); - if (t493 != 0) - goto LAB143; - -LAB144: if (*((unsigned int *)t488) != 0) - goto LAB145; - -LAB146: t496 = *((unsigned int *)t349); - t497 = *((unsigned int *)t487); - t498 = (t496 | t497); - *((unsigned int *)t495) = t498; - t499 = (t349 + 4); - t500 = (t487 + 4); - t501 = (t495 + 4); - t502 = *((unsigned int *)t499); - t503 = *((unsigned int *)t500); - t504 = (t502 | t503); - *((unsigned int *)t501) = t504; - t505 = *((unsigned int *)t501); - t506 = (t505 != 0); - if (t506 == 1) - goto LAB147; - -LAB148: -LAB149: goto LAB108; - -LAB109: *((unsigned int *)t364) = 1; - goto LAB112; - -LAB111: t370 = (t364 + 4); - *((unsigned int *)t364) = 1; - *((unsigned int *)t370) = 1; - goto LAB112; - -LAB113: t375 = (t0 + 2808U); - t376 = *((char **)t375); - memset(t377, 0, 8); - t375 = (t376 + 4); - t378 = *((unsigned int *)t375); - t379 = (~(t378)); - t380 = *((unsigned int *)t376); - t381 = (t380 & t379); - t382 = (t381 & 1U); - if (t382 != 0) - goto LAB116; - -LAB117: if (*((unsigned int *)t375) != 0) - goto LAB118; - -LAB119: t385 = *((unsigned int *)t364); - t386 = *((unsigned int *)t377); - t387 = (t385 & t386); - *((unsigned int *)t384) = t387; - t388 = (t364 + 4); - t389 = (t377 + 4); - t390 = (t384 + 4); - t391 = *((unsigned int *)t388); - t392 = *((unsigned int *)t389); - t393 = (t391 | t392); - *((unsigned int *)t390) = t393; - t394 = *((unsigned int *)t390); - t395 = (t394 != 0); - if (t395 == 1) - goto LAB120; - -LAB121: -LAB122: goto LAB115; - -LAB116: *((unsigned int *)t377) = 1; - goto LAB119; - -LAB118: t383 = (t377 + 4); - *((unsigned int *)t377) = 1; - *((unsigned int *)t383) = 1; - goto LAB119; - -LAB120: t396 = *((unsigned int *)t384); - t397 = *((unsigned int *)t390); - *((unsigned int *)t384) = (t396 | t397); - t398 = (t364 + 4); - t399 = (t377 + 4); - t400 = *((unsigned int *)t364); - t401 = (~(t400)); - t402 = *((unsigned int *)t398); - t403 = (~(t402)); - t404 = *((unsigned int *)t377); - t405 = (~(t404)); - t406 = *((unsigned int *)t399); - t407 = (~(t406)); - t408 = (t401 & t403); - t409 = (t405 & t407); - t410 = (~(t408)); - t411 = (~(t409)); - t412 = *((unsigned int *)t390); - *((unsigned int *)t390) = (t412 & t410); - t413 = *((unsigned int *)t390); - *((unsigned int *)t390) = (t413 & t411); - t414 = *((unsigned int *)t384); - *((unsigned int *)t384) = (t414 & t410); - t415 = *((unsigned int *)t384); - *((unsigned int *)t384) = (t415 & t411); - goto LAB122; - -LAB123: *((unsigned int *)t416) = 1; - goto LAB126; - -LAB125: t423 = (t416 + 4); - *((unsigned int *)t416) = 1; - *((unsigned int *)t423) = 1; - goto LAB126; - -LAB127: t429 = (t0 + 2168U); - t430 = *((char **)t429); - memset(t428, 0, 8); - t429 = (t430 + 4); - t431 = *((unsigned int *)t429); - t432 = (~(t431)); - t433 = *((unsigned int *)t430); - t434 = (t433 & t432); - t435 = (t434 & 1U); - if (t435 != 0) - goto LAB133; - -LAB131: if (*((unsigned int *)t429) == 0) - goto LAB130; - -LAB132: t436 = (t428 + 4); - *((unsigned int *)t428) = 1; - *((unsigned int *)t436) = 1; - -LAB133: t437 = (t428 + 4); - t438 = (t430 + 4); - t439 = *((unsigned int *)t430); - t440 = (~(t439)); - *((unsigned int *)t428) = t440; - *((unsigned int *)t437) = 0; - if (*((unsigned int *)t438) != 0) - goto LAB135; - -LAB134: t445 = *((unsigned int *)t428); - *((unsigned int *)t428) = (t445 & 1U); - t446 = *((unsigned int *)t437); - *((unsigned int *)t437) = (t446 & 1U); - memset(t447, 0, 8); - t448 = (t428 + 4); - t449 = *((unsigned int *)t448); - t450 = (~(t449)); - t451 = *((unsigned int *)t428); - t452 = (t451 & t450); - t453 = (t452 & 1U); - if (t453 != 0) - goto LAB136; - -LAB137: if (*((unsigned int *)t448) != 0) - goto LAB138; - -LAB139: t456 = *((unsigned int *)t416); - t457 = *((unsigned int *)t447); - t458 = (t456 & t457); - *((unsigned int *)t455) = t458; - t459 = (t416 + 4); - t460 = (t447 + 4); - t461 = (t455 + 4); - t462 = *((unsigned int *)t459); - t463 = *((unsigned int *)t460); - t464 = (t462 | t463); - *((unsigned int *)t461) = t464; - t465 = *((unsigned int *)t461); - t466 = (t465 != 0); - if (t466 == 1) - goto LAB140; - -LAB141: -LAB142: goto LAB129; - -LAB130: *((unsigned int *)t428) = 1; - goto LAB133; - -LAB135: t441 = *((unsigned int *)t428); - t442 = *((unsigned int *)t438); - *((unsigned int *)t428) = (t441 | t442); - t443 = *((unsigned int *)t437); - t444 = *((unsigned int *)t438); - *((unsigned int *)t437) = (t443 | t444); - goto LAB134; - -LAB136: *((unsigned int *)t447) = 1; - goto LAB139; - -LAB138: t454 = (t447 + 4); - *((unsigned int *)t447) = 1; - *((unsigned int *)t454) = 1; - goto LAB139; - -LAB140: t467 = *((unsigned int *)t455); - t468 = *((unsigned int *)t461); - *((unsigned int *)t455) = (t467 | t468); - t469 = (t416 + 4); - t470 = (t447 + 4); - t471 = *((unsigned int *)t416); - t472 = (~(t471)); - t473 = *((unsigned int *)t469); - t474 = (~(t473)); - t475 = *((unsigned int *)t447); - t476 = (~(t475)); - t477 = *((unsigned int *)t470); - t478 = (~(t477)); - t479 = (t472 & t474); - t480 = (t476 & t478); - t481 = (~(t479)); - t482 = (~(t480)); - t483 = *((unsigned int *)t461); - *((unsigned int *)t461) = (t483 & t481); - t484 = *((unsigned int *)t461); - *((unsigned int *)t461) = (t484 & t482); - t485 = *((unsigned int *)t455); - *((unsigned int *)t455) = (t485 & t481); - t486 = *((unsigned int *)t455); - *((unsigned int *)t455) = (t486 & t482); - goto LAB142; - -LAB143: *((unsigned int *)t487) = 1; - goto LAB146; - -LAB145: t494 = (t487 + 4); - *((unsigned int *)t487) = 1; - *((unsigned int *)t494) = 1; - goto LAB146; - -LAB147: t507 = *((unsigned int *)t495); - t508 = *((unsigned int *)t501); - *((unsigned int *)t495) = (t507 | t508); - t509 = (t349 + 4); - t510 = (t487 + 4); - t511 = *((unsigned int *)t509); - t512 = (~(t511)); - t513 = *((unsigned int *)t349); - t514 = (t513 & t512); - t515 = *((unsigned int *)t510); - t516 = (~(t515)); - t517 = *((unsigned int *)t487); - t518 = (t517 & t516); - t519 = (~(t514)); - t520 = (~(t518)); - t521 = *((unsigned int *)t501); - *((unsigned int *)t501) = (t521 & t519); - t522 = *((unsigned int *)t501); - *((unsigned int *)t501) = (t522 & t520); - goto LAB149; - -LAB150: xsi_set_current_line(53, ng0); - -LAB153: xsi_set_current_line(54, ng0); - t529 = ((char*)((ng4))); - t530 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t530, t529, 0, 0, 3, 0LL); - xsi_set_current_line(55, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(56, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(57, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB152; - -LAB154: *((unsigned int *)t8) = 1; - goto LAB157; - -LAB156: t4 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t4) = 1; - goto LAB157; - -LAB158: t6 = (t0 + 2168U); - t7 = *((char **)t6); - memset(t32, 0, 8); - t6 = (t7 + 4); - t19 = *((unsigned int *)t6); - t20 = (~(t19)); - t21 = *((unsigned int *)t7); - t22 = (t21 & t20); - t25 = (t22 & 1U); - if (t25 != 0) - goto LAB161; - -LAB162: if (*((unsigned int *)t6) != 0) - goto LAB163; - -LAB164: t26 = *((unsigned int *)t8); - t27 = *((unsigned int *)t32); - t28 = (t26 & t27); - *((unsigned int *)t45) = t28; - t10 = (t8 + 4); - t23 = (t32 + 4); - t24 = (t45 + 4); - t29 = *((unsigned int *)t10); - t33 = *((unsigned int *)t23); - t34 = (t29 | t33); - *((unsigned int *)t24) = t34; - t35 = *((unsigned int *)t24); - t36 = (t35 != 0); - if (t36 == 1) - goto LAB165; - -LAB166: -LAB167: goto LAB160; - -LAB161: *((unsigned int *)t32) = 1; - goto LAB164; - -LAB163: t9 = (t32 + 4); - *((unsigned int *)t32) = 1; - *((unsigned int *)t9) = 1; - goto LAB164; - -LAB165: t37 = *((unsigned int *)t45); - t40 = *((unsigned int *)t24); - *((unsigned int *)t45) = (t37 | t40); - t30 = (t8 + 4); - t31 = (t32 + 4); - t41 = *((unsigned int *)t8); - t42 = (~(t41)); - t46 = *((unsigned int *)t30); - t47 = (~(t46)); - t48 = *((unsigned int *)t32); - t49 = (~(t48)); - t50 = *((unsigned int *)t31); - t53 = (~(t50)); - t76 = (t42 & t47); - t77 = (t49 & t53); - t54 = (~(t76)); - t55 = (~(t77)); - t59 = *((unsigned int *)t24); - *((unsigned int *)t24) = (t59 & t54); - t60 = *((unsigned int *)t24); - *((unsigned int *)t24) = (t60 & t55); - t61 = *((unsigned int *)t45); - *((unsigned int *)t45) = (t61 & t54); - t62 = *((unsigned int *)t45); - *((unsigned int *)t45) = (t62 & t55); - goto LAB167; - -LAB168: *((unsigned int *)t52) = 1; - goto LAB171; - -LAB170: t39 = (t52 + 4); - *((unsigned int *)t52) = 1; - *((unsigned int *)t39) = 1; - goto LAB171; - -LAB172: t44 = (t0 + 5128); - t51 = (t44 + 56U); - t56 = *((char **)t51); - memset(t84, 0, 8); - t57 = (t56 + 4); - t73 = *((unsigned int *)t57); - t74 = (~(t73)); - t75 = *((unsigned int *)t56); - t78 = (t75 & t74); - t79 = (t78 & 1U); - if (t79 != 0) - goto LAB178; - -LAB176: if (*((unsigned int *)t57) == 0) - goto LAB175; - -LAB177: t58 = (t84 + 4); - *((unsigned int *)t84) = 1; - *((unsigned int *)t58) = 1; - -LAB178: t66 = (t84 + 4); - t67 = (t56 + 4); - t80 = *((unsigned int *)t56); - t81 = (~(t80)); - *((unsigned int *)t84) = t81; - *((unsigned int *)t66) = 0; - if (*((unsigned int *)t67) != 0) - goto LAB180; - -LAB179: t88 = *((unsigned int *)t84); - *((unsigned int *)t84) = (t88 & 1U); - t89 = *((unsigned int *)t66); - *((unsigned int *)t66) = (t89 & 1U); - memset(t96, 0, 8); - t85 = (t84 + 4); - t90 = *((unsigned int *)t85); - t93 = (~(t90)); - t94 = *((unsigned int *)t84); - t95 = (t94 & t93); - t99 = (t95 & 1U); - if (t99 != 0) - goto LAB181; - -LAB182: if (*((unsigned int *)t85) != 0) - goto LAB183; - -LAB184: t100 = *((unsigned int *)t52); - t101 = *((unsigned int *)t96); - t102 = (t100 & t101); - *((unsigned int *)t115) = t102; - t92 = (t52 + 4); - t97 = (t96 + 4); - t98 = (t115 + 4); - t103 = *((unsigned int *)t92); - t107 = *((unsigned int *)t97); - t108 = (t103 | t107); - *((unsigned int *)t98) = t108; - t109 = *((unsigned int *)t98); - t110 = (t109 != 0); - if (t110 == 1) - goto LAB185; - -LAB186: -LAB187: goto LAB174; - -LAB175: *((unsigned int *)t84) = 1; - goto LAB178; - -LAB180: t82 = *((unsigned int *)t84); - t83 = *((unsigned int *)t67); - *((unsigned int *)t84) = (t82 | t83); - t86 = *((unsigned int *)t66); - t87 = *((unsigned int *)t67); - *((unsigned int *)t66) = (t86 | t87); - goto LAB179; - -LAB181: *((unsigned int *)t96) = 1; - goto LAB184; - -LAB183: t91 = (t96 + 4); - *((unsigned int *)t96) = 1; - *((unsigned int *)t91) = 1; - goto LAB184; - -LAB185: t111 = *((unsigned int *)t115); - t112 = *((unsigned int *)t98); - *((unsigned int *)t115) = (t111 | t112); - t104 = (t52 + 4); - t105 = (t96 + 4); - t113 = *((unsigned int *)t52); - t114 = (~(t113)); - t117 = *((unsigned int *)t104); - t118 = (~(t117)); - t119 = *((unsigned int *)t96); - t120 = (~(t119)); - t121 = *((unsigned int *)t105); - t124 = (~(t121)); - t147 = (t114 & t118); - t148 = (t120 & t124); - t125 = (~(t147)); - t126 = (~(t148)); - t130 = *((unsigned int *)t98); - *((unsigned int *)t98) = (t130 & t125); - t131 = *((unsigned int *)t98); - *((unsigned int *)t98) = (t131 & t126); - t132 = *((unsigned int *)t115); - *((unsigned int *)t115) = (t132 & t125); - t133 = *((unsigned int *)t115); - *((unsigned int *)t115) = (t133 & t126); - goto LAB187; - -LAB188: xsi_set_current_line(58, ng0); - -LAB191: xsi_set_current_line(60, ng0); - t116 = ((char*)((ng5))); - t122 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t122, t116, 0, 0, 3, 0LL); - xsi_set_current_line(61, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(62, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(63, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB190; - -LAB192: *((unsigned int *)t8) = 1; - goto LAB195; - -LAB194: t4 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t4) = 1; - goto LAB195; - -LAB196: t6 = (t0 + 2168U); - t7 = *((char **)t6); - memset(t32, 0, 8); - t6 = (t7 + 4); - t19 = *((unsigned int *)t6); - t20 = (~(t19)); - t21 = *((unsigned int *)t7); - t22 = (t21 & t20); - t25 = (t22 & 1U); - if (t25 != 0) - goto LAB199; - -LAB200: if (*((unsigned int *)t6) != 0) - goto LAB201; - -LAB202: t26 = *((unsigned int *)t8); - t27 = *((unsigned int *)t32); - t28 = (t26 & t27); - *((unsigned int *)t45) = t28; - t10 = (t8 + 4); - t23 = (t32 + 4); - t24 = (t45 + 4); - t29 = *((unsigned int *)t10); - t33 = *((unsigned int *)t23); - t34 = (t29 | t33); - *((unsigned int *)t24) = t34; - t35 = *((unsigned int *)t24); - t36 = (t35 != 0); - if (t36 == 1) - goto LAB203; - -LAB204: -LAB205: goto LAB198; - -LAB199: *((unsigned int *)t32) = 1; - goto LAB202; - -LAB201: t9 = (t32 + 4); - *((unsigned int *)t32) = 1; - *((unsigned int *)t9) = 1; - goto LAB202; - -LAB203: t37 = *((unsigned int *)t45); - t40 = *((unsigned int *)t24); - *((unsigned int *)t45) = (t37 | t40); - t30 = (t8 + 4); - t31 = (t32 + 4); - t41 = *((unsigned int *)t8); - t42 = (~(t41)); - t46 = *((unsigned int *)t30); - t47 = (~(t46)); - t48 = *((unsigned int *)t32); - t49 = (~(t48)); - t50 = *((unsigned int *)t31); - t53 = (~(t50)); - t76 = (t42 & t47); - t77 = (t49 & t53); - t54 = (~(t76)); - t55 = (~(t77)); - t59 = *((unsigned int *)t24); - *((unsigned int *)t24) = (t59 & t54); - t60 = *((unsigned int *)t24); - *((unsigned int *)t24) = (t60 & t55); - t61 = *((unsigned int *)t45); - *((unsigned int *)t45) = (t61 & t54); - t62 = *((unsigned int *)t45); - *((unsigned int *)t45) = (t62 & t55); - goto LAB205; - -LAB206: *((unsigned int *)t52) = 1; - goto LAB209; - -LAB208: t39 = (t52 + 4); - *((unsigned int *)t52) = 1; - *((unsigned int *)t39) = 1; - goto LAB209; - -LAB210: t44 = (t0 + 2808U); - t51 = *((char **)t44); - memset(t84, 0, 8); - t44 = (t51 + 4); - t73 = *((unsigned int *)t44); - t74 = (~(t73)); - t75 = *((unsigned int *)t51); - t78 = (t75 & t74); - t79 = (t78 & 1U); - if (t79 != 0) - goto LAB213; - -LAB214: if (*((unsigned int *)t44) != 0) - goto LAB215; - -LAB216: t80 = *((unsigned int *)t52); - t81 = *((unsigned int *)t84); - t82 = (t80 & t81); - *((unsigned int *)t96) = t82; - t57 = (t52 + 4); - t58 = (t84 + 4); - t66 = (t96 + 4); - t83 = *((unsigned int *)t57); - t86 = *((unsigned int *)t58); - t87 = (t83 | t86); - *((unsigned int *)t66) = t87; - t88 = *((unsigned int *)t66); - t89 = (t88 != 0); - if (t89 == 1) - goto LAB217; - -LAB218: -LAB219: goto LAB212; - -LAB213: *((unsigned int *)t84) = 1; - goto LAB216; - -LAB215: t56 = (t84 + 4); - *((unsigned int *)t84) = 1; - *((unsigned int *)t56) = 1; - goto LAB216; - -LAB217: t90 = *((unsigned int *)t96); - t93 = *((unsigned int *)t66); - *((unsigned int *)t96) = (t90 | t93); - t67 = (t52 + 4); - t85 = (t84 + 4); - t94 = *((unsigned int *)t52); - t95 = (~(t94)); - t99 = *((unsigned int *)t67); - t100 = (~(t99)); - t101 = *((unsigned int *)t84); - t102 = (~(t101)); - t103 = *((unsigned int *)t85); - t107 = (~(t103)); - t147 = (t95 & t100); - t148 = (t102 & t107); - t108 = (~(t147)); - t109 = (~(t148)); - t110 = *((unsigned int *)t66); - *((unsigned int *)t66) = (t110 & t108); - t111 = *((unsigned int *)t66); - *((unsigned int *)t66) = (t111 & t109); - t112 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t112 & t108); - t113 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t113 & t109); - goto LAB219; - -LAB220: xsi_set_current_line(64, ng0); - -LAB223: xsi_set_current_line(67, ng0); - t92 = ((char*)((ng2))); - t97 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t97, t92, 0, 0, 3, 0LL); - xsi_set_current_line(68, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(69, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(70, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB222; - -LAB227: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB228; - -LAB229: xsi_set_current_line(79, ng0); - -LAB232: xsi_set_current_line(80, ng0); - t23 = ((char*)((ng4))); - t24 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(81, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(82, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(83, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(84, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5928); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB231; - -LAB235: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB236; - -LAB237: xsi_set_current_line(85, ng0); - -LAB240: xsi_set_current_line(86, ng0); - t23 = ((char*)((ng6))); - t24 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(87, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(88, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(89, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(90, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5928); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB239; - -LAB243: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB244; - -LAB245: xsi_set_current_line(91, ng0); - -LAB248: xsi_set_current_line(92, ng0); - t23 = ((char*)((ng7))); - t24 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(93, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(94, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(95, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(96, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5928); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB247; - -LAB251: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB252; - -LAB253: xsi_set_current_line(97, ng0); - -LAB256: xsi_set_current_line(98, ng0); - t23 = ((char*)((ng3))); - t24 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(99, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(100, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(101, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(102, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5928); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB255; - -LAB259: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB260; - -LAB261: xsi_set_current_line(103, ng0); - -LAB264: xsi_set_current_line(104, ng0); - t23 = ((char*)((ng8))); - t24 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(105, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(106, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(107, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(108, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5928); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB263; - -LAB267: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB268; - -LAB269: xsi_set_current_line(109, ng0); - -LAB272: xsi_set_current_line(110, ng0); - t23 = ((char*)((ng3))); - t24 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(111, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(112, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(113, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(114, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5928); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB271; - -LAB275: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB276; - -LAB277: xsi_set_current_line(115, ng0); - -LAB280: xsi_set_current_line(116, ng0); - t23 = (t0 + 2008U); - t24 = *((char **)t23); - memset(t32, 0, 8); - t23 = (t24 + 4); - t33 = *((unsigned int *)t23); - t34 = (~(t33)); - t35 = *((unsigned int *)t24); - t36 = (t35 & t34); - t37 = (t36 & 1U); - if (t37 != 0) - goto LAB284; - -LAB282: if (*((unsigned int *)t23) == 0) - goto LAB281; - -LAB283: t30 = (t32 + 4); - *((unsigned int *)t32) = 1; - *((unsigned int *)t30) = 1; - -LAB284: t31 = (t32 + 4); - t38 = (t24 + 4); - t40 = *((unsigned int *)t24); - t41 = (~(t40)); - *((unsigned int *)t32) = t41; - *((unsigned int *)t31) = 0; - if (*((unsigned int *)t38) != 0) - goto LAB286; - -LAB285: t49 = *((unsigned int *)t32); - *((unsigned int *)t32) = (t49 & 1U); - t50 = *((unsigned int *)t31); - *((unsigned int *)t31) = (t50 & 1U); - memset(t45, 0, 8); - t39 = (t32 + 4); - t53 = *((unsigned int *)t39); - t54 = (~(t53)); - t55 = *((unsigned int *)t32); - t59 = (t55 & t54); - t60 = (t59 & 1U); - if (t60 != 0) - goto LAB287; - -LAB288: if (*((unsigned int *)t39) != 0) - goto LAB289; - -LAB290: t44 = (t45 + 4); - t61 = *((unsigned int *)t45); - t62 = *((unsigned int *)t44); - t63 = (t61 || t62); - if (t63 > 0) - goto LAB291; - -LAB292: memcpy(t84, t45, 8); - -LAB293: t92 = (t84 + 4); - t107 = *((unsigned int *)t92); - t108 = (~(t107)); - t109 = *((unsigned int *)t84); - t110 = (t109 & t108); - t111 = (t110 != 0); - if (t111 > 0) - goto LAB301; - -LAB302: xsi_set_current_line(121, ng0); - t2 = (t0 + 2008U); - t3 = *((char **)t2); - memset(t8, 0, 8); - t2 = (t3 + 4); - t11 = *((unsigned int *)t2); - t12 = (~(t11)); - t13 = *((unsigned int *)t3); - t14 = (t13 & t12); - t15 = (t14 & 1U); - if (t15 != 0) - goto LAB305; - -LAB306: if (*((unsigned int *)t2) != 0) - goto LAB307; - -LAB308: t5 = (t8 + 4); - t16 = *((unsigned int *)t8); - t17 = *((unsigned int *)t5); - t18 = (t16 || t17); - if (t18 > 0) - goto LAB309; - -LAB310: memcpy(t45, t8, 8); - -LAB311: t38 = (t45 + 4); - t63 = *((unsigned int *)t38); - t64 = (~(t63)); - t65 = *((unsigned int *)t45); - t68 = (t65 & t64); - t69 = (t68 != 0); - if (t69 > 0) - goto LAB319; - -LAB320: xsi_set_current_line(126, ng0); - -LAB323: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 3, 0LL); - xsi_set_current_line(128, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(129, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(130, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - -LAB321: -LAB303: xsi_set_current_line(132, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5928); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB279; - -LAB281: *((unsigned int *)t32) = 1; - goto LAB284; - -LAB286: t42 = *((unsigned int *)t32); - t46 = *((unsigned int *)t38); - *((unsigned int *)t32) = (t42 | t46); - t47 = *((unsigned int *)t31); - t48 = *((unsigned int *)t38); - *((unsigned int *)t31) = (t47 | t48); - goto LAB285; - -LAB287: *((unsigned int *)t45) = 1; - goto LAB290; - -LAB289: t43 = (t45 + 4); - *((unsigned int *)t45) = 1; - *((unsigned int *)t43) = 1; - goto LAB290; - -LAB291: t51 = (t0 + 2808U); - t56 = *((char **)t51); - memset(t52, 0, 8); - t51 = (t56 + 4); - t64 = *((unsigned int *)t51); - t65 = (~(t64)); - t68 = *((unsigned int *)t56); - t69 = (t68 & t65); - t70 = (t69 & 1U); - if (t70 != 0) - goto LAB294; - -LAB295: if (*((unsigned int *)t51) != 0) - goto LAB296; - -LAB297: t71 = *((unsigned int *)t45); - t72 = *((unsigned int *)t52); - t73 = (t71 & t72); - *((unsigned int *)t84) = t73; - t58 = (t45 + 4); - t66 = (t52 + 4); - t67 = (t84 + 4); - t74 = *((unsigned int *)t58); - t75 = *((unsigned int *)t66); - t78 = (t74 | t75); - *((unsigned int *)t67) = t78; - t79 = *((unsigned int *)t67); - t80 = (t79 != 0); - if (t80 == 1) - goto LAB298; - -LAB299: -LAB300: goto LAB293; - -LAB294: *((unsigned int *)t52) = 1; - goto LAB297; - -LAB296: t57 = (t52 + 4); - *((unsigned int *)t52) = 1; - *((unsigned int *)t57) = 1; - goto LAB297; - -LAB298: t81 = *((unsigned int *)t84); - t82 = *((unsigned int *)t67); - *((unsigned int *)t84) = (t81 | t82); - t85 = (t45 + 4); - t91 = (t52 + 4); - t83 = *((unsigned int *)t45); - t86 = (~(t83)); - t87 = *((unsigned int *)t85); - t88 = (~(t87)); - t89 = *((unsigned int *)t52); - t90 = (~(t89)); - t93 = *((unsigned int *)t91); - t94 = (~(t93)); - t76 = (t86 & t88); - t77 = (t90 & t94); - t95 = (~(t76)); - t99 = (~(t77)); - t100 = *((unsigned int *)t67); - *((unsigned int *)t67) = (t100 & t95); - t101 = *((unsigned int *)t67); - *((unsigned int *)t67) = (t101 & t99); - t102 = *((unsigned int *)t84); - *((unsigned int *)t84) = (t102 & t95); - t103 = *((unsigned int *)t84); - *((unsigned int *)t84) = (t103 & t99); - goto LAB300; - -LAB301: xsi_set_current_line(116, ng0); - -LAB304: xsi_set_current_line(117, ng0); - t97 = ((char*)((ng4))); - t98 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t98, t97, 0, 0, 3, 0LL); - xsi_set_current_line(118, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(119, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(120, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB303; - -LAB305: *((unsigned int *)t8) = 1; - goto LAB308; - -LAB307: t4 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t4) = 1; - goto LAB308; - -LAB309: t6 = (t0 + 2808U); - t7 = *((char **)t6); - memset(t32, 0, 8); - t6 = (t7 + 4); - t19 = *((unsigned int *)t6); - t20 = (~(t19)); - t21 = *((unsigned int *)t7); - t22 = (t21 & t20); - t25 = (t22 & 1U); - if (t25 != 0) - goto LAB312; - -LAB313: if (*((unsigned int *)t6) != 0) - goto LAB314; - -LAB315: t26 = *((unsigned int *)t8); - t27 = *((unsigned int *)t32); - t28 = (t26 & t27); - *((unsigned int *)t45) = t28; - t10 = (t8 + 4); - t23 = (t32 + 4); - t24 = (t45 + 4); - t29 = *((unsigned int *)t10); - t33 = *((unsigned int *)t23); - t34 = (t29 | t33); - *((unsigned int *)t24) = t34; - t35 = *((unsigned int *)t24); - t36 = (t35 != 0); - if (t36 == 1) - goto LAB316; - -LAB317: -LAB318: goto LAB311; - -LAB312: *((unsigned int *)t32) = 1; - goto LAB315; - -LAB314: t9 = (t32 + 4); - *((unsigned int *)t32) = 1; - *((unsigned int *)t9) = 1; - goto LAB315; - -LAB316: t37 = *((unsigned int *)t45); - t40 = *((unsigned int *)t24); - *((unsigned int *)t45) = (t37 | t40); - t30 = (t8 + 4); - t31 = (t32 + 4); - t41 = *((unsigned int *)t8); - t42 = (~(t41)); - t46 = *((unsigned int *)t30); - t47 = (~(t46)); - t48 = *((unsigned int *)t32); - t49 = (~(t48)); - t50 = *((unsigned int *)t31); - t53 = (~(t50)); - t76 = (t42 & t47); - t77 = (t49 & t53); - t54 = (~(t76)); - t55 = (~(t77)); - t59 = *((unsigned int *)t24); - *((unsigned int *)t24) = (t59 & t54); - t60 = *((unsigned int *)t24); - *((unsigned int *)t24) = (t60 & t55); - t61 = *((unsigned int *)t45); - *((unsigned int *)t45) = (t61 & t54); - t62 = *((unsigned int *)t45); - *((unsigned int *)t45) = (t62 & t55); - goto LAB318; - -LAB319: xsi_set_current_line(121, ng0); - -LAB322: xsi_set_current_line(122, ng0); - t39 = ((char*)((ng2))); - t43 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t43, t39, 0, 0, 3, 0LL); - xsi_set_current_line(123, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(124, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(125, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB321; - -} - -static void Always_135_15(char *t0) -{ - char t4[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - char *t7; - char *t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - char *t14; - char *t15; - char *t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - char *t25; - -LAB0: t1 = (t0 + 10728U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(135, ng0); - t2 = (t0 + 11784); - *((int *)t2) = 1; - t3 = (t0 + 10760); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(135, ng0); - -LAB5: xsi_set_current_line(135, ng0); - t5 = (t0 + 5448); - t6 = (t5 + 56U); - t7 = *((char **)t6); - memset(t4, 0, 8); - t8 = (t7 + 4); - t9 = *((unsigned int *)t8); - t10 = (~(t9)); - t11 = *((unsigned int *)t7); - t12 = (t11 & t10); - t13 = (t12 & 1U); - if (t13 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t8) == 0) - goto LAB6; - -LAB8: t14 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t14) = 1; - -LAB9: t15 = (t4 + 4); - t16 = (t7 + 4); - t17 = *((unsigned int *)t7); - t18 = (~(t17)); - *((unsigned int *)t4) = t18; - *((unsigned int *)t15) = 0; - if (*((unsigned int *)t16) != 0) - goto LAB11; - -LAB10: t23 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t23 & 1U); - t24 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t24 & 1U); - t25 = (t0 + 4808); - xsi_vlogvar_wait_assign_value(t25, t4, 0, 0, 1, 0LL); - goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t19 = *((unsigned int *)t4); - t20 = *((unsigned int *)t16); - *((unsigned int *)t4) = (t19 | t20); - t21 = *((unsigned int *)t15); - t22 = *((unsigned int *)t16); - *((unsigned int *)t15) = (t21 | t22); - goto LAB10; - -} - -static void Cont_137_16(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - char *t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned int t16; - unsigned int t17; - char *t18; - -LAB0: t1 = (t0 + 10976U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(137, ng0); - t2 = (t0 + 5928); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t0 + 12600); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memset(t9, 0, 8); - t10 = 1U; - t11 = t10; - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t10 = (t10 & t13); - t14 = *((unsigned int *)t12); - t11 = (t11 & t14); - t15 = (t9 + 4); - t16 = *((unsigned int *)t9); - *((unsigned int *)t9) = (t16 | t10); - t17 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t17 | t11); - xsi_driver_vfirst_trans(t5, 0, 0); - t18 = (t0 + 11800); - *((int *)t18) = 1; - -LAB1: return; -} - -static void Cont_139_17(char *t0) -{ - char t3[8]; - char t21[8]; - char t37[8]; - char t45[8]; - char *t1; - char *t2; - char *t4; - unsigned int t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - char *t10; - char *t11; - char *t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - char *t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - char *t28; - char *t29; - unsigned int t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - char *t34; - char *t35; - char *t36; - char *t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - unsigned int t42; - unsigned int t43; - char *t44; - unsigned int t46; - unsigned int t47; - unsigned int t48; - char *t49; - char *t50; - char *t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - char *t59; - char *t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - char *t73; - char *t74; - char *t75; - char *t76; - char *t77; - unsigned int t78; - unsigned int t79; - char *t80; - unsigned int t81; - unsigned int t82; - char *t83; - unsigned int t84; - unsigned int t85; - char *t86; - -LAB0: t1 = (t0 + 11224U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(139, ng0); - t2 = (t0 + 2168U); - t4 = *((char **)t2); - memset(t3, 0, 8); - t2 = (t4 + 4); - t5 = *((unsigned int *)t2); - t6 = (~(t5)); - t7 = *((unsigned int *)t4); - t8 = (t7 & t6); - t9 = (t8 & 1U); - if (t9 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t10 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t10) = 1; - -LAB7: t11 = (t3 + 4); - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t14 = (~(t13)); - *((unsigned int *)t3) = t14; - *((unsigned int *)t11) = 0; - if (*((unsigned int *)t12) != 0) - goto LAB9; - -LAB8: t19 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t19 & 1U); - t20 = *((unsigned int *)t11); - *((unsigned int *)t11) = (t20 & 1U); - memset(t21, 0, 8); - t22 = (t3 + 4); - t23 = *((unsigned int *)t22); - t24 = (~(t23)); - t25 = *((unsigned int *)t3); - t26 = (t25 & t24); - t27 = (t26 & 1U); - if (t27 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t22) != 0) - goto LAB12; - -LAB13: t29 = (t21 + 4); - t30 = *((unsigned int *)t21); - t31 = (!(t30)); - t32 = *((unsigned int *)t29); - t33 = (t31 || t32); - if (t33 > 0) - goto LAB14; - -LAB15: memcpy(t45, t21, 8); - -LAB16: t73 = (t0 + 12664); - t74 = (t73 + 56U); - t75 = *((char **)t74); - t76 = (t75 + 56U); - t77 = *((char **)t76); - memset(t77, 0, 8); - t78 = 1U; - t79 = t78; - t80 = (t45 + 4); - t81 = *((unsigned int *)t45); - t78 = (t78 & t81); - t82 = *((unsigned int *)t80); - t79 = (t79 & t82); - t83 = (t77 + 4); - t84 = *((unsigned int *)t77); - *((unsigned int *)t77) = (t84 | t78); - t85 = *((unsigned int *)t83); - *((unsigned int *)t83) = (t85 | t79); - xsi_driver_vfirst_trans(t73, 0, 0); - t86 = (t0 + 11816); - *((int *)t86) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t3) = 1; - goto LAB7; - -LAB9: t15 = *((unsigned int *)t3); - t16 = *((unsigned int *)t12); - *((unsigned int *)t3) = (t15 | t16); - t17 = *((unsigned int *)t11); - t18 = *((unsigned int *)t12); - *((unsigned int *)t11) = (t17 | t18); - goto LAB8; - -LAB10: *((unsigned int *)t21) = 1; - goto LAB13; - -LAB12: t28 = (t21 + 4); - *((unsigned int *)t21) = 1; - *((unsigned int *)t28) = 1; - goto LAB13; - -LAB14: t34 = (t0 + 5288); - t35 = (t34 + 56U); - t36 = *((char **)t35); - memset(t37, 0, 8); - t38 = (t36 + 4); - t39 = *((unsigned int *)t38); - t40 = (~(t39)); - t41 = *((unsigned int *)t36); - t42 = (t41 & t40); - t43 = (t42 & 1U); - if (t43 != 0) - goto LAB17; - -LAB18: if (*((unsigned int *)t38) != 0) - goto LAB19; - -LAB20: t46 = *((unsigned int *)t21); - t47 = *((unsigned int *)t37); - t48 = (t46 | t47); - *((unsigned int *)t45) = t48; - t49 = (t21 + 4); - t50 = (t37 + 4); - t51 = (t45 + 4); - t52 = *((unsigned int *)t49); - t53 = *((unsigned int *)t50); - t54 = (t52 | t53); - *((unsigned int *)t51) = t54; - t55 = *((unsigned int *)t51); - t56 = (t55 != 0); - if (t56 == 1) - goto LAB21; - -LAB22: -LAB23: goto LAB16; - -LAB17: *((unsigned int *)t37) = 1; - goto LAB20; - -LAB19: t44 = (t37 + 4); - *((unsigned int *)t37) = 1; - *((unsigned int *)t44) = 1; - goto LAB20; - -LAB21: t57 = *((unsigned int *)t45); - t58 = *((unsigned int *)t51); - *((unsigned int *)t45) = (t57 | t58); - t59 = (t21 + 4); - t60 = (t37 + 4); - t61 = *((unsigned int *)t59); - t62 = (~(t61)); - t63 = *((unsigned int *)t21); - t64 = (t63 & t62); - t65 = *((unsigned int *)t60); - t66 = (~(t65)); - t67 = *((unsigned int *)t37); - t68 = (t67 & t66); - t69 = (~(t64)); - t70 = (~(t68)); - t71 = *((unsigned int *)t51); - *((unsigned int *)t51) = (t71 & t69); - t72 = *((unsigned int *)t51); - *((unsigned int *)t51) = (t72 & t70); - goto LAB23; - -} - - -extern void work_m_00000000000498029938_2356217838_init() -{ - static char *pe[] = {(void *)NetDecl_23_0,(void *)NetDecl_24_1,(void *)Cont_27_2,(void *)Cont_28_3,(void *)Cont_29_4,(void *)Cont_30_5,(void *)Cont_31_6,(void *)Cont_32_7,(void *)Cont_34_8,(void *)Cont_35_9,(void *)Cont_36_10,(void *)Always_38_11,(void *)Always_42_12,(void *)Always_48_13,(void *)Always_49_14,(void *)Always_135_15,(void *)Cont_137_16,(void *)Cont_139_17}; - xsi_register_didat("work_m_00000000000498029938_2356217838", "isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.didat b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.didat deleted file mode 100644 index 6b88162..0000000 Binary files a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.didat and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.nt64.obj b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.nt64.obj deleted file mode 100644 index 347dc71..0000000 Binary files a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.nt64.obj and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.c b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.c deleted file mode 100644 index fa06de0..0000000 --- a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.c +++ /dev/null @@ -1,3523 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_ram.v"; -static int ng1[] = {0, 0}; -static int ng2[] = {1, 0}; -static unsigned int ng3[] = {4194304U, 0U}; -static unsigned int ng4[] = {678490U, 0U}; - - - -static void Initial_77_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 5408U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(77, ng0); - -LAB4: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(79, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(79, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(79, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(79, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(80, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(80, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(80, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(80, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(80, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(80, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(80, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(80, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: xsi_set_current_line(81, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(81, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB17; - goto LAB1; - -LAB17: xsi_set_current_line(81, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(81, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB18; - goto LAB1; - -LAB18: xsi_set_current_line(81, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(81, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB19; - goto LAB1; - -LAB19: xsi_set_current_line(81, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(81, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB20; - goto LAB1; - -LAB20: xsi_set_current_line(82, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB21; - goto LAB1; - -LAB21: xsi_set_current_line(82, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB22; - goto LAB1; - -LAB22: xsi_set_current_line(82, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB23; - goto LAB1; - -LAB23: xsi_set_current_line(82, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB24; - goto LAB1; - -LAB24: xsi_set_current_line(83, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(83, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB25; - goto LAB1; - -LAB25: xsi_set_current_line(83, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(83, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB26; - goto LAB1; - -LAB26: xsi_set_current_line(83, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(83, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB27; - goto LAB1; - -LAB27: xsi_set_current_line(83, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(83, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB28; - goto LAB1; - -LAB28: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB29; - goto LAB1; - -LAB29: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB30; - goto LAB1; - -LAB30: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB31; - goto LAB1; - -LAB31: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB32; - goto LAB1; - -LAB32: xsi_set_current_line(85, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(85, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB33; - goto LAB1; - -LAB33: xsi_set_current_line(85, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(85, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB34; - goto LAB1; - -LAB34: xsi_set_current_line(85, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(85, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB35; - goto LAB1; - -LAB35: xsi_set_current_line(85, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(85, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB36; - goto LAB1; - -LAB36: xsi_set_current_line(86, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(86, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB37; - goto LAB1; - -LAB37: xsi_set_current_line(86, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(86, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB38; - goto LAB1; - -LAB38: xsi_set_current_line(86, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(86, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB39; - goto LAB1; - -LAB39: xsi_set_current_line(86, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(86, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB40; - goto LAB1; - -LAB40: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB41; - goto LAB1; - -LAB41: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB42; - goto LAB1; - -LAB42: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB43; - goto LAB1; - -LAB43: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB44; - goto LAB1; - -LAB44: xsi_set_current_line(88, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(88, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB45; - goto LAB1; - -LAB45: xsi_set_current_line(88, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(88, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB46; - goto LAB1; - -LAB46: xsi_set_current_line(88, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(88, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB47; - goto LAB1; - -LAB47: xsi_set_current_line(88, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(88, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB48; - goto LAB1; - -LAB48: xsi_set_current_line(89, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(89, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB49; - goto LAB1; - -LAB49: xsi_set_current_line(89, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(89, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB50; - goto LAB1; - -LAB50: xsi_set_current_line(89, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(89, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB51; - goto LAB1; - -LAB51: xsi_set_current_line(89, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(89, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB52; - goto LAB1; - -LAB52: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(90, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB53; - goto LAB1; - -LAB53: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(90, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB54; - goto LAB1; - -LAB54: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(90, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB55; - goto LAB1; - -LAB55: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(90, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB56; - goto LAB1; - -LAB56: xsi_set_current_line(91, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(91, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB57; - goto LAB1; - -LAB57: xsi_set_current_line(91, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(91, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB58; - goto LAB1; - -LAB58: xsi_set_current_line(91, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(91, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB59; - goto LAB1; - -LAB59: xsi_set_current_line(91, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(91, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB60; - goto LAB1; - -LAB60: xsi_set_current_line(92, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(92, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB61; - goto LAB1; - -LAB61: xsi_set_current_line(92, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(92, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB62; - goto LAB1; - -LAB62: xsi_set_current_line(92, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(92, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB63; - goto LAB1; - -LAB63: xsi_set_current_line(92, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(92, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB64; - goto LAB1; - -LAB64: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(93, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB65; - goto LAB1; - -LAB65: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(93, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB66; - goto LAB1; - -LAB66: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(93, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB67; - goto LAB1; - -LAB67: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(93, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB68; - goto LAB1; - -LAB68: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(94, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB69; - goto LAB1; - -LAB69: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(94, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB70; - goto LAB1; - -LAB70: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(94, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB71; - goto LAB1; - -LAB71: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(94, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB72; - goto LAB1; - -LAB72: xsi_set_current_line(95, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(95, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB73; - goto LAB1; - -LAB73: xsi_set_current_line(95, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(95, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB74; - goto LAB1; - -LAB74: xsi_set_current_line(95, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(95, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB75; - goto LAB1; - -LAB75: xsi_set_current_line(95, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(95, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB76; - goto LAB1; - -LAB76: xsi_set_current_line(96, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(96, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB77; - goto LAB1; - -LAB77: xsi_set_current_line(96, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(96, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB78; - goto LAB1; - -LAB78: xsi_set_current_line(96, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(96, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB79; - goto LAB1; - -LAB79: xsi_set_current_line(96, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(96, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB80; - goto LAB1; - -LAB80: xsi_set_current_line(97, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(97, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB81; - goto LAB1; - -LAB81: xsi_set_current_line(97, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(97, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB82; - goto LAB1; - -LAB82: xsi_set_current_line(97, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(97, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB83; - goto LAB1; - -LAB83: xsi_set_current_line(97, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(97, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB84; - goto LAB1; - -LAB84: xsi_set_current_line(98, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(98, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB85; - goto LAB1; - -LAB85: xsi_set_current_line(98, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(98, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB86; - goto LAB1; - -LAB86: xsi_set_current_line(98, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(98, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB87; - goto LAB1; - -LAB87: xsi_set_current_line(98, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(98, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB88; - goto LAB1; - -LAB88: xsi_set_current_line(99, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(99, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB89; - goto LAB1; - -LAB89: xsi_set_current_line(99, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(99, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB90; - goto LAB1; - -LAB90: xsi_set_current_line(99, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(99, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB91; - goto LAB1; - -LAB91: xsi_set_current_line(99, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(99, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB92; - goto LAB1; - -LAB92: xsi_set_current_line(100, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(100, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB93; - goto LAB1; - -LAB93: xsi_set_current_line(100, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(100, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB94; - goto LAB1; - -LAB94: xsi_set_current_line(100, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(100, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB95; - goto LAB1; - -LAB95: xsi_set_current_line(100, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(100, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB96; - goto LAB1; - -LAB96: xsi_set_current_line(101, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(101, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB97; - goto LAB1; - -LAB97: xsi_set_current_line(101, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(101, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB98; - goto LAB1; - -LAB98: xsi_set_current_line(101, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(101, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB99; - goto LAB1; - -LAB99: xsi_set_current_line(101, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(101, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB100; - goto LAB1; - -LAB100: xsi_set_current_line(102, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(102, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB101; - goto LAB1; - -LAB101: xsi_set_current_line(102, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(102, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB102; - goto LAB1; - -LAB102: xsi_set_current_line(102, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(102, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB103; - goto LAB1; - -LAB103: xsi_set_current_line(102, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(102, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB104; - goto LAB1; - -LAB104: xsi_set_current_line(103, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(103, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB105; - goto LAB1; - -LAB105: xsi_set_current_line(103, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(103, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB106; - goto LAB1; - -LAB106: xsi_set_current_line(103, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(103, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB107; - goto LAB1; - -LAB107: xsi_set_current_line(103, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(103, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB108; - goto LAB1; - -LAB108: xsi_set_current_line(104, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(104, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB109; - goto LAB1; - -LAB109: xsi_set_current_line(104, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(104, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB110; - goto LAB1; - -LAB110: xsi_set_current_line(104, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(104, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB111; - goto LAB1; - -LAB111: xsi_set_current_line(104, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(104, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB112; - goto LAB1; - -LAB112: xsi_set_current_line(105, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(105, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB113; - goto LAB1; - -LAB113: xsi_set_current_line(105, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(105, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB114; - goto LAB1; - -LAB114: xsi_set_current_line(105, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(105, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB115; - goto LAB1; - -LAB115: xsi_set_current_line(105, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(105, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB116; - goto LAB1; - -LAB116: xsi_set_current_line(106, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(106, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB117; - goto LAB1; - -LAB117: xsi_set_current_line(106, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(106, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB118; - goto LAB1; - -LAB118: xsi_set_current_line(106, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(106, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB119; - goto LAB1; - -LAB119: xsi_set_current_line(106, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(106, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB120; - goto LAB1; - -LAB120: xsi_set_current_line(107, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(107, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB121; - goto LAB1; - -LAB121: xsi_set_current_line(107, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(107, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB122; - goto LAB1; - -LAB122: xsi_set_current_line(107, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(107, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB123; - goto LAB1; - -LAB123: xsi_set_current_line(107, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(107, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB124; - goto LAB1; - -LAB124: xsi_set_current_line(108, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(108, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB125; - goto LAB1; - -LAB125: xsi_set_current_line(108, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(108, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB126; - goto LAB1; - -LAB126: xsi_set_current_line(108, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(108, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB127; - goto LAB1; - -LAB127: xsi_set_current_line(108, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(108, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB128; - goto LAB1; - -LAB128: xsi_set_current_line(109, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(109, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB129; - goto LAB1; - -LAB129: xsi_set_current_line(109, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(109, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB130; - goto LAB1; - -LAB130: xsi_set_current_line(109, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(109, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB131; - goto LAB1; - -LAB131: xsi_set_current_line(109, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(109, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB132; - goto LAB1; - -LAB132: xsi_set_current_line(110, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(110, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB133; - goto LAB1; - -LAB133: xsi_set_current_line(110, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(110, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB134; - goto LAB1; - -LAB134: xsi_set_current_line(110, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(110, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB135; - goto LAB1; - -LAB135: xsi_set_current_line(110, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(110, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB136; - goto LAB1; - -LAB136: xsi_set_current_line(111, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(111, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB137; - goto LAB1; - -LAB137: xsi_set_current_line(111, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(111, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB138; - goto LAB1; - -LAB138: xsi_set_current_line(111, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(111, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB139; - goto LAB1; - -LAB139: xsi_set_current_line(111, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(111, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB140; - goto LAB1; - -LAB140: xsi_set_current_line(112, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(112, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB141; - goto LAB1; - -LAB141: xsi_set_current_line(112, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(112, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB142; - goto LAB1; - -LAB142: xsi_set_current_line(112, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(112, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB143; - goto LAB1; - -LAB143: xsi_set_current_line(112, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(112, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB144; - goto LAB1; - -LAB144: xsi_set_current_line(113, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(113, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB145; - goto LAB1; - -LAB145: xsi_set_current_line(113, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(113, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB146; - goto LAB1; - -LAB146: xsi_set_current_line(113, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(113, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB147; - goto LAB1; - -LAB147: xsi_set_current_line(113, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(113, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB148; - goto LAB1; - -LAB148: xsi_set_current_line(114, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(114, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB149; - goto LAB1; - -LAB149: xsi_set_current_line(114, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(114, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB150; - goto LAB1; - -LAB150: xsi_set_current_line(114, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(114, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB151; - goto LAB1; - -LAB151: xsi_set_current_line(114, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(114, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB152; - goto LAB1; - -LAB152: xsi_set_current_line(115, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(115, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB153; - goto LAB1; - -LAB153: xsi_set_current_line(115, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(115, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB154; - goto LAB1; - -LAB154: xsi_set_current_line(115, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(115, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB155; - goto LAB1; - -LAB155: xsi_set_current_line(115, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(115, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB156; - goto LAB1; - -LAB156: xsi_set_current_line(116, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(116, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB157; - goto LAB1; - -LAB157: xsi_set_current_line(116, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(116, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB158; - goto LAB1; - -LAB158: xsi_set_current_line(116, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(116, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB159; - goto LAB1; - -LAB159: xsi_set_current_line(116, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(116, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB160; - goto LAB1; - -LAB160: xsi_set_current_line(117, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(117, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB161; - goto LAB1; - -LAB161: xsi_set_current_line(117, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(117, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB162; - goto LAB1; - -LAB162: xsi_set_current_line(117, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(117, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB163; - goto LAB1; - -LAB163: xsi_set_current_line(117, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(117, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB164; - goto LAB1; - -LAB164: xsi_set_current_line(118, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(118, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB165; - goto LAB1; - -LAB165: xsi_set_current_line(118, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(118, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB166; - goto LAB1; - -LAB166: xsi_set_current_line(118, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(118, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB167; - goto LAB1; - -LAB167: xsi_set_current_line(118, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(118, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB168; - goto LAB1; - -LAB168: xsi_set_current_line(119, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(119, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB169; - goto LAB1; - -LAB169: xsi_set_current_line(119, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(119, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB170; - goto LAB1; - -LAB170: xsi_set_current_line(119, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(119, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB171; - goto LAB1; - -LAB171: xsi_set_current_line(119, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(119, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB172; - goto LAB1; - -LAB172: xsi_set_current_line(120, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(120, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB173; - goto LAB1; - -LAB173: xsi_set_current_line(120, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(120, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB174; - goto LAB1; - -LAB174: xsi_set_current_line(120, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(120, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB175; - goto LAB1; - -LAB175: xsi_set_current_line(120, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(120, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB176; - goto LAB1; - -LAB176: xsi_set_current_line(121, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(121, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB177; - goto LAB1; - -LAB177: xsi_set_current_line(121, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(121, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB178; - goto LAB1; - -LAB178: xsi_set_current_line(121, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(121, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB179; - goto LAB1; - -LAB179: xsi_set_current_line(121, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(121, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB180; - goto LAB1; - -LAB180: xsi_set_current_line(122, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(122, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB181; - goto LAB1; - -LAB181: xsi_set_current_line(122, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(122, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB182; - goto LAB1; - -LAB182: xsi_set_current_line(122, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(122, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB183; - goto LAB1; - -LAB183: xsi_set_current_line(122, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(122, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB184; - goto LAB1; - -LAB184: xsi_set_current_line(123, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(123, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB185; - goto LAB1; - -LAB185: xsi_set_current_line(123, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(123, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB186; - goto LAB1; - -LAB186: xsi_set_current_line(123, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(123, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB187; - goto LAB1; - -LAB187: xsi_set_current_line(123, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(123, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB188; - goto LAB1; - -LAB188: xsi_set_current_line(124, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(124, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB189; - goto LAB1; - -LAB189: xsi_set_current_line(124, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(124, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB190; - goto LAB1; - -LAB190: xsi_set_current_line(124, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(124, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB191; - goto LAB1; - -LAB191: xsi_set_current_line(124, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(124, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB192; - goto LAB1; - -LAB192: xsi_set_current_line(125, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(125, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB193; - goto LAB1; - -LAB193: xsi_set_current_line(125, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(125, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB194; - goto LAB1; - -LAB194: xsi_set_current_line(125, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(125, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB195; - goto LAB1; - -LAB195: xsi_set_current_line(125, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(125, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB196; - goto LAB1; - -LAB196: xsi_set_current_line(126, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(126, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB197; - goto LAB1; - -LAB197: xsi_set_current_line(126, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(126, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB198; - goto LAB1; - -LAB198: xsi_set_current_line(126, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(126, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB199; - goto LAB1; - -LAB199: xsi_set_current_line(126, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(126, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB200; - goto LAB1; - -LAB200: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(127, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB201; - goto LAB1; - -LAB201: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(127, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB202; - goto LAB1; - -LAB202: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(127, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB203; - goto LAB1; - -LAB203: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(127, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB204; - goto LAB1; - -LAB204: xsi_set_current_line(128, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(128, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB205; - goto LAB1; - -LAB205: xsi_set_current_line(128, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(128, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB206; - goto LAB1; - -LAB206: xsi_set_current_line(128, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(128, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB207; - goto LAB1; - -LAB207: xsi_set_current_line(128, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(128, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB208; - goto LAB1; - -LAB208: xsi_set_current_line(129, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(129, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB209; - goto LAB1; - -LAB209: xsi_set_current_line(129, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(129, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB210; - goto LAB1; - -LAB210: xsi_set_current_line(129, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(129, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB211; - goto LAB1; - -LAB211: xsi_set_current_line(129, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(129, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB212; - goto LAB1; - -LAB212: xsi_set_current_line(130, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(130, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB213; - goto LAB1; - -LAB213: xsi_set_current_line(130, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(130, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB214; - goto LAB1; - -LAB214: xsi_set_current_line(130, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(130, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB215; - goto LAB1; - -LAB215: xsi_set_current_line(130, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(130, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB216; - goto LAB1; - -LAB216: xsi_set_current_line(131, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(131, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB217; - goto LAB1; - -LAB217: xsi_set_current_line(131, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(131, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB218; - goto LAB1; - -LAB218: xsi_set_current_line(131, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(131, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB219; - goto LAB1; - -LAB219: xsi_set_current_line(131, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(131, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB220; - goto LAB1; - -LAB220: xsi_set_current_line(132, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(132, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB221; - goto LAB1; - -LAB221: xsi_set_current_line(132, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(132, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB222; - goto LAB1; - -LAB222: xsi_set_current_line(132, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(132, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB223; - goto LAB1; - -LAB223: xsi_set_current_line(132, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(132, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB224; - goto LAB1; - -LAB224: xsi_set_current_line(133, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(133, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB225; - goto LAB1; - -LAB225: xsi_set_current_line(133, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(133, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB226; - goto LAB1; - -LAB226: xsi_set_current_line(133, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(133, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB227; - goto LAB1; - -LAB227: xsi_set_current_line(133, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(133, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB228; - goto LAB1; - -LAB228: xsi_set_current_line(134, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(134, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB229; - goto LAB1; - -LAB229: xsi_set_current_line(134, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(134, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB230; - goto LAB1; - -LAB230: xsi_set_current_line(134, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(134, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB231; - goto LAB1; - -LAB231: xsi_set_current_line(134, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(134, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB232; - goto LAB1; - -LAB232: xsi_set_current_line(135, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(135, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB233; - goto LAB1; - -LAB233: xsi_set_current_line(135, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(135, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB234; - goto LAB1; - -LAB234: xsi_set_current_line(135, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(135, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB235; - goto LAB1; - -LAB235: xsi_set_current_line(135, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(135, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB236; - goto LAB1; - -LAB236: xsi_set_current_line(136, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(136, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB237; - goto LAB1; - -LAB237: xsi_set_current_line(136, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(136, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB238; - goto LAB1; - -LAB238: xsi_set_current_line(136, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(136, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB239; - goto LAB1; - -LAB239: xsi_set_current_line(136, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(136, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB240; - goto LAB1; - -LAB240: xsi_set_current_line(137, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(137, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB241; - goto LAB1; - -LAB241: xsi_set_current_line(137, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(137, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB242; - goto LAB1; - -LAB242: xsi_set_current_line(137, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(137, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB243; - goto LAB1; - -LAB243: xsi_set_current_line(137, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(137, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB244; - goto LAB1; - -LAB244: xsi_set_current_line(138, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(138, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB245; - goto LAB1; - -LAB245: xsi_set_current_line(138, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(138, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB246; - goto LAB1; - -LAB246: xsi_set_current_line(138, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(138, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB247; - goto LAB1; - -LAB247: xsi_set_current_line(138, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(138, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB248; - goto LAB1; - -LAB248: xsi_set_current_line(139, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(139, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB249; - goto LAB1; - -LAB249: xsi_set_current_line(139, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(139, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB250; - goto LAB1; - -LAB250: xsi_set_current_line(139, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(139, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB251; - goto LAB1; - -LAB251: xsi_set_current_line(139, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(139, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB252; - goto LAB1; - -LAB252: xsi_set_current_line(140, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(140, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB253; - goto LAB1; - -LAB253: xsi_set_current_line(140, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(140, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB254; - goto LAB1; - -LAB254: xsi_set_current_line(140, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(140, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB255; - goto LAB1; - -LAB255: xsi_set_current_line(140, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(140, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB256; - goto LAB1; - -LAB256: xsi_set_current_line(141, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(141, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB257; - goto LAB1; - -LAB257: xsi_set_current_line(141, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(141, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB258; - goto LAB1; - -LAB258: xsi_set_current_line(141, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(141, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB259; - goto LAB1; - -LAB259: xsi_set_current_line(141, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(141, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB260; - goto LAB1; - -LAB260: goto LAB1; - -} - -static void Initial_144_1(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 5656U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(144, ng0); - -LAB4: xsi_set_current_line(145, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(146, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(147, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(148, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(149, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(150, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(151, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(152, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(153, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(154, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(154, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(154, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(155, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(156, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(157, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(158, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(159, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(160, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(162, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(163, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(164, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(165, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(166, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(166, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(166, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(167, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(168, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(169, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(170, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(171, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(172, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(174, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(175, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(176, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: xsi_set_current_line(177, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(178, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(179, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB17; - goto LAB1; - -LAB17: xsi_set_current_line(179, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB18; - goto LAB1; - -LAB18: xsi_set_current_line(180, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(181, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(182, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(183, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB19; - goto LAB1; - -LAB19: xsi_set_current_line(184, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(185, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB20; - goto LAB1; - -LAB20: xsi_set_current_line(187, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(188, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(189, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(190, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(191, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB21; - goto LAB1; - -LAB21: xsi_set_current_line(191, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB22; - goto LAB1; - -LAB22: xsi_set_current_line(191, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB23; - goto LAB1; - -LAB23: xsi_set_current_line(192, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(193, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(194, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(195, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB24; - goto LAB1; - -LAB24: xsi_set_current_line(196, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(197, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB25; - goto LAB1; - -LAB25: xsi_set_current_line(199, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(200, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(201, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB26; - goto LAB1; - -LAB26: xsi_set_current_line(202, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(203, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(204, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB27; - goto LAB1; - -LAB27: xsi_set_current_line(204, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB28; - goto LAB1; - -LAB28: xsi_set_current_line(205, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(206, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(207, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(208, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB29; - goto LAB1; - -LAB29: xsi_set_current_line(209, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(210, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB30; - goto LAB1; - -LAB30: xsi_set_current_line(212, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(213, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(214, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(215, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(216, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB31; - goto LAB1; - -LAB31: xsi_set_current_line(216, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB32; - goto LAB1; - -LAB32: xsi_set_current_line(216, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB33; - goto LAB1; - -LAB33: xsi_set_current_line(217, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(218, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(219, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(220, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB34; - goto LAB1; - -LAB34: xsi_set_current_line(221, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(222, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB35; - goto LAB1; - -LAB35: xsi_set_current_line(224, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(225, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(226, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(227, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(228, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB36; - goto LAB1; - -LAB36: xsi_set_current_line(228, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB37; - goto LAB1; - -LAB37: xsi_set_current_line(228, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB38; - goto LAB1; - -LAB38: xsi_set_current_line(229, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(230, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(231, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(232, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB39; - goto LAB1; - -LAB39: xsi_set_current_line(233, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(234, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB40; - goto LAB1; - -LAB40: xsi_set_current_line(236, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB41; - goto LAB1; - -LAB41: xsi_set_current_line(236, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB42; - goto LAB1; - -LAB42: xsi_set_current_line(236, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB43; - goto LAB1; - -LAB43: xsi_set_current_line(238, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(239, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(240, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(241, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(242, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB44; - goto LAB1; - -LAB44: xsi_set_current_line(242, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB45; - goto LAB1; - -LAB45: xsi_set_current_line(242, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB46; - goto LAB1; - -LAB46: xsi_set_current_line(243, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(244, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(245, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(246, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB47; - goto LAB1; - -LAB47: xsi_set_current_line(247, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(248, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB48; - goto LAB1; - -LAB48: goto LAB1; - -} - -static void Initial_251_2(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 5904U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(251, ng0); - -LAB4: xsi_set_current_line(252, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4328); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(253, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4488); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(254, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 10000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(255, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(255, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(255, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(256, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4328); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(257, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(257, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(257, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(257, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(258, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 120000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(259, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4328); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(260, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 200000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(260, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 120000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(260, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 120000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: xsi_set_current_line(261, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4488); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(262, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 120000LL); - *((char **)t1) = &&LAB17; - goto LAB1; - -LAB17: xsi_set_current_line(263, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4488); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(264, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 200000LL); - *((char **)t1) = &&LAB18; - goto LAB1; - -LAB18: goto LAB1; - -} - -static void Initial_267_3(char *t0) -{ - char t4[8]; - char *t1; - char *t2; - char *t3; - char *t5; - -LAB0: t1 = (t0 + 6152U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(267, ng0); - -LAB4: xsi_set_current_line(268, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 10000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(269, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); - xsi_set_current_line(270, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(271, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(272, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(273, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(274, ng0); - t2 = ((char*)((ng3))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); - xsi_set_current_line(275, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(276, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(277, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(278, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(279, ng0); - t2 = ((char*)((ng3))); - t3 = ((char*)((ng2))); - memset(t4, 0, 8); - xsi_vlog_unsigned_rshift(t4, 24, t2, 24, t3, 32); - t5 = (t0 + 3048); - xsi_vlogvar_assign_value(t5, t4, 0, 0, 21); - xsi_set_current_line(280, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(281, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(282, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(283, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(284, ng0); - t2 = ((char*)((ng4))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); - xsi_set_current_line(285, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(286, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(287, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(288, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(289, ng0); - t2 = ((char*)((ng4))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); - xsi_set_current_line(290, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(291, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(292, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(293, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(294, ng0); - t2 = ((char*)((ng4))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); - xsi_set_current_line(295, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(296, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(297, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(298, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(299, ng0); - t2 = ((char*)((ng3))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); - xsi_set_current_line(300, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(301, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(302, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(303, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(305, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(305, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(305, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(306, ng0); - t2 = ((char*)((ng4))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); - xsi_set_current_line(307, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(308, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(309, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(310, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: goto LAB1; - -} - - -extern void work_m_00000000001578517288_1330392502_init() -{ - static char *pe[] = {(void *)Initial_77_0,(void *)Initial_144_1,(void *)Initial_251_2,(void *)Initial_267_3}; - xsi_register_didat("work_m_00000000001578517288_1330392502", "isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.didat b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.didat deleted file mode 100644 index e4593a8..0000000 Binary files a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.didat and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.nt64.obj b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.nt64.obj deleted file mode 100644 index dd74913..0000000 Binary files a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.nt64.obj and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c deleted file mode 100644 index 1a72008..0000000 --- a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c +++ /dev/null @@ -1,337 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Xilinx/14.7/ISE_DS/ISE/verilog/src/glbl.v"; -static unsigned int ng1[] = {1U, 0U}; -static unsigned int ng2[] = {0U, 0U}; - - - -static void NetDecl_16_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - unsigned int t8; - unsigned int t9; - char *t10; - unsigned int t11; - unsigned int t12; - char *t13; - unsigned int t14; - unsigned int t15; - char *t16; - -LAB0: t1 = (t0 + 6960U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(16, ng0); - t2 = (t0 + 1960U); - t3 = *((char **)t2); - t2 = (t0 + 8648); - t4 = (t2 + 56U); - t5 = *((char **)t4); - t6 = (t5 + 56U); - t7 = *((char **)t6); - memset(t7, 0, 8); - t8 = 1U; - t9 = t8; - t10 = (t3 + 4); - t11 = *((unsigned int *)t3); - t8 = (t8 & t11); - t12 = *((unsigned int *)t10); - t9 = (t9 & t12); - t13 = (t7 + 4); - t14 = *((unsigned int *)t7); - *((unsigned int *)t7) = (t14 | t8); - t15 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t15 | t9); - xsi_driver_vfirst_trans(t2, 0, 0U); - t16 = (t0 + 8520); - *((int *)t16) = 1; - -LAB1: return; -} - -static void Cont_48_1(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - char *t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned int t16; - unsigned int t17; - char *t18; - -LAB0: t1 = (t0 + 7208U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(48, ng0); - t2 = (t0 + 3640); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t0 + 8712); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memset(t9, 0, 8); - t10 = 1U; - t11 = t10; - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t10 = (t10 & t13); - t14 = *((unsigned int *)t12); - t11 = (t11 & t14); - t15 = (t9 + 4); - t16 = *((unsigned int *)t9); - *((unsigned int *)t9) = (t16 | t10); - t17 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t17 | t11); - xsi_driver_vfirst_trans(t5, 0, 0); - t18 = (t0 + 8536); - *((int *)t18) = 1; - -LAB1: return; -} - -static void Cont_49_2(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - char *t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned int t16; - unsigned int t17; - char *t18; - -LAB0: t1 = (t0 + 7456U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(49, ng0); - t2 = (t0 + 3800); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t0 + 8776); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memset(t9, 0, 8); - t10 = 1U; - t11 = t10; - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t10 = (t10 & t13); - t14 = *((unsigned int *)t12); - t11 = (t11 & t14); - t15 = (t9 + 4); - t16 = *((unsigned int *)t9); - *((unsigned int *)t9) = (t16 | t10); - t17 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t17 | t11); - xsi_driver_vfirst_trans(t5, 0, 0); - t18 = (t0 + 8552); - *((int *)t18) = 1; - -LAB1: return; -} - -static void Cont_50_3(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - char *t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned int t16; - unsigned int t17; - char *t18; - -LAB0: t1 = (t0 + 7704U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(50, ng0); - t2 = (t0 + 3960); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t0 + 8840); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memset(t9, 0, 8); - t10 = 1U; - t11 = t10; - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t10 = (t10 & t13); - t14 = *((unsigned int *)t12); - t11 = (t11 & t14); - t15 = (t9 + 4); - t16 = *((unsigned int *)t9); - *((unsigned int *)t9) = (t16 | t10); - t17 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t17 | t11); - xsi_driver_vfirst_trans(t5, 0, 0); - t18 = (t0 + 8568); - *((int *)t18) = 1; - -LAB1: return; -} - -static void Initial_52_4(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - -LAB0: t1 = (t0 + 7952U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(52, ng0); - -LAB4: xsi_set_current_line(53, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3640); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(54, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3960); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(55, ng0); - t2 = (t0 + 7760); - xsi_process_wait(t2, 100000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(56, ng0); - t3 = ((char*)((ng2))); - t4 = (t0 + 3640); - xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); - xsi_set_current_line(57, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3960); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - goto LAB1; - -} - -static void Initial_60_5(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - -LAB0: t1 = (t0 + 8200U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(60, ng0); - -LAB4: xsi_set_current_line(61, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3800); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(62, ng0); - t2 = (t0 + 8008); - xsi_process_wait(t2, 0LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(63, ng0); - t3 = ((char*)((ng2))); - t4 = (t0 + 3800); - xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); - goto LAB1; - -} - - -extern void work_m_00000000004134447467_2073120511_init() -{ - static char *pe[] = {(void *)NetDecl_16_0,(void *)Cont_48_1,(void *)Cont_49_2,(void *)Cont_50_3,(void *)Initial_52_4,(void *)Initial_60_5}; - xsi_register_didat("work_m_00000000004134447467_2073120511", "isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat deleted file mode 100644 index 26bed19..0000000 Binary files a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj deleted file mode 100644 index f12de32..0000000 Binary files a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.c b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.c deleted file mode 100644 index bb4913d..0000000 --- a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.c +++ /dev/null @@ -1,36 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -#include "xsi.h" - -struct XSI_INFO xsi_info; - - - -int main(int argc, char **argv) -{ - xsi_init_design(argc, argv); - xsi_register_info(&xsi_info); - - xsi_register_min_prec_unit(-12); - work_m_00000000000498029938_2356217838_init(); - work_m_00000000001578517288_1330392502_init(); - work_m_00000000004134447467_2073120511_init(); - - - xsi_register_tops("work_m_00000000001578517288_1330392502"); - xsi_register_tops("work_m_00000000004134447467_2073120511"); - - - return xsi_run_simulation(argc, argv); - -} diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.nt64.obj b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.nt64.obj deleted file mode 100644 index c3f2546..0000000 Binary files a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.nt64.obj and /dev/null differ diff --git a/cpld/XC95144XL/isim/work/@f@s@b.sdb b/cpld/XC95144XL/isim/work/@f@s@b.sdb deleted file mode 100644 index 9307131..0000000 Binary files a/cpld/XC95144XL/isim/work/@f@s@b.sdb and /dev/null differ diff --git a/cpld/XC95144XL/isim/work/glbl.sdb b/cpld/XC95144XL/isim/work/glbl.sdb deleted file mode 100644 index 7c1c053..0000000 Binary files a/cpld/XC95144XL/isim/work/glbl.sdb and /dev/null differ diff --git a/cpld/XC95144XL/isim/work/t_fsb.sdb b/cpld/XC95144XL/isim/work/t_fsb.sdb deleted file mode 100644 index 5bee2cf..0000000 Binary files a/cpld/XC95144XL/isim/work/t_fsb.sdb and /dev/null differ diff --git a/cpld/XC95144XL/t_cnt_isim_beh.exe b/cpld/XC95144XL/t_cnt_isim_beh.exe deleted file mode 100644 index fb891a9..0000000 Binary files a/cpld/XC95144XL/t_cnt_isim_beh.exe and /dev/null differ diff --git a/cpld/XC95144XL/t_cnt_isim_beh1.wdb b/cpld/XC95144XL/t_cnt_isim_beh1.wdb deleted file mode 100644 index 042703c..0000000 Binary files a/cpld/XC95144XL/t_cnt_isim_beh1.wdb and /dev/null differ diff --git a/cpld/XC95144XL/t_cs_beh.prj b/cpld/XC95144XL/t_cs_beh.prj deleted file mode 100644 index 5557bbe..0000000 --- a/cpld/XC95144XL/t_cs_beh.prj +++ /dev/null @@ -1,3 +0,0 @@ -verilog work "../CS.v" -verilog work "../test/t_cs.v" -verilog work "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/t_cs_isim_beh.exe b/cpld/XC95144XL/t_cs_isim_beh.exe deleted file mode 100644 index fb891a9..0000000 Binary files a/cpld/XC95144XL/t_cs_isim_beh.exe and /dev/null differ diff --git a/cpld/XC95144XL/t_cs_isim_beh.wdb b/cpld/XC95144XL/t_cs_isim_beh.wdb deleted file mode 100644 index 02dfc72..0000000 Binary files a/cpld/XC95144XL/t_cs_isim_beh.wdb and /dev/null differ diff --git a/cpld/XC95144XL/t_cs_stx_beh.prj b/cpld/XC95144XL/t_cs_stx_beh.prj deleted file mode 100644 index eef7da7..0000000 --- a/cpld/XC95144XL/t_cs_stx_beh.prj +++ /dev/null @@ -1,3 +0,0 @@ -verilog isim_temp "../CS.v" -verilog isim_temp "../test/t_cs.v" -verilog isim_temp "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/t_fsb_beh.prj b/cpld/XC95144XL/t_fsb_beh.prj deleted file mode 100644 index 9949282..0000000 --- a/cpld/XC95144XL/t_fsb_beh.prj +++ /dev/null @@ -1,3 +0,0 @@ -verilog work "../FSB.v" -verilog work "test/t_fsb.v" -verilog work "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/t_fsb_dtack_beh.prj b/cpld/XC95144XL/t_fsb_dtack_beh.prj deleted file mode 100644 index 716ad24..0000000 --- a/cpld/XC95144XL/t_fsb_dtack_beh.prj +++ /dev/null @@ -1,3 +0,0 @@ -verilog work "../FSB.v" -verilog work "../test/t_fsb_dtack.v" -verilog work "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/t_fsb_dtack_isim_beh.exe b/cpld/XC95144XL/t_fsb_dtack_isim_beh.exe deleted file mode 100644 index fb891a9..0000000 Binary files a/cpld/XC95144XL/t_fsb_dtack_isim_beh.exe and /dev/null differ diff --git a/cpld/XC95144XL/t_fsb_dtack_isim_beh.wdb b/cpld/XC95144XL/t_fsb_dtack_isim_beh.wdb deleted file mode 100644 index 6d28f5b..0000000 Binary files a/cpld/XC95144XL/t_fsb_dtack_isim_beh.wdb and /dev/null differ diff --git a/cpld/XC95144XL/t_fsb_isim_beh.exe b/cpld/XC95144XL/t_fsb_isim_beh.exe deleted file mode 100644 index fb891a9..0000000 Binary files a/cpld/XC95144XL/t_fsb_isim_beh.exe and /dev/null differ diff --git a/cpld/XC95144XL/t_fsb_isim_beh.wdb b/cpld/XC95144XL/t_fsb_isim_beh.wdb deleted file mode 100644 index e69de29..0000000 diff --git a/cpld/XC95144XL/t_fsb_isim_beh1.wdb b/cpld/XC95144XL/t_fsb_isim_beh1.wdb deleted file mode 100644 index 018d4cb..0000000 Binary files a/cpld/XC95144XL/t_fsb_isim_beh1.wdb and /dev/null differ diff --git a/cpld/XC95144XL/t_fsb_isim_beh2.wdb b/cpld/XC95144XL/t_fsb_isim_beh2.wdb deleted file mode 100644 index 8fdf9e6..0000000 Binary files a/cpld/XC95144XL/t_fsb_isim_beh2.wdb and /dev/null differ diff --git a/cpld/XC95144XL/t_iobm_isim_beh.exe b/cpld/XC95144XL/t_iobm_isim_beh.exe deleted file mode 100644 index fb891a9..0000000 Binary files a/cpld/XC95144XL/t_iobm_isim_beh.exe and /dev/null differ diff --git a/cpld/XC95144XL/t_iobm_isim_beh1.wdb b/cpld/XC95144XL/t_iobm_isim_beh1.wdb deleted file mode 100644 index 31fc0df..0000000 Binary files a/cpld/XC95144XL/t_iobm_isim_beh1.wdb and /dev/null differ diff --git a/cpld/XC95144XL/t_iobm_stx_beh.prj b/cpld/XC95144XL/t_iobm_stx_beh.prj deleted file mode 100644 index 8079685..0000000 --- a/cpld/XC95144XL/t_iobm_stx_beh.prj +++ /dev/null @@ -1,3 +0,0 @@ -verilog isim_temp "../IOBM.v" -verilog isim_temp "test/t_iobm.v" -verilog isim_temp "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/t_ram.v b/cpld/XC95144XL/t_ram.v deleted file mode 100644 index b8a4ac9..0000000 --- a/cpld/XC95144XL/t_ram.v +++ /dev/null @@ -1,314 +0,0 @@ -`timescale 1ns / 1ps - -//////////////////////////////////////////////////////////////////////////////// -// Company: -// Engineer: -// -// Create Date: 07:47:59 12/11/2021 -// Design Name: RAM -// Module Name: C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_ram.v -// Project Name: MXSE -// Target Device: -// Tool versions: -// Description: -// -// Verilog Test Fixture created by ISE for module: RAM -// -// Dependencies: -// -// Revision: -// Revision 0.01 - File Created -// Additional Comments: -// -//////////////////////////////////////////////////////////////////////////////// - -module t_ram; - - // Inputs - reg CLK; - reg [21:1] A; - reg nWE; - reg nAS; - reg nLDS; - reg nUDS; - reg BACT; - reg RAMCS; - reg ROMCS; - reg RefReq; - reg RefUrgent; - - // Outputs - wire Ready; - wire RefAck; - wire [11:0] RA; - wire nRAS; - wire nCAS; - wire nLWE; - wire nUWE; - wire nOE; - wire nROMCS; - wire nROMWE; - - // Instantiate the Unit Under Test (UUT) - RAM uut ( - .CLK(CLK), - .A(A), - .nWE(nWE), - .nAS(nAS), - .nLDS(nLDS), - .nUDS(nUDS), - .BACT(BACT), - .RAMCS(RAMCS), - .ROMCS(ROMCS), - .Ready(Ready), - .RefReq(RefReq), - .RefUrgent(RefUrgent), - .RefAck(RefAck), - .RA(RA), - .nRAS(nRAS), - .nCAS(nCAS), - .nLWE(nLWE), - .nUWE(nUWE), - .nOE(nOE), - .nROMCS(nROMCS), - .nROMWE(nROMWE) - ); - - initial begin - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - end - - initial begin - nAS = 1; - nLDS = 1; - nUDS = 1; - BACT = 0; - #30; - nAS = 0; - nLDS = 0; - nUDS = 0; - BACT = 1; - #20; #40; #40; - nAS = 1; - nLDS = 1; - nUDS = 1; - #20; - BACT = 0; - #40; - - nAS = 0; - nLDS = 0; - nUDS = 0; - BACT = 1; - #20; #40; #40; - nAS = 1; - nLDS = 1; - nUDS = 1; - #20; - BACT = 0; - #40; - - nAS = 0; - BACT = 1; - #40; - nLDS = 0; - nUDS = 0; - #20; #40; - nAS = 1; - nLDS = 1; - nUDS = 1; - #20; - BACT = 0; - #40; - - nAS = 0; - nLDS = 0; - nUDS = 0; - BACT = 1; - #20; #40; #40; - nAS = 1; - nLDS = 1; - nUDS = 1; - #20; - BACT = 0; - #40; - - nAS = 0; - BACT = 1; - #40; - nLDS = 0; - nUDS = 0; - #20; #40; - nAS = 1; - nLDS = 1; - nUDS = 1; - #20; - BACT = 0; - #40; - - nAS = 0; - nLDS = 0; - nUDS = 0; - BACT = 1; - #20; #40; #40; - nAS = 1; - nLDS = 1; - nUDS = 1; - #20; - BACT = 0; - #40; - - nAS = 0; - nLDS = 0; - nUDS = 0; - BACT = 1; - #20; #40; #40; - nAS = 1; - nLDS = 1; - nUDS = 1; - #20; - BACT = 0; - #40; - - #160;#160;#160; - - nAS = 0; - nLDS = 0; - nUDS = 0; - BACT = 1; - #20; #40; #40; - nAS = 1; - nLDS = 1; - nUDS = 1; - #20; - BACT = 0; - #40; - end - - initial begin - RefReq = 0; - RefUrgent = 0; - #10; - #160; #160; #160; - RefReq = 1; - #160; #160; #160; #160; - #120; - RefReq = 0; - #200; #120; #120; - RefUrgent = 1; - #120; - RefUrgent = 0; - #200; - end - - initial begin - #10; - A = 0; - RAMCS = 0; - ROMCS = 0; - nWE = 0; - #160; - A = 24'h400000; - RAMCS = 0; - ROMCS = 1; - nWE = 1; - #160; - A = 24'h400000>>1; - RAMCS = 0; - ROMCS = 1; - nWE = 0; - #160; - A = 24'h0A5A5A; - RAMCS = 1; - ROMCS = 0; - nWE = 1; - #160; - A = 24'h0A5A5A; - RAMCS = 1; - ROMCS = 0; - nWE = 0; - #160; - A = 24'h0A5A5A; - RAMCS = 1; - ROMCS = 0; - nWE = 1; - #160; - A = 24'h400000; - RAMCS = 0; - ROMCS = 1; - nWE = 1; - #160; - - #160; #160; #160; - A = 24'h0A5A5A; - RAMCS = 1; - ROMCS = 0; - nWE = 1; - #160; - end - -endmodule - diff --git a/cpld/XC95144XL/t_ram_isim_beh.exe b/cpld/XC95144XL/t_ram_isim_beh.exe deleted file mode 100644 index fb891a9..0000000 Binary files a/cpld/XC95144XL/t_ram_isim_beh.exe and /dev/null differ diff --git a/cpld/XC95144XL/t_ram_isim_beh.wdb b/cpld/XC95144XL/t_ram_isim_beh.wdb deleted file mode 100644 index a76f495..0000000 Binary files a/cpld/XC95144XL/t_ram_isim_beh.wdb and /dev/null differ diff --git a/cpld/XC95144XL/t_ram_stx_beh.prj b/cpld/XC95144XL/t_ram_stx_beh.prj deleted file mode 100644 index 760883a..0000000 --- a/cpld/XC95144XL/t_ram_stx_beh.prj +++ /dev/null @@ -1,3 +0,0 @@ -verilog isim_temp "../RAM.v" -verilog isim_temp "t_ram.v" -verilog isim_temp "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/test.v b/cpld/XC95144XL/test.v deleted file mode 100644 index 5cd1107..0000000 --- a/cpld/XC95144XL/test.v +++ /dev/null @@ -1,150 +0,0 @@ -`timescale 1ns / 1ps - -//////////////////////////////////////////////////////////////////////////////// -// Company: -// Engineer: -// -// Create Date: 04:40:18 10/23/2021 -// Design Name: RAM -// Module Name: C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/test.v -// Project Name: MXSE -// Target Device: -// Tool versions: -// Description: -// -// Verilog Test Fixture created by ISE for module: RAM -// -// Dependencies: -// -// Revision: -// Revision 0.01 - File Created -// Additional Comments: -// -//////////////////////////////////////////////////////////////////////////////// - -module test; - - // Inputs - reg CLK; - reg [21:1] A; - reg nWE; - reg nAS; - reg nLDS; - reg nUDS; - reg CACT; - reg RAMCS; - reg ROMCS; - reg RefReq; - reg RefUrgent; - - // Outputs - wire Ready; - wire RefAck; - wire [11:0] RA; - wire nRAS; - wire nCAS; - wire nLWE; - wire nUWE; - wire nOE; - wire nROMCS; - wire nROMWE; - - // Instantiate the Unit Under Test (UUT) - RAM uut ( - .CLK(CLK), - .A(A), - .nWE(nWE), - .nAS(nAS), - .nLDS(nLDS), - .nUDS(nUDS), - .CACT(CACT), - .RAMCS(RAMCS), - .ROMCS(ROMCS), - .Ready(Ready), - .RefReq(RefReq), - .RefUrgent(RefUrgent), - .RefAck(RefAck), - .RA(RA), - .nRAS(nRAS), - .nCAS(nCAS), - .nLWE(nLWE), - .nUWE(nUWE), - .nOE(nOE), - .nROMCS(nROMCS), - .nROMWE(nROMWE) - ); - - initial begin - CLK = 0; - RAMCS = 0; ROMCS = 0; CACT = 0; - RefReq = 0; RefUrgent = 0; - nAS = 1; nLDS = 1; nUDS = 1; nWE = 1; - A = 0; - #100; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; - A = 8195; #5; ROMCS = 1; - CLK = 1; #5; #5; #5; #5; - nAS = 0; nLDS = 0; nUDS = 0; nWE = 1; #5; CACT = 1; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; RefUrgent = 1; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; RefUrgent = 0; #5; #5; #5; #5; - - CLK = 0; #5; CACT = 0; #5; #5; #5; - nAS = 1; nLDS = 1; nUDS = 1; nWE = 1; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; A = 0; #5; ROMCS = 0; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - end - -endmodule - diff --git a/cpld/XC95144XL/test/t_fsb.v b/cpld/XC95144XL/test/t_fsb.v deleted file mode 100644 index 888fc74..0000000 --- a/cpld/XC95144XL/test/t_fsb.v +++ /dev/null @@ -1,176 +0,0 @@ -`timescale 1ns / 1ps - -//////////////////////////////////////////////////////////////////////////////// -// Company: -// Engineer: -// -// Create Date: 14:24:23 12/07/2021 -// Design Name: FSB -// Module Name: C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_fsb.v -// Project Name: MXSE -// Target Device: -// Tool versions: -// Description: -// -// Verilog Test Fixture created by ISE for module: FSB -// -// Dependencies: -// -// Revision: -// Revision 0.01 - File Created -// Additional Comments: -// -//////////////////////////////////////////////////////////////////////////////// - -module t_fsb; - - // Inputs - reg FCLK; - reg nAS; - reg Ready0; - reg Ready1; - reg Ready2; - reg BERR0; - reg BERR1; - reg IACS; - - // Outputs - wire nDTACK; - wire nVPA; - wire nBERR; - wire BACT; - - // Instantiate the Unit Under Test (UUT) - FSB uut ( - .FCLK(FCLK), - .nAS(nAS), - .nDTACK(nDTACK), - .nVPA(nVPA), - .nBERR(nBERR), - .BACT(BACT), - .Ready0(Ready0), - .Ready1(Ready1), - .Ready2(Ready2), - .BERR0(BERR0), - .BERR1(BERR1), - .IACS(IACS) - ); - - initial begin - // Initial conditions - nAS = 1; - FCLK = 0; - Ready0 = 0; - Ready1 = 0; - Ready2 = 0; - BERR0 = 0; - BERR1 = 0; - IACS = 0; - - FCLK = 0; #20; - FCLK = 1; #20; - FCLK = 0; #20; - - - /* Regular 4-clock access */ - FCLK = 1; #5; - nAS = 0; - IACS = 0; - Ready0 = 1; - Ready1 = 1; - Ready2 = 1; - #15; - - FCLK = 0; #20; - FCLK = 1; #20; - FCLK = 0; #20; - FCLK = 1; #20; - - FCLK = 0; #5; - nAS = 1; - #15; - - FCLK = 1; #20; - FCLK = 0; #20; - - - /* Units not immediately ready */ - FCLK = 1; #5; - nAS = 0; - IACS = 0; - Ready0 = 0; - Ready1 = 0; - Ready2 = 0; - #15; - - FCLK = 0; #20; - FCLK = 1; #5; - Ready0 = 1; - Ready1 = 0; - Ready2 = 0; - #15; - - FCLK = 0; #20; - FCLK = 1; #5; - Ready0 = 0; - Ready1 = 1; - Ready2 = 0; - #15; - - FCLK = 0; #20; - FCLK = 1; #5; - Ready0 = 0; - Ready1 = 0; - Ready2 = 1; - #15; - - FCLK = 0; #20; - FCLK = 1; #5; - Ready0 = 0; - Ready1 = 0; - Ready2 = 0; - #15; - - FCLK = 0; #20; - FCLK = 1; #20; - - FCLK = 0; #5; - nAS = 1; - #15; - - FCLK = 1; #20; - FCLK = 0; #20; - - /* Access terminated with /VPA */ - FCLK = 1; #5; - nAS = 0; - IACS = 1; - Ready0 = 1; - Ready1 = 1; - Ready2 = 1; - #15; - - FCLK = 0; #20; - FCLK = 1; #20; - FCLK = 0; #20; - FCLK = 1; #20; - FCLK = 0; #20; - FCLK = 1; #20; - FCLK = 0; #20; - FCLK = 1; #20; - FCLK = 0; #20; - FCLK = 1; #20; - FCLK = 0; #20; - FCLK = 1; #20; - - FCLK = 0; #5; - nAS = 1; - #15; - - FCLK = 1; #20; - FCLK = 0; #20; - - end - -endmodule - diff --git a/cpld/XC95144XL/test/t_iobm.v b/cpld/XC95144XL/test/t_iobm.v deleted file mode 100644 index e8e435f..0000000 --- a/cpld/XC95144XL/test/t_iobm.v +++ /dev/null @@ -1,238 +0,0 @@ -`timescale 1ns / 1ps - -//////////////////////////////////////////////////////////////////////////////// -// Company: -// Engineer: -// -// Create Date: 05:57:17 12/11/2021 -// Design Name: IOBM -// Module Name: C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_iobm.v -// Project Name: MXSE -// Target Device: -// Tool versions: -// Description: -// -// Verilog Test Fixture created by ISE for module: IOBM -// -// Dependencies: -// -// Revision: -// Revision 0.01 - File Created -// Additional Comments: -// -//////////////////////////////////////////////////////////////////////////////// - -module t_iobm; - - // Inputs - reg C16M; - reg C8M; - reg E; - reg nDTACK; - reg nVPA; - reg nBERR; - reg nRES; - reg IOREQ; - reg IOLDS; - reg IOUDS; - reg IOWE; - - // Outputs - wire nAS; - wire nLDS; - wire nUDS; - wire nVMA; - wire nAoutOE; - wire nDoutOE; - wire ALE0; - wire nDinLE; - wire IOACT; - wire IOBERR; - - // Instantiate the Unit Under Test (UUT) - IOBM uut ( - .C16M(C16M), - .C8M(C8M), - .E(E), - .nAS(nAS), - .nLDS(nLDS), - .nUDS(nUDS), - .nVMA(nVMA), - .nDTACK(nDTACK), - .nVPA(nVPA), - .nBERR(nBERR), - .nRES(nRES), - .nAoutOE(nAoutOE), - .nDoutOE(nDoutOE), - .ALE0(ALE0), - .nDinLE(nDinLE), - .IOACT(IOACT), - .IOBERR(IOBERR), - .IOREQ(IOREQ), - .IOLDS(IOLDS), - .IOUDS(IOUDS), - .IOWE(IOWE) - ); - - initial begin - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - end - - initial begin - C8M = 0; #50; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - end - - initial begin - E = 0; #130; E = 1; #480; E = 0; #720; E = 1; #480; - E = 0; #720; E = 1; #480; E = 0; #720; E = 1; #480; - E = 0; #720; E = 1; #480; E = 0; #720; E = 1; #480; - E = 0; #720; E = 1; #480; E = 0; #720; E = 1; #480; - end - - initial begin - nRES = 1; - nBERR = 1; - nDTACK = 1; - nVPA = 1; - - #320; - nDTACK = 0; - #300; - nDTACK = 1; - - #180; - nDTACK = 0; - #300; - nDTACK = 1; - - #180; - nBERR = 0; - #300; - nBERR = 1; - - #180; - nVPA = 0; - #1200; - nBERR = 1; - - #220; - nRES = 0; - #300; - nRES = 1; - end - - initial begin - IOREQ = 0; - IOLDS = 0; - IOUDS = 0; - IOWE = 0; - #195; - - IOREQ = 1; - IOWE = 0; - #40; - IOLDS = 1; - IOUDS = 1; - #200; - IOREQ = 0; - #240; - - IOREQ = 1; - IOWE = 1; - #40; - IOLDS = 1; - IOUDS = 1; - #200; - IOREQ = 0; - #240; - - IOREQ = 1; - IOWE = 0; - #40; - IOLDS = 1; - IOUDS = 1; - #200; - IOREQ = 0; - #240; - - IOREQ = 1; - IOWE = 0; - #40; - IOLDS = 1; - IOUDS = 1; - #200; - IOREQ = 0; - #240; - - - IOREQ = 1; - IOWE = 0; - #40; - IOLDS = 1; - IOUDS = 1; - #1300; - IOREQ = 0; - #240; - end - -endmodule - diff --git a/cpld/XC95144XL/test_fsb.v b/cpld/XC95144XL/test_fsb.v deleted file mode 100644 index df847cd..0000000 --- a/cpld/XC95144XL/test_fsb.v +++ /dev/null @@ -1,124 +0,0 @@ -`timescale 1ns / 1ps - -//////////////////////////////////////////////////////////////////////////////// -// Company: -// Engineer: -// -// Create Date: 06:38:49 10/23/2021 -// Design Name: FSB -// Module Name: C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/test_fsb.v -// Project Name: MXSE -// Target Device: -// Tool versions: -// Description: -// -// Verilog Test Fixture created by ISE for module: FSB -// -// Dependencies: -// -// Revision: -// Revision 0.01 - File Created -// Additional Comments: -// -//////////////////////////////////////////////////////////////////////////////// - -module test_fsb; - - // Inputs - reg FCLK; - reg nAS; - reg Ready; - reg IACS; - - // Outputs - wire nDTACK; - wire nVPA; - wire AINACT; - wire BACT; - wire CACT; - - // Instantiate the Unit Under Test (UUT) - FSB uut ( - .FCLK(FCLK), - .nAS(nAS), - .nDTACK(nDTACK), - .nVPA(nVPA), - .AINACT(AINACT), - .BACT(BACT), - .CACT(CACT), - .Ready(Ready), - .IACS(IACS) - ); - - initial begin - FCLK = 0; - nAS = 1; - Ready = 1; - IACS = 0; - #0; - - FCLK = 0; #25; - FCLK = 1; #5; - nAS = 1'bX; #20; - nAS = 0; - - FCLK = 0; #25; - FCLK = 1; #25; - - FCLK = 0; #25; - FCLK = 1; #25; - - FCLK = 0; #5; - nAS = 1'bX; #20; - nAS = 1; - FCLK = 1; #25; - - FCLK = 0; #25; - FCLK = 1; #5; - nAS = 1'bX; #20; - nAS = 0; - - FCLK = 0; #25; - FCLK = 1; #25; - - FCLK = 0; #25; - FCLK = 1; #25; - - FCLK = 0; #5; - nAS = 1'bX; #20; - nAS = 1; - FCLK = 1; #25; - - FCLK = 0; #25; - FCLK = 1; #5; - Ready = 0; nAS = 1'bX; #20; - nAS = 0; - - FCLK = 0; #25; - FCLK = 1; #25; - - FCLK = 0; #25; - FCLK = 1; #5; - Ready = 1; #20; - - FCLK = 0; #25; - FCLK = 1; #25; - - FCLK = 0; #25; - FCLK = 1; #25; - - FCLK = 0; #5; - nAS = 1'bX; #20; - nAS = 1; - FCLK = 1; #25; - - FCLK = 0; #25; - FCLK = 1; #25; - - FCLK = 0; #25; - FCLK = 1; #25; - - end - -endmodule - diff --git a/cpld/XC95144XL/test_fsb_beh.prj b/cpld/XC95144XL/test_fsb_beh.prj deleted file mode 100644 index bee07fd..0000000 --- a/cpld/XC95144XL/test_fsb_beh.prj +++ /dev/null @@ -1,3 +0,0 @@ -verilog work "../FSB.v" -verilog work "test_fsb.v" -verilog work "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/test_fsb_isim_beh.exe b/cpld/XC95144XL/test_fsb_isim_beh.exe deleted file mode 100644 index fb891a9..0000000 Binary files a/cpld/XC95144XL/test_fsb_isim_beh.exe and /dev/null differ diff --git a/cpld/XC95144XL/test_fsb_isim_beh.wdb b/cpld/XC95144XL/test_fsb_isim_beh.wdb deleted file mode 100644 index 72a721c..0000000 Binary files a/cpld/XC95144XL/test_fsb_isim_beh.wdb and /dev/null differ diff --git a/cpld/XC95144XL/test_fsb_isim_beh1.wdb b/cpld/XC95144XL/test_fsb_isim_beh1.wdb deleted file mode 100644 index 1d691ac..0000000 Binary files a/cpld/XC95144XL/test_fsb_isim_beh1.wdb and /dev/null differ diff --git a/cpld/XC95144XL/test_fsb_stx_beh.prj b/cpld/XC95144XL/test_fsb_stx_beh.prj deleted file mode 100644 index 4e24ae6..0000000 --- a/cpld/XC95144XL/test_fsb_stx_beh.prj +++ /dev/null @@ -1,3 +0,0 @@ -verilog isim_temp "../FSB.v" -verilog isim_temp "test_fsb.v" -verilog isim_temp "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/test_fsb_vpa_isim_beh.exe b/cpld/XC95144XL/test_fsb_vpa_isim_beh.exe deleted file mode 100644 index fb891a9..0000000 Binary files a/cpld/XC95144XL/test_fsb_vpa_isim_beh.exe and /dev/null differ diff --git a/cpld/XC95144XL/test_fsb_vpa_isim_beh1.wdb b/cpld/XC95144XL/test_fsb_vpa_isim_beh1.wdb deleted file mode 100644 index 0681ea6..0000000 Binary files a/cpld/XC95144XL/test_fsb_vpa_isim_beh1.wdb and /dev/null differ diff --git a/cpld/XC95144XL/test_isim_beh.exe b/cpld/XC95144XL/test_isim_beh.exe deleted file mode 100644 index fb891a9..0000000 Binary files a/cpld/XC95144XL/test_isim_beh.exe and /dev/null differ diff --git a/cpld/XC95144XL/test_isim_beh1.wdb b/cpld/XC95144XL/test_isim_beh1.wdb deleted file mode 100644 index 401243c..0000000 Binary files a/cpld/XC95144XL/test_isim_beh1.wdb and /dev/null differ diff --git a/cpld/XC95144XL/test_stx_beh.prj b/cpld/XC95144XL/test_stx_beh.prj deleted file mode 100644 index 8b0c188..0000000 --- a/cpld/XC95144XL/test_stx_beh.prj +++ /dev/null @@ -1,3 +0,0 @@ -verilog isim_temp "../RAM.v" -verilog isim_temp "test.v" -verilog isim_temp "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/tmperr.err b/cpld/XC95144XL/tmperr.err deleted file mode 100644 index e69de29..0000000 diff --git a/cpld/XC95144XL/xilinxsim.ini b/cpld/XC95144XL/xilinxsim.ini deleted file mode 100644 index 600496d..0000000 --- a/cpld/XC95144XL/xilinxsim.ini +++ /dev/null @@ -1 +0,0 @@ -work=isim/work diff --git a/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd b/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd deleted file mode 100644 index c3e3d2e..0000000 Binary files a/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd and /dev/null differ diff --git a/cpld/XC95144XL/xst/work/hdllib.ref b/cpld/XC95144XL/xst/work/hdllib.ref deleted file mode 100644 index 86790f5..0000000 --- a/cpld/XC95144XL/xst/work/hdllib.ref +++ /dev/null @@ -1,7 +0,0 @@ -MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1639221844 -MO CS NULL ../CS.v vlg22/_c_s.bin 1639221844 -MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1639221844 -MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1639221844 -MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1639221844 -MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1639221844 -MO MXSE NULL ../MXSE.v vlg15/_m_x_s_e.bin 1639221844 diff --git a/cpld/untitled b/cpld/untitled deleted file mode 100644 index b8e5251..0000000 --- a/cpld/untitled +++ /dev/null @@ -1,280 +0,0 @@ - -0 PC ALL -1 NOP -2 AREF -3 NOP -4 NOP -5 NOP -6 NOP -7 NOP -8 NOP - -0 ACT -1 NOP -2 WR read WRFIFO -3 WR goto 03 if same page -4 NOP -5 PC ALL -6 NOP - -010 ACT -011 NOP -012 RDS -013 RD -014 RD -015 RD -016 RD -017 RD -018 RD -019 RD -01A RD -01B RD -01C RD -01D RD -01E RD -01F RD -020 RD -021 RD -022 RD -023 RD -024 RD -025 RD -026 RD -027 RD -028 RD -029 RD -02A RD -02B RD -02C RD -02D RD -02E RD -02F RD -030 RD -031 RD -032 RD -033 RD -034 RD -035 RD -036 RD -037 RD -038 RD -039 RD -03A RD -03B RD -03C RD -03D RD -03E RD -03F RD -040 RD -041 RD -042 RD -043 RD -044 RD -045 RD -046 RD -047 RD -048 RD -049 RD -04A RD -04B RD -04C RD -04D RD -04E RD -04F RD -050 RD -051 RD -052 RD -053 RD -054 RD -055 RD -056 RD -057 RD -058 RD -059 RD -05A RD -05B RD -05C RD -05D RD -05E RD -05F RD -060 RD -061 RD -062 RD -063 RD -064 RD -065 RD -066 RD -067 RD -068 RD -069 RD -06A RD -06B RD -06C RD -06D RD -06E RD -06F RD -070 RD -071 RD -072 RD -073 RD -074 RD -075 RD -076 RD -077 RD -078 RD -079 RD -07A RD -07B RD -07C RD -07D RD -07E RD -07F RD -080 RD -081 RD -082 RD -083 RD -084 RD -085 RD -086 RD -087 RD -088 RD -089 RD -08A RD -08B RD -08C RD -08D RD -08E RD -08F RD -090 RD -091 RD -092 RD -093 RD -094 RD -095 RD -096 RD -097 RD -098 RD -099 RD -09A RD -09B RD -09C RD -09D RD -09E RD -09F RD -0A0 RD -0A1 RD -0A2 RD -0A3 RD -0A4 RD -0A5 RD -0A6 RD -0A7 RD -0A8 RD -0A9 RD -0AA RD -0AB RD -0AC RD -0AD RD -0AE RD -0AF RD -0B0 RD -0B1 RD -0B2 RD -0B3 RD -0B4 RD -0B5 RD -0B6 RD -0B7 RD -0B8 RD -0B9 RD -0BA RD -0BB RD -0BC RD -0BD RD -0BE RD -0BF RD -0C0 RD -0C1 RD -0C2 RD -0C3 RD -0C4 RD -0C5 RD -0C6 RD -0C7 RD -0C8 RD -0C9 RD -0CA RD -0CB RD -0CC RD -0CD RD -0CE RD -0CF RD -0D0 RD -0D1 RD -0D2 RD -0D3 RD -0D4 RD -0D5 RD -0D6 RD -0D7 RD -0D8 RD -0D9 RD -0DA RD -0DB RD -0DC RD -0DD RD -0DE RD -0DF RD -0E0 RD -0E1 RD -0E2 RD -0E3 RD -0E4 RD -0E5 RD -0E6 RD -0E7 RD -0E8 RD -0E9 RD -0EA RD -0EB RD -0EC RD -0ED RD -0EE RD -0EF RD -0F0 RD -0F1 RD -0F2 RD -0F3 RD -0F4 RD -0F5 RD -0F6 RD -0F7 RD -0F8 RD -0F9 RD -0FA RD -0FB RD -0FC RD -0FD RD -0FE RD -0FF RD -100 RD -101 RD -102 RD -103 RD -104 RD -105 RD -106 RD -107 RD -108 RD -109 RD -10A RD -10B RD -10C RD -10D RD -10E RD -10F RD -110 RD -111 RD -112 PC ALL -113 NOP -114 NOP